60c54fb959
fpu.vhdl:513:18⚠️ declaration of "result" hides signal "result" [-Whide]
variable result : std_ulogic_vector(63 downto 0);
Signed-off-by: Joel Stanley <joel@jms.id.au>
|
2 years ago | |
---|---|---|
.github/workflows | 2 years ago | |
constraints | 2 years ago | |
fpga | 2 years ago | |
hello_world | 2 years ago | |
include | 3 years ago | |
lib | 4 years ago | |
litedram | 2 years ago | |
liteeth | 3 years ago | |
litesdcard | 2 years ago | |
media | 5 years ago | |
micropython | 4 years ago | |
openocd | 3 years ago | |
rust_lib_demo | 4 years ago | |
scripts | 2 years ago | |
sim-unisim | 5 years ago | |
tests | 2 years ago | |
uart16550 | 4 years ago | |
verilator | 3 years ago | |
.gitignore | 2 years ago | |
LICENSE | 5 years ago | |
Makefile | 2 years ago | |
README.md | 2 years ago | |
cache_ram.vhdl | 3 years ago | |
common.vhdl | 2 years ago | |
control.vhdl | 2 years ago | |
core.vhdl | 2 years ago | |
core_debug.vhdl | 2 years ago | |
core_dram_tb.vhdl | 2 years ago | |
core_flash_tb.vhdl | 3 years ago | |
core_tb.vhdl | 3 years ago | |
countbits.vhdl | 2 years ago | |
countbits_tb.vhdl | 2 years ago | |
cr_file.vhdl | 2 years ago | |
crhelpers.vhdl | 5 years ago | |
dcache.vhdl | 2 years ago | |
dcache_tb.vhdl | 3 years ago | |
decode1.vhdl | 2 years ago | |
decode2.vhdl | 2 years ago | |
decode_types.vhdl | 2 years ago | |
divider.vhdl | 2 years ago | |
divider_tb.vhdl | 3 years ago | |
dmi_dtm_dummy.vhdl | 5 years ago | |
dmi_dtm_ecp5.vhdl | 2 years ago | |
dmi_dtm_tb.vhdl | 3 years ago | |
dmi_dtm_xilinx.vhdl | 3 years ago | |
dram_tb.vhdl | 2 years ago | |
execute1.vhdl | 2 years ago | |
fetch1.vhdl | 2 years ago | |
foreign_random.vhdl | 3 years ago | |
fpu.vhdl | 2 years ago | |
glibc_random.vhdl | 5 years ago | |
glibc_random_helpers.vhdl | 5 years ago | |
gpio.vhdl | 2 years ago | |
helpers.vhdl | 2 years ago | |
icache.vhdl | 2 years ago | |
icache_tb.vhdl | 2 years ago | |
icache_test.bin | 5 years ago | |
insn_helpers.vhdl | 3 years ago | |
loadstore1.vhdl | 2 years ago | |
logical.vhdl | 2 years ago | |
microwatt.core | 2 years ago | |
mmu.vhdl | 2 years ago | |
multiply.vhdl | 3 years ago | |
multiply_tb.vhdl | 3 years ago | |
nonrandom.vhdl | 4 years ago | |
plru.vhdl | 3 years ago | |
plru_tb.vhdl | 3 years ago | |
pmu.vhdl | 2 years ago | |
ppc_fx_insns.vhdl | 3 years ago | |
random.vhdl | 3 years ago | |
register_file.vhdl | 2 years ago | |
rotator.vhdl | 2 years ago | |
rotator_tb.vhdl | 3 years ago | |
run.py | 3 years ago | |
sim_16550_uart.vhdl | 4 years ago | |
sim_bram.vhdl | 3 years ago | |
sim_bram_helpers.vhdl | 5 years ago | |
sim_bram_helpers_c.c | 4 years ago | |
sim_console.vhdl | 5 years ago | |
sim_console_c.c | 4 years ago | |
sim_jtag.vhdl | 5 years ago | |
sim_jtag_socket.vhdl | 5 years ago | |
sim_jtag_socket_c.c | 4 years ago | |
sim_no_flash.vhdl | 4 years ago | |
sim_pp_uart.vhdl | 4 years ago | |
sim_vhpi_c.c | 4 years ago | |
sim_vhpi_c.h | 4 years ago | |
soc.vhdl | 2 years ago | |
spi_flash_ctrl.vhdl | 2 years ago | |
spi_rxtx.vhdl | 2 years ago | |
sync_fifo.vhdl | 4 years ago | |
syscon.vhdl | 3 years ago | |
utils.vhdl | 4 years ago | |
wishbone_arbiter.vhdl | 5 years ago | |
wishbone_bram_tb.bin | 5 years ago | |
wishbone_bram_tb.vhdl | 3 years ago | |
wishbone_bram_wrapper.vhdl | 2 years ago | |
wishbone_debug_master.vhdl | 3 years ago | |
wishbone_types.vhdl | 3 years ago | |
writeback.vhdl | 2 years ago | |
xics.vhdl | 2 years ago | |
xilinx-mult.vhdl | 3 years ago |
README.md
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.
Simulation using ghdl
You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.
- Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com. You may need to set the CROSS_COMPILE environment variable to the prefix used for your cross compilers. The default is powerpc64le-linux-gnu-.
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../
A prebuilt micropython image is also available in the micropython/ directory.
-
Microwatt uses ghdl for simulation. Either install this from your distro or build it. Microwatt requires ghdl to be built with the LLVM or gcc backend, which not all distros do (Fedora does, Debian/Ubuntu appears not to). ghdl with the LLVM backend is likely easier to build.
If building ghdl from scratch is too much for you, the microwatt Makefile supports using Docker or Podman.
-
Next build microwatt:
git clone https://github.com/antonblanchard/microwatt
cd microwatt
make
To build using Docker:
make DOCKER=1
and to build using Podman:
make PODMAN=1
- Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin
Or if you were using the pre-built image:
ln -s micropython/firmware.bin main_ram.bin
- Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null
Synthesis on Xilinx FPGAs using Vivado
-
Install Vivado (I'm using the free 2019.1 webpack edition).
-
Setup Vivado paths:
source /opt/Xilinx/Vivado/2019.1/settings64.sh
- Install FuseSoC:
pip3 install --user -U fusesoc
Fedora users can get FuseSoC package via
sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
- If this is your first time using fusesoc, initialize fusesoc. This is needed to be able to pull down fussoc library components referenced by microwatt. Run
fusesoc init
fusesoc fetch uart16550
fusesoc library add microwatt /path/to/microwatt
- Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100): You may wish to ensure you have installed Digilent Board files or appropriate files for your board first.
fusesoc run --target=nexys_video microwatt --memory_size=16384 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex
You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button) on your board if you don't see anything.
- To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt
Linux on Microwatt
Mainline Linux supports Microwatt as of v5.14. The Arty A7 is the best tested platform, but it's also been tested on the OrangeCrab and ButterStick.
-
Use buildroot to create a userspace
A small change is required to glibc in order to support the VMX/AltiVec-less Microwatt, as float128 support is mandiatory and for this in GCC requires VSX/AltiVec. This change is included in Joel's buildroot fork, along with a defconfig:
git clone -b microwatt https://github.com/shenki/buildroot cd buildroot make ppc64le_microwatt_defconfig make
The output is
output/images/rootfs.cpio
. -
Build the Linux kernel
git clone https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git cd linux make ARCH=powerpc microwatt_defconfig make ARCH=powerpc CROSS_COMPILE=powerpc64le-linux-gnu- \ CONFIG_INITRAMFS_SOURCE=/buildroot/output/images/rootfs.cpio -j`nproc`
The output is
arch/powerpc/boot/dtbImage.microwatt.elf
. -
Build gateware using FuseSoC
First configure FuseSoC as above.
fusesoc run --build --target=arty_a7-100 microwatt --no_bram --memory_size=0
The output is
build/microwatt_0/arty_a7-100-vivado/microwatt_0.bit
. -
Program the flash
This operation will overwrite the contents of your flash.
For the Arty A7 A100, set
FLASH_ADDRESS
to0x400000
and pass-f a100
.For the Arty A7 A35, set
FLASH_ADDRESS
to0x300000
and pass-f a35
.microwatt/openocd/flash-arty -f a100 build/microwatt_0/arty_a7-100-vivado/microwatt_0.bit microwatt/openocd/flash-arty -f a100 dtbImage.microwatt.elf -t bin -a $FLASH_ADDRESS
-
Connect to the second USB TTY device exposed by the FPGA
minicom -D /dev/ttyUSB1
The gateware has firmware that will look at
FLASH_ADDRESS
and attempt to parse an ELF there, loading it to the address specified in the ELF header and jumping to it.
Testing
- A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check
Issues
- There are a few instructions still to be implemented:
- Vector/VMX/VSX