A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Paul Mackerras 4cf2921b0b soc: Re-do peripheral address decode to improve timing
This generates a series of io_cycle_* signals which are clean latches
and which become the 'cyc' signals of the wishbone buses going to
various peripherals (syscon, uarts, XICS, GPIO, etc.).  Effectively
this is done by moving the address decoding into the slave_io_latch
process.  The slave_io_type, which drives the multiplexer which
selects which wishbone to look for a response on, is reduced to just 8
values in the expectation that an 8-way multiplexer will use less
logic than one with more than 8 inputs.

With this timing is considerably better on the A7-100T.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
2 years ago
.github/workflows
constraints orangecrab: add Orange Crab r0.2 target 3 years ago
fpga orangecrab: Fix sdcard wishbone addressing 3 years ago
hello_world
include
lib
litedram litedram: Add orangecrab-85-0.2 target 3 years ago
liteeth Regenerate litedram and liteeth 3 years ago
litesdcard litesdcard: add lattice, regenerate 3 years ago
media
micropython
openocd flash-arty: Add cable argument 3 years ago
rust_lib_demo
scripts mw_debug: Default to jtag backend if unspecified 3 years ago
sim-unisim
tests tests/misc: Add a store/dcbz test 3 years ago
uart16550
verilator
.gitignore Add liteeth/build to gitignore 3 years ago
LICENSE
Makefile core: Make popcnt* take two cycles 3 years ago
README.md
cache_ram.vhdl
common.vhdl Introduce real_addr_t and addr_to_real() 3 years ago
control.vhdl
core.vhdl core: Add a short multiplier 3 years ago
core_debug.vhdl
core_dram_tb.vhdl
core_flash_tb.vhdl
core_tb.vhdl
countbits.vhdl core: Make popcnt* take two cycles 3 years ago
countbits_tb.vhdl core: Make popcnt* take two cycles 3 years ago
cr_file.vhdl
crhelpers.vhdl
dcache.vhdl Introduce addr_to_wb() and wb_to_addr() helpers 3 years ago
dcache_tb.vhdl
decode1.vhdl decode1: Conditional trap instructions don't need to be single-issue 3 years ago
decode2.vhdl core: Make popcnt* take two cycles 3 years ago
decode_types.vhdl
divider.vhdl
divider_tb.vhdl
dmi_dtm_dummy.vhdl
dmi_dtm_tb.vhdl
dmi_dtm_xilinx.vhdl
dram_tb.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
execute1.vhdl core: Make popcnt* take two cycles 3 years ago
fetch1.vhdl fetch1/icache1: Remove the use_previous logic 3 years ago
foreign_random.vhdl
fpu.vhdl
glibc_random.vhdl
glibc_random_helpers.vhdl
gpio.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
helpers.vhdl
icache.vhdl fetch1/icache1: Remove the use_previous logic 3 years ago
icache_tb.vhdl
icache_test.bin
insn_helpers.vhdl
loadstore1.vhdl loadstore1: Make r1.req.addr not depend on l_in.valid 3 years ago
logical.vhdl core: Make popcnt* take two cycles 3 years ago
microwatt.core core: Make popcnt* take two cycles 3 years ago
mmu.vhdl
multiply.vhdl core: Add a short multiplier 3 years ago
multiply_tb.vhdl
nonrandom.vhdl
plru.vhdl
plru_tb.vhdl
pmu.vhdl
ppc_fx_insns.vhdl
random.vhdl
register_file.vhdl
rotator.vhdl
rotator_tb.vhdl
run.py
sim_16550_uart.vhdl
sim_bram.vhdl
sim_bram_helpers.vhdl
sim_bram_helpers_c.c
sim_console.vhdl
sim_console_c.c
sim_jtag.vhdl
sim_jtag_socket.vhdl
sim_jtag_socket_c.c
sim_no_flash.vhdl
sim_pp_uart.vhdl
sim_vhpi_c.c
sim_vhpi_c.h
soc.vhdl soc: Re-do peripheral address decode to improve timing 2 years ago
spi_flash_ctrl.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
spi_rxtx.vhdl
sync_fifo.vhdl
syscon.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
utils.vhdl
wishbone_arbiter.vhdl
wishbone_bram_tb.bin
wishbone_bram_tb.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
wishbone_bram_wrapper.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
wishbone_debug_master.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
wishbone_types.vhdl Introduce addr_to_wb() and wb_to_addr() helpers 3 years ago
writeback.vhdl
xics.vhdl Make wishbone addresses be in units of doublewords or words 3 years ago
xilinx-mult.vhdl core: Add a short multiplier 3 years ago

README.md

Microwatt

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com. You may need to set the CROSS_COMPILE environment variable to the prefix used for your cross compilers. The default is powerpc64le-linux-gnu-.
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../

A prebuilt micropython image is also available in the micropython/ directory.

  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Microwatt requires ghdl to be built with the LLVM or gcc backend, which not all distros do (Fedora does, Debian/Ubuntu appears not to). ghdl with the LLVM backend is likely easier to build.

    If building ghdl from scratch is too much for you, the microwatt Makefile supports using Docker or Podman.

  • Next build microwatt:

git clone https://github.com/antonblanchard/microwatt
cd microwatt
make

To build using Docker:

make DOCKER=1

and to build using Podman:

make PODMAN=1
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin

Or if you were using the pre-built image:

ln -s micropython/firmware.bin main_ram.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc

Fedora users can get FuseSoC package via

sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
  • If this is your first time using fusesoc, initialize fusesoc. This is needed to be able to pull down fussoc library components referenced by microwatt. Run
fusesoc init
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100): You may wish to ensure you have installed Digilent Board files or appropriate files for your board first.
fusesoc run --target=nexys_video microwatt --memory_size=16384 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex

You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button) on your board if you don't see anything.

  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)