Dump CTR, LR and CR on sim termination, and update our tests

Right now our test cases fold the SPRs into the GPRs. That makes
debugging fails more difficult than it needs to be, so print
out the CTR, LR and CR.

We still need to print the XER, but that is in two spots in microwatt
and will take some more work.

This also adds many instructions to the tests that we have added
lately including overflow instructions, CR logicals and mt/mfxer.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/131/head
Anton Blanchard 4 years ago committed by Anton Blanchard
parent 115d63eaf3
commit 467630573c

@ -88,6 +88,8 @@ architecture behave of core is
signal core_rst: std_ulogic; signal core_rst: std_ulogic;
signal icache_rst: std_ulogic; signal icache_rst: std_ulogic;


signal sim_cr_dump: std_ulogic;

-- Debug actions -- Debug actions
signal dbg_core_stop: std_ulogic; signal dbg_core_stop: std_ulogic;
signal dbg_core_rst: std_ulogic; signal dbg_core_rst: std_ulogic;
@ -212,15 +214,20 @@ begin
d_in => decode2_to_register_file, d_in => decode2_to_register_file,
d_out => register_file_to_decode2, d_out => register_file_to_decode2,
w_in => writeback_to_register_file, w_in => writeback_to_register_file,
sim_dump => terminate sim_dump => terminate,
sim_dump_done => sim_cr_dump
); );


cr_file_0: entity work.cr_file cr_file_0: entity work.cr_file
generic map (
SIM => SIM
)
port map ( port map (
clk => clk, clk => clk,
d_in => decode2_to_cr_file, d_in => decode2_to_cr_file,
d_out => cr_file_to_decode2, d_out => cr_file_to_decode2,
w_in => writeback_to_cr_file w_in => writeback_to_cr_file,
sim_dump => sim_cr_dump
); );


execute1_0: entity work.execute1 execute1_0: entity work.execute1

@ -6,13 +6,19 @@ library work;
use work.common.all; use work.common.all;


entity cr_file is entity cr_file is
generic (
SIM : boolean := false
);
port( port(
clk : in std_logic; clk : in std_logic;


d_in : in Decode2ToCrFileType; d_in : in Decode2ToCrFileType;
d_out : out CrFileToDecode2Type; d_out : out CrFileToDecode2Type;


w_in : in WritebackToCrFileType w_in : in WritebackToCrFileType;

-- debug
sim_dump : in std_ulogic
); );
end entity cr_file; end entity cr_file;


@ -71,4 +77,15 @@ begin
d_out.read_cr_data <= crs_updated; d_out.read_cr_data <= crs_updated;
d_out.read_xerc_data <= xerc_updated; d_out.read_xerc_data <= xerc_updated;
end process; end process;

sim_dump_test: if SIM generate
dump_cr: process(all)
begin
if sim_dump = '1' then
report "CR 00000000" & to_hstring(crs);
assert false report "end of test" severity failure;
end if;
end process;
end generate;

end architecture behaviour; end architecture behaviour;

@ -18,7 +18,8 @@ entity register_file is
w_in : in WritebackToRegisterFileType; w_in : in WritebackToRegisterFileType;


-- debug -- debug
sim_dump : in std_ulogic sim_dump : in std_ulogic;
sim_dump_done : out std_ulogic
); );
end entity register_file; end entity register_file;


@ -78,9 +79,15 @@ begin
begin begin
if sim_dump = '1' then if sim_dump = '1' then
loop_0: for i in 0 to 31 loop loop_0: for i in 0 to 31 loop
report "REG " & to_hstring(registers(i)); report "GPR" & integer'image(i) & " " & to_hstring(registers(i));
end loop loop_0; end loop loop_0;
assert false report "end of test" severity failure;
report "LR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_LR)))));
report "CTR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_CTR)))));
report "XER " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_XER)))));
sim_dump_done <= '1';
else
sim_dump_done <= '0';
end if; end if;
end process; end process;
end generate; end generate;

@ -1,16 +0,0 @@
#!/usr/bin/python3

import re
import fileinput

r = re.compile("REG ([0-9A-F]+)");

regs = list()

for line in fileinput.input():
m = r.search(line)
if m:
regs.append(int(m.group(1), 16))
#print("%016X"% int(m.group(1), 16))

print("%x" % hash(tuple(regs)))

@ -17,17 +17,18 @@ trap finish EXIT


MICROWATT_DIR=$PWD MICROWATT_DIR=$PWD


Y=$(${MICROWATT_DIR}/scripts/hash.py tests/${TEST}.out)

cd $TMPDIR cd $TMPDIR


cp ${MICROWATT_DIR}/tests/${TEST}.bin main_ram.bin cp ${MICROWATT_DIR}/tests/${TEST}.bin main_ram.bin


X=$( ${MICROWATT_DIR}/core_tb | ${MICROWATT_DIR}/scripts/hash.py ) ${MICROWATT_DIR}/core_tb | sed 's/.*: //' | egrep '^(GPR[0-9]|LR |CTR |XER |CR [0-9])' | sort | grep -v GPR31 | grep -v XER > test.out || true


if [ $X == $Y ]; then grep -v "^$" ${MICROWATT_DIR}/tests/${TEST}.out | sort | grep -v GPR31 | grep -v XER > exp.out
echo "$TEST PASS"
else cp test.out /tmp
echo "$TEST FAIL ********" cp exp.out /tmp
exit 1
fi diff -q test.out exp.out && echo "$TEST PASS" && exit 0

echo "$TEST FAIL ********"
exit 1

Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFEA9FF0004 GPR0 000000000000003D
REG 0000000072E50000 GPR1 0000000000000000
REG 0000000000000000 GPR2 000000000001C020
REG 0000000000001CAA GPR3 FFFFFFFFFFFE3FDF
REG 0000000000000040 GPR4 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR5 0000000000000000
REG 0000000000000000 GPR6 FFFFFFFFFFFE3FDF
REG 000B000100000000 GPR7 0000000000000000
REG 0000000000000000 GPR8 FFFFFFFFFFFFFFDF
REG 000000000000131F GPR9 01FFFC0001F80000
REG 0000000000000000 GPR10 000000009D370008
REG FFFFFFFFA9F40004 GPR11 000000003FFF8000
REG 000B000100000000 GPR12 0000000000000000
REG 0000000000000000 GPR13 0000000000000000
REG 0000000000040000 GPR14 000000000000599F
REG 0000000000000000 GPR15 0000000000000000
REG 0000000000000000 GPR16 000000000000001E
REG FFFFFFFF8D1AFFFF GPR17 0000000000000000
REG 0000000000000004 GPR18 FFFFFFFFFFFFFFDF
REG 0000000028808000 GPR19 0000000000000000
REG 000000000161E8C0 GPR20 FFFFFFFF62C8FFFD
REG 000000000000BCD9 GPR21 000000000001C020
REG FFFFFFFFFFFFFFF1 GPR22 00000000000018C0
REG 000000000000471E GPR23 0000000000000000
REG 000000000000BCD9 GPR24 0000000000000000
REG 0000000028808000 GPR25 0000000000000000
REG 000010000000BC00 GPR26 FFFFFFFFFFFE3FDF
REG 0000000000000000 GPR27 0000000084370000
REG 0000000100000001 GPR28 0000000000000000
REG 0000000000000000 GPR29 000000000000F1B8
REG 0000000000001CAA GPR30 0000000000000020
REG 0000000051010001 GPR31
CR 000000003F982003
LR 0000000000040000
CTR 000000000000003F
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 00000000290C5C65 GPR0 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000000
REG 0000000000000000 GPR3 0000000000000000
REG 0000000000000000 GPR4 00000000000029AB
REG 0000000000000000 GPR5 00000000000029AB
REG 0000000000000000 GPR6 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR7 7FFFFFFF0000FFDF
REG FFFFFFFFFFFFC802 GPR8 7FFFFFFF0000FFDE
REG 0000000000000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 7FFFFFFF0000FFDE
REG 0000000000000000 GPR11 0000000000000000
REG FFFFFFFFFFFF04EC GPR12 0000000000000000
REG FFFFFFFFDFFFFFFE GPR13 FFFFFFFFFFFFD655
REG 0000000000000000 GPR14 0000000000000018
REG 0000000000000000 GPR15 0000000000000000
REG 0000000000000000 GPR16 0000000000000020
REG 0000000000000000 GPR17 0000000000000000
REG 0000000000000040 GPR18 0000000000000000
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000000
REG 0000000000000000 GPR21 0000000000000000
REG 0000000005800000 GPR22 0000000000000000
REG 0000000000000000 GPR23 80000000FFFF0022
REG 0000000000000020 GPR24 0000000000000000
REG 0000000000000000 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000000 GPR27 0000000000000000
REG 0000000000000000 GPR28 0000000000000000
REG 0000000000000000 GPR29 0000000095605509
REG 0000000000000000 GPR30 0000000000000000
REG 0000000080000000 GPR31
CR 0000000035605509
LR 0000000000000000
CTR FFFFFFFFFFFFFFFF
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FC00000030B80F7E GPR0 FFFFFFFFFFFFA071
REG FFFFFFFFFFFFFFFF GPR1 0000000000050000
REG 000000000000001F GPR2 0000000000000000
REG 0000000000000009 GPR3 000000008000003F
REG FFFFFFFFFFFFF03F GPR4 0000000080000040
REG 0000000628C6749B GPR5 0000000000000000
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000000
REG FFFFFFFFFFFFFFF4 GPR8 0000000000000000
REG 0000000000000FC0 GPR9 0000000000000000
REG 0000000000000F80 GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 000000000000001B GPR12 00000000FFFC0000
REG 000000000000AFC6 GPR13 FFFFFFFF00040000
REG 00000000CAEC001F GPR14 0000000000000000
REG FFFFFFFFFFFFFFE1 GPR15 0000000000000000
REG 0000000000000000 GPR16 0000000000000000
REG FFFFFFFFFFFFFFFF GPR17 0000000000000000
REG 0000000000000007 GPR18 0000000000000000
REG 0000000628C67484 GPR19 0000000000000000
REG FFFFFFF9FFF6101F GPR20 FFFFFFFFFFFF894F
REG 000000002300001F GPR21 0000000000000000
REG 0000000000000040 GPR22 0000000000005F8E
REG FFFFFFFFFFFFFFFF GPR23 0000000000000000
REG 000000000000007C GPR24 0000000000000000
REG 000000000000001F GPR25 0000000000000000
REG FFFFFFF9FBC21000 GPR26 00000000800008ED
REG 0000000000000000 GPR27 0000000000000000
REG FFFFFFFFFFFFF07F GPR28 0000000001000000
REG 000000001CF4001F GPR29 0000000000000000
REG 000000000000007C GPR30 0000000000000000
REG 000000005FF10911 GPR31
CR 000000003554009C
LR 0000000000005F8E
CTR FFFFFFFFFFFFFFFF
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000042 GPR0 0000000000000001
REG 00000000E0000000 GPR1 9FFFFFFFFFFFA0D0
REG 0000000000000000 GPR2 000000000001C023
REG 0000000000000000 GPR3 0000000000000000
REG 0000000000000000 GPR4 0000000000000000
REG 00000000001CE494 GPR5 0000000000000000
REG 0000000000000000 GPR6 000000000000001C
REG 0000000000000002 GPR7 0000000000000002
REG FFFFFFFFFFFFABBB GPR8 6000000000005F26
REG 0000000000000000 GPR9 000000000001C020
REG 8000000000000000 GPR10 0000000000000010
REG 0000000000000039 GPR11 9FFFFFFFFFFFA0EA
REG 0000000000000000 GPR12 0000000000000040
REG 8000000000000000 GPR13 0000000000000020
REG 0000000100000001 GPR14 6000000000000030
REG FFFFFFFFFFFFFFC0 GPR15 0000000000000000
REG 0000000000000000 GPR16 FFFF6DC14D9F06EE
REG 00FFFFFFFFFFFFFF GPR17 000000000000001B
REG 0000000000000000 GPR18 0000000000000010
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 000000000001C023
REG 0000000000000000 GPR21 00000000E5240002
REG 00FFFFFFFFFFFFFF GPR22 000000000001FE2C
REG 0000000000000040 GPR23 000000000000000A
REG 00000000001D5A80 GPR24 0000000000000003
REG 0000000000000000 GPR25 0000000000000000
REG 0000000100000003 GPR26 0000000000000000
REG 0000000000000068 GPR27 000000000000001F
REG 0000000000000000 GPR28 0000000000000000
REG 0100000000000033 GPR29 FFFFFFFFFF00FF00
REG 0000000000000007 GPR30 0000000000000010
REG 0000000085000040 GPR31
CR 000000003F5F365E
LR 0000000000000002
CTR FFFFFFFFFFFE3FDB
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 00000000000000ED GPR0 0000000000000000
REG 0000000000000000 GPR1 00000000637C0000
REG 000000000000074A GPR2 0000000000007972
REG 0000000000000001 GPR3 0000000321487624
REG 0000000000000000 GPR4 FFFFFFFFFFFFFFFF
REG 0000000006FE7D07 GPR5 FFFFFFFFCF6FF8D6
REG 0000000000000000 GPR6 FFFFFFFCFFFFFFFB
REG 0000000000000000 GPR7 0000000000000000
REG 0000000000000000 GPR8 000142F8000142F8
REG 0000000000000001 GPR9 0000000300000003
REG 0808000806FE7D06 GPR10 0000000000000000
REG 0000000000000004 GPR11 FFFFFFFFCF6FF8D5
REG 00000000B83A0000 GPR12 0000000000000001
REG 0000000000001B05 GPR13 0000000000000000
REG FFFFFFFFFFFFFFF8 GPR14 FFFFFFFFCF6FF8D6
REG 0003100000000000 GPR15 FFFFFFFF131CF8D5
REG 0000000000000000 GPR16 00000000000075CC
REG 00000100000050EA GPR17 0000000000000000
REG 0000000000000007 GPR18 0000000000000000
REG FFFFFFFFFFFFFFFF GPR19 0000000000000000
REG 0000000000000000 GPR20 FFFFFFFFFFFFE76F
REG 0000000000000000 GPR21 0000000000000000
REG 0000000000000000 GPR22 0000000000000000
REG 000002000000A1D0 GPR23 000000000000001E
REG 0000000020000000 GPR24 0000000000000000
REG 0000000000000007 GPR25 000000000001C020
REG 0000000000001B05 GPR26 0000000000000000
REG 0000000044882888 GPR27 0000000000000000
REG 0000000000000000 GPR28 0000000000000020
REG 0000000000000000 GPR29 0000000000000000
REG 0000000000001B05 GPR30 FFFFFFFFFFFFFFDF
REG 00000000810001E1 GPR31
CR 0000000030900729
LR 0000000000000000
CTR FFFFFFFFFFFFFFDF
XER 00000000A007FFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFD338C3F GPR0 0000000000000A97
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000004870 GPR2 0000000000000000
REG 000000003FFFFFC0 GPR3 0000000039999059
REG FFFFFFFFFFFFFFFF GPR4 FFFFFFFFCCAAFFFF
REG 480085E048000000 GPR5 FFFFFFFFCCAAFFFF
REG FFFFFF8000001FE5 GPR6 0000000000000000
REG 0000000000000000 GPR7 000000000000000C
REG 0000000000000000 GPR8 0000000000000000
REG 0000000000000001 GPR9 FFFFFFFFCCAAFFFF
REG 0000000000000000 GPR10 000000000000001D
REG 000000000000001A GPR11 F7FFFFFFFFFF8278
REG 0000000005040001 GPR12 FFFFFFFFFEAED888
REG FFFFFFFFFFFFFFFF GPR13 0000000009000001
REG 0000002820000000 GPR14 0000000000000000
REG F58349ADEDA77E00 GPR15 0000000000000000
REG FFFFFFFFFFFFFFF8 GPR16 0000000009000000
REG 000000000000001A GPR17 0000000000000000
REG FFFFFFFFE00003FF GPR18 FFFFFFFFFFFFE09E
REG 0000000000000020 GPR19 0000000000000000
REG 00000000000009E4 GPR20 000000000001C018
REG 0000000000000000 GPR21 FFFFFFFFCCAAFFFF
REG 0000000000000000 GPR22 00000000E00C0000
REG 0000000000000000 GPR23 0000000000003FD7
REG 0000000000000000 GPR24 0000000000000000
REG 0000000000000000 GPR25 0000000000000000
REG 0000000000000008 GPR26 000000001CF60000
REG FFFFFFFFFFFFFFFF GPR27 0000000000000005
REG FC0000000505CC9F GPR28 0000000000000000
REG FFFFFFFFFFFFFFFF GPR29 0000000000000005
REG 0000000000000000 GPR30 0000000000000000
REG 000000000A080003 GPR31
CR 000000009099909B
LR FFFFFFFFCCAAFFFF
CTR FFFFFFFFFFFFFFFF
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FF9FFFFF21240000 GPR0 FFFFFFFFFFFFFFFF
REG 000000000017EE80 GPR1 FFFFFFFFFFFFFC0A
REG 00000001BFC00000 GPR2 FFFFFFFFFFFFFC0A
REG 0060000000780000 GPR3 0000000000000000
REG 0000000000000020 GPR4 FFFFFFFFFFFFFFFB
REG FFFFFFFFFFFFFFFF GPR5 0000000000000000
REG 0000000000000000 GPR6 FFFFFFFFE055FFFF
REG 0000000000000000 GPR7 0000000000000000
REG 0000000000000000 GPR8 0000000000000000
REG FFFFFFFFFFFFFFFF GPR9 00000000007FFF80
REG FFFFFFFFFFFFFF95 GPR10 0000000000005000
REG FFFFFFFFE0CA9244 GPR11 0000000000000000
REG FFFFFFFFFFFFFFFF GPR12 0000000000000000
REG 0000000000000000 GPR13 0000000000000000
REG FFFFFFFFFFFF408B GPR14 0000000000000000
REG 0000000040000000 GPR15 0000010400000104
REG 0000000000000000 GPR16 0000000000000000
REG FFFFFFFFFFFF9ED4 GPR17 0000000000000000
REG 00000000000002FD GPR18 0000000000000000
REG 0000000000000000 GPR19 FFFFFFFFFFFFFFFF
REG 0000000000000001 GPR20 0000000000000000
REG 0000000000000000 GPR21 FFFFFFFFE0560104
REG 0000000000000000 GPR22 0000000000000017
REG FFFFFFFFE0CA9244 GPR23 0000000000000000
REG 0000000000000020 GPR24 FFFFFFEFFFFFFFFF
REG 000000000000EA01 GPR25 0000000000000105
REG 0000000000000000 GPR26 000000000001C020
REG 000000000D000000 GPR27 0000000000000010
REG 0000000000000000 GPR28 0000000000000000
REG FFFFFFFFFFE80000 GPR29 FFFFFFFFE0560000
REG 0000000000000000 GPR30 0000000000000000
REG 000000005A108491 GPR31
CR 000000009A025C0A
LR FFFFFFFFFFFFFFFF
CTR 000000000001C020
XER 000000008001C020


Binary file not shown.

@ -1,32 +1,37 @@
REG 00003FFFFFFF8001 GPR0 000000000000262A
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000000
REG 49C2000000000082 GPR3 0000000000000000
REG 801F100000000000 GPR4 0000000000000000
REG FFFFFFFFFDF6D8F0 GPR5 0000000000000000
REG 49C2000000000082 GPR6 0000000000000000
REG 0000000000000026 GPR7 0000000000000000
REG FFFFFFFFFFFEFFFF GPR8 0000000000000000
REG 801F100000000000 GPR9 0000000000000000
REG FFFFFF00FFFFFFFF GPR10 0000000000000000
REG FFFFFF00FFFFFFFE GPR11 0000000000000000
REG FFFFFF00FFFFFFFE GPR12 0000000000000000
REG FFFFFFFFD56124E1 GPR13 0000000000000000
REG FFFFFF00FFFFFFFF GPR14 0000000000000000
REG 0000000000008000 GPR15 0000000000000000
REG 0000000000000026 GPR16 0000000000000000
REG EAB09270E8409270 GPR17 0000000000000000
REG 0000000000000000 GPR18 0000000000000000
REG FFFFFF00FFFFFFFF GPR19 000000000001FF00
REG 000801F1000001E8 GPR20 FFFFFFFFFFFFA840
REG 0000000000000000 GPR21 0000000000000000
REG FFF7FE0EFFFFFFFF GPR22 FFFFFFFFFFFFFFFF
REG 7FE0F00000000000 GPR23 000000000000364F
REG FFFFFF00FFFFFFFE GPR24 0000000000000000
REG 00000000004124E1 GPR25 0000000000000000
REG 0000000038820000 GPR26 0000000000000005
REG 00000000004124E1 GPR27 0000000000000040
REG 03FFFFFFFF000000 GPR28 FFFFFFFFFFFE3FCD
REG 0000000000000000 GPR29 0000000000000000
REG 0000002500000025 GPR30 0000000000000000
REG 000000001090001E GPR31
CR 0000000022957593
LR 0000000000001BE6
CTR 000000000000001F
XER 0000000020040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000048200024 GPR0 0000000000000020
REG FFFFFFFFFFFFFFFF GPR1 FFFFFFFFFFFFFFBC
REG 0000000000000001 GPR2 00000000E00FFF00
REG 0000000000000002 GPR3 0000000000000000
REG FFFFFFFF82410000 GPR4 FFFFFFFFFFFFFFDF
REG 0000000000000000 GPR5 0000000000000000
REG 00000000BA76001F GPR6 0000000000000000
REG 020000202A26001F GPR7 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF GPR8 0000000000000000
REG 0000000000000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFFFFFFE616
REG 0000000000000000 GPR11 0000000000000000
REG 0000000000000000 GPR12 0000000000000013
REG 0000000000000002 GPR13 0000000000000000
REG 0000001F0000000A GPR14 0000000000000000
REG 0004C0000004C000 GPR15 FFFFFFFFFFFFFFBF
REG FFFFFFFFF7FFFFFD GPR16 0000000000000000
REG 0000000000000001 GPR17 FFFFFFFFFFFFFF00
REG FFFFFFFFFFFFFFFF GPR18 0000000000000000
REG 0000000000000000 GPR19 0000000000000000
REG BE041CE7FFFBDC6A GPR20 FFFFFFFFFFFFFFFF
REG 00000000000059A0 GPR21 0000000000000006
REG 0000000000000006 GPR22 FFFFFFFFFFFFFF00
REG 0000000048200024 GPR23 0000000000000008
REG 0000000000000000 GPR24 0000000002200000
REG FFFB3FFFFFFB4000 GPR25 0000000000000006
REG 0000000000000000 GPR26 0000000000000006
REG FFFFFFFFFFFFFFFF GPR27 00000000E00FFF00
REG 0000000000000000 GPR28 0000000000000044
REG FFFFFFFFFFFFFFFF GPR29 0000000000000043
REG 0000000000000000 GPR30 0000000000000000
REG 0000000090400048 GPR31
CR 0000000090B000D5
LR 0000000000000020
CTR 000000000000003F
XER 00000000A007FF00


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000070000075A GPR0 0000000000000000
REG 0000000000000000 GPR1 0000000000000002
REG BFFFFFFFBE000004 GPR2 0000000005000000
REG 0000000001FFFFFB GPR3 FFFFFFFFFFFEFFFF
REG 0000000000000000 GPR4 0000000005000000
REG FFFFFEF2FFFFA904 GPR5 00000000AAAABFAC
REG 0200000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000000
REG FFFFFFFFFFFFFFFB GPR8 0000000000000000
REG F873800000000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFF00000000
REG 0000000000000001 GPR11 0000000000000000
REG FFFFFFFFFFFE1CE0 GPR12 0000000000000000
REG 0000000001FFFFFB GPR13 00000000AAAAAAAA
REG 0000000000000000 GPR14 0001980000019800
REG FFFFFFFFFFFFFFFF GPR15 0000000000000066
REG 0000000000000000 GPR16 0000000000000000
REG 078C2C003F540004 GPR17 0000000000010000
REG 00000000000007E8 GPR18 0000000000000000
REG 0000000002000871 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000000
REG 0000000000000000 GPR21 0000000000000000
REG FFFFFFFFFE000004 GPR22 0000000000000000
REG FFFFFFF1FFFFAB02 GPR23 0000000005000000
REG 0000000000000000 GPR24 0000000000000040
REG 078C2C003F540004 GPR25 0000000000000000
REG F873D3FFC1540004 GPR26 0000000000000000
REG 00000007184E0100 GPR27 FFFFFFFFFFFEFFFF
REG 4000000040000000 GPR28 000000007B8F0000
REG FFFFFFFFFFFFFFFF GPR29 0000000000000000
REG 0000000000000001 GPR30 0000000000000000
REG 0000000108800088 GPR31
CR 00000000553953F4
LR FFFFFFFFFFFFFFFF
CTR 00000000AAAAAAAA
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 7851A07EFFA70241 GPR0 0000000080000000
REG 0000000000000000 GPR1 0000000000FC867C
REG 0000000000004000 GPR2 0000000000000020
REG D30FE001D310661A GPR3 0000000000000000
REG D3102F7BD3102F7B GPR4 00000000FFFFFFFF
REG FFFBFFFFFFFD7FFF GPR5 FFFFFFFFFFFFFFFF
REG 87F000010000423F GPR6 0000000000000000
REG FFFBFFFFFFFD7E00 GPR7 0000000000000000
REG 87F40015741BFFD1 GPR8 FFFFFFFEFFFFFFFF
REG 7B81FFFF4000001F GPR9 FFFFFFFFFFFE3FE0
REG 0000000000000000 GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 00000000000C110F GPR12 0000000000000000
REG FFFFFFFFFFFFFFCB GPR13 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR14 FFFFFFFFFFFFFF00
REG 0000000000000000 GPR15 0000000000000000
REG FFFFFFFFFFFF5BC0 GPR16 000000000001C020
REG 00000000000007FF GPR17 0000000000000020
REG 000000147419F50E GPR18 FFFFFFFFFFFE3FE0
REG 0000000000000000 GPR19 0000000000000020
REG 0000000000000000 GPR20 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR21 00000007FFFFF000
REG 87F40015741C5662 GPR22 000000000001CF06
REG 0000000000000000 GPR23 000000000001C020
REG FFFFFFF94C438180 GPR24 0000000000000020
REG 780BFFFEFFFD11AF GPR25 FFFFFFFFFFFE30FA
REG 00000000FFFFBDC0 GPR26 0000000000000000
REG 0000000000000000 GPR27 0000000000000000
REG FFFFFFF94C438180 GPR28 0011B141B629DF63
REG FFFFFFF94C43C3BF GPR29 0000000000000020
REG 780BFFFEFFFD11AF GPR30 FFFFFFFFFFFFFFFF
REG 0000000040088004 GPR31
CR 000000005FF37D44
LR FFFFFFFFFFFE3FE0
CTR FFFFFFFFFFFFFFFF
XER 00000000A007FFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000000001E GPR0 FFFFFFFFFFFE3FDA
REG 0000000000000000 GPR1 0000000000000001
REG 0000000000000001 GPR2 0000000000000001
REG 0000000000000000 GPR3 0000000000000000
REG 0000000000000000 GPR4 0000000000000020
REG 0000000000000010 GPR5 0000000000000000
REG FFFFFFFFFFFFFFFF GPR6 FFFFFFFFFFFFFFFF
REG 0000000000000040 GPR7 000000000001C020
REG 0000000000000000 GPR8 0000000000000005
REG 00003FFFCE9561AE GPR9 0000000000000000
REG 0000000000000001 GPR10 FFFFFFFFFFFFFFF0
REG 0000000000000000 GPR11 FFFFFFFFFFFFFFFF
REG 0000000000000007 GPR12 0000000000000000
REG 0000001F9AA70020 GPR13 0000000000000000
REG 0000000000000000 GPR14 FFFFFFFFFFFFFFFF
REG 0000000000000001 GPR15 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF GPR16 0000000000000000
REG FFFFFFFF7FFFFFFF GPR17 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR18 0000000000000005
REG FFFFFFFF76D1FFF0 GPR19 0000000000000000
REG FFFFFFFFFFFFFFF0 GPR20 0000000000000000
REG FFFFFFFFFFFFFFFF GPR21 0000000000000000
REG 00000000892E0000 GPR22 0000000000000000
REG 00007FFFFFFFFFFF GPR23 0000000000000000
REG FFFFFFFFFFFFFFFF GPR24 000000000001C020
REG 0000000000000000 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000000 GPR27 0000000000000000
REG 0000000000000000 GPR28 00000000000066CA
REG FFFFFFFFFFFFFFFF GPR29 0000000000000000
REG 0000000000000000 GPR30 0000000000000001
REG 0000000040088088 GPR31
CR 000000009AA00000
LR 0000000000000000
CTR 00000000000066CA
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000 GPR0 5000000080000005
REG FFFFFFFFFFFFFFFF GPR1 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR2 FFFFFFFFFFFFFFDF
REG 000000004C5B0000 GPR3 000000000001C020
REG 0000000000000000 GPR4 0000000000000006
REG 0000000000000000 GPR5 000000009BD40000
REG 0000000000000000 GPR6 0000000000000000
REG FFFFC00000000000 GPR7 000000000001C020
REG FFFFFFFFFFFF8D9E GPR8 0000000000000000
REG 0000000000000000 GPR9 FFFFFFFFFFFFD386
REG FFFFFFFFFFFF5E44 GPR10 FFFFFFFFFFFE3FE0
REG FFFFFFFFFFFFE8C8 GPR11 000000005954092D
REG 00000000FFFFFFFF GPR12 00000000000599FF
REG FFFFFFFFFFFFFFFF GPR13 0000000000000000
REG 0000000000000000 GPR14 FDF0000000000003
REG 0000000000000000 GPR15 FFFFFFFFFFFE3FE0
REG 3FFFFFF8FFFFFFF8 GPR16 FFFFFFFFFFFE3FDF
REG FFFFFFFFFFFFFFFF GPR17 000000000001C020
REG FFFFFFFFFFFFFFFF GPR18 0000000000000005
REG FFFFFFFFFFFF5E44 GPR19 0000000000000006
REG 000000004C5B0000 GPR20 000000000000C020
REG 0000000000000000 GPR21 0000000000000000
REG 0000000000000000 GPR22 0000000000000000
REG 0000000020000000 GPR23 FFFF259CF2217C75
REG FFFFFFFFFFFFBD1F GPR24 0000000000000000
REG FFFFFFFFFFFFFFFF GPR25 000000000000001D
REG FFFFFFFF00000001 GPR26 0000000000000023
REG 0000000000000000 GPR27 0000000000000000
REG FFFFFFFFFFFFFFFF GPR28 0000000000000006
REG 0000000000000000 GPR29 0000000000000001
REG 000000000000A1BB GPR30 FFFFFFFFFFFFFFFF
REG 000000010401FFFE GPR31
CR 000000005954092D
LR 0000000000000023
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 003AD23688890019 GPR0 0000000000000000
REG 0000000000000040 GPR1 0001FFFC00000000
REG 0000000000000000 GPR2 0000000000000000
REG 0000000000000001 GPR3 000000007C894B49
REG 0000000000000000 GPR4 0000000000000000
REG 0000000000000001 GPR5 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000040 GPR7 0000000000000020
REG 0000000000000000 GPR8 0000000037934B49
REG 00000000972B0000 GPR9 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR10 FFFFFFFFFFFFFFBF
REG 0000000000000001 GPR11 0000000000000040
REG 0000000000000000 GPR12 000000000001C020
REG 004080C0D0C0C089 GPR13 0000000000000001
REG 0000000000000000 GPR14 0000000000000000
REG 0000000000000317 GPR15 0000000000000000
REG FFC52DC9E05DFFFF GPR16 0000000000000000
REG 0000000000000000 GPR17 0000000000000000
REG 0000000000000000 GPR18 0000000000000000
REG FFFFFFFFFFFFFFD1 GPR19 FFFFFFFF844C0000
REG 000000000000002F GPR20 0000000000000000
REG 0000000000000000 GPR21 0000000000000000
REG 0000000000000000 GPR22 0000000000000001
REG FFFFFFFFFFFF89A0 GPR23 0000000000000000
REG 0000000000000000 GPR24 0000000000000001
REG 0000000000000006 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0808080503040000 GPR27 0000000000000000
REG 0808080503040000 GPR28 00000000A0040000
REG 0000000000000030 GPR29 0000000000000000
REG 0000000000000000 GPR30 FFFFFFFFFFFFFFFE
REG 0000000104005000 GPR31
CR 0000000037930B09
LR 0000000000000018
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000032 GPR0 0000000000000020
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000000
REG FFFFFFFFFFFFFFFF GPR3 0000000000000000
REG 0000000000000000 GPR4 FFFFFFFFFFFFFFFF
REG 0000000000000001 GPR5 0000000000000000
REG 0000000000000000 GPR6 000000000001C03C
REG 0000000000000046 GPR7 0000000000000020
REG FFFFFFFFFFFFFFFF GPR8 0000000000000001
REG FFFFFFFFFFFF9DDB GPR9 0000000080000040
REG FFFFFFFF55B2FFBF GPR10 0000000000000000
REG FFFFFFFFFFFFFFFF GPR11 0000000000000000
REG 000000000000C6C3 GPR12 000000000000002C
REG 0000000000000000 GPR13 000000000001C020
REG FFFFFFFFFFFFFFB9 GPR14 000000000001C03C
REG FFFFFFFFFFFF3983 GPR15 0000000000000000
REG 0000000000000033 GPR16 0000000000000000
REG 0000000000000000 GPR17 FEFFFFFFFFFFFFFF
REG 0000023000000030 GPR18 0000000000000004
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000000
REG 0000000000000003 GPR21 0000000000000000
REG 0000000000000008 GPR22 0000000000000000
REG 7C20000000000000 GPR23 FFFFFFFFFFFF0000
REG 0000000000000000 GPR24 FF00000000000000
REG 0000000000000000 GPR25 0000000000000000
REG 0001FFFFFFFFFF73 GPR26 0000000000000658
REG 0000000000000002 GPR27 0000000000000000
REG FFFFFFFFFFFFFFBF GPR28 0000000000000000
REG 0000000000000040 GPR29 0000000000000000
REG 0000000000000000 GPR30 0000000000000000
REG 00000001011E89F2 GPR31
CR 0000000036818653
LR 0000000000000004
CTR 0000000000000000
XER 00000000C009C03C


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFF9A6A GPR0 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR1 0000000000000000
REG FFFFFFFFFFFFFFF7 GPR2 000000000001C020
REG 0000000000000000 GPR3 0000000000000000
REG 0000000000000000 GPR4 00000000FFFFD42C
REG 0000000000003350 GPR5 0000000000000000
REG FFFFFFFFFFFFFFFF GPR6 FFFFFFFFFFFFFFFF
REG 00000FFFFFFFF7FF GPR7 0000000000000004
REG 0000000000000001 GPR8 0000000000000000
REG FFFFFFFFFFFF9A6A GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFFFFFFD42B
REG 0000001A00000012 GPR11 0000000000000000
REG FFFFFFFFFFF34D40 GPR12 000000000001C020
REG 000000002F280888 GPR13 0000000000000000
REG 0000000000000001 GPR14 0000000000000001
REG 0000000000000000 GPR15 FFFFFFFFFFFFA2CA
REG 0007FF0000000000 GPR16 0000000000000000
REG FFFFFFFFD0D7FFFF GPR17 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFB350 GPR18 0000000000000000
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000000
REG 000000000000003C GPR21 FFFFFFFFFFFFA2CA
REG 0000000000000020 GPR22 0000000000000000
REG FFFFFFFFFFFF0000 GPR23 0000000000000000
REG 0000000000000000 GPR24 0000000000000000
REG FFFFFFFFFFFF5F77 GPR25 FFFFFFFFFFFFFFFF
REG D0D72F27D0D72F27 GPR26 0000000000000000
REG 0000000000000001 GPR27 0000000000000040
REG 0000002000000000 GPR28 FFFFFFFFFFFFEDA2
REG 0000002000000017 GPR29 0000000000000000
REG 0000000000000000 GPR30 0000000000000000
REG 0000000041FA11F1 GPR31
CR 000000009958299B
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFB357FFC1 GPR0 0000000000000001
REG 0000000000000021 GPR1 00000000007007C0
REG 0000000000000000 GPR2 000000000001C020
REG FFFFFFFFFFFFFFCF GPR3 0000000000000040
REG 0000000000008DF8 GPR4 0000000000000000
REG 000000007FFFF7C0 GPR5 0000000000000000
REG 0000000000000000 GPR6 000000FFFFFFFFFF
REG FFFFFFFFFFFFFFE1 GPR7 0000000000000000
REG FFFFFFFFFFFFFFFF GPR8 0000000000000000
REG 0000000000000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 0000000000000000
REG 00000000000090D6 GPR11 0000000000000000
REG 0000000000000001 GPR12 0000000000000000
REG 0000000000000000 GPR13 0000000000000000
REG 0000000000000000 GPR14 0000000000000000
REG FFFFFFFFFFFFFFE1 GPR15 0000000000000000
REG 000000000000C4A7 GPR16 0000000000000000
REG 0000000000000000 GPR17 0000000000000020
REG 0000000000000000 GPR18 0000000000000000
REG FFFFFFFFFFFFFFE0 GPR19 00000000000010C2
REG 0000000048D50000 GPR20 0000000000000000
REG 00000000000034E1 GPR21 000000000000001E
REG FFFFFFFFFFFFFFFF GPR22 0000000000000000
REG FFFFFFFFFFFFEAD2 GPR23 0000000000000040
REG 0000000000000000 GPR24 0000000000000000
REG FFFFFFFF44A9FFDF GPR25 0000000000000040
REG 000000000000D120 GPR26 0000000000000000
REG FFFFFFFFFFFFFFDF GPR27 0000000000010001
REG 00000000000090D5 GPR28 000000000001C01F
REG 000000000000FC00 GPR29 0000000000000000
REG 0000000000000040 GPR30 0000000000000000
REG 0000000040000700 GPR31
CR 000000005A31A999
LR 0000000000000000
CTR FFFFFFFFFFFFFFFF
XER 00000000E00C0040


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFF8E09C556F GPR0 FFFFFFFE373DFFFF
REG 000000002448848E GPR1 0000000000000000
REG 0000000083C40000 GPR2 0000000000000001
REG 000000000000FF00 GPR3 0000000000000000
REG E3A0008CE810D740 GPR4 00000000E4610000
REG 0000080000000000 GPR5 FFFFFFFE373E0000
REG 09200002A89AE240 GPR6 0000000000000000
REG 8D7F3BB3449942C5 GPR7 0000000000000003
REG 0002A5B9121A971C GPR8 80000000001DFFDF
REG FC48980000189976 GPR9 000000006FFFFFFE
REG 0002A5B9121A975C GPR10 FFFFFFFFFFFFFFFE
REG FFFFFFF8E0906D06 GPR11 00000000E4610000
REG 00000000EDDA0035 GPR12 0000000000000000
REG FFB6FFFFEABB77ED GPR13 E000000000000000
REG 0000000000005F00 GPR14 0000000000000000
REG FFFFFFFFFFFFFFFD GPR15 0000000000000000
REG FFFFFFFFFFFFA0DA GPR16 000000000001C020
REG 0000000000000035 GPR17 0000000000000020
REG 0000010000000000 GPR18 000000000000B002
REG 0000000000005F26 GPR19 FFFFFFFFFFFFF803
REG 0000000000000000 GPR20 0000000000000000
REG FFFFFFFFFFFFFFFF GPR21 0000000000000000
REG 0000000000005F26 GPR22 0000000000000000
REG 0000000000000000 GPR23 FFFFFFFFFFFFFFFD
REG 0048FFFFFFFF8808 GPR24 0000000000000000
REG 0000000000000020 GPR25 00000000000001FF
REG 0000000000000040 GPR26 0000000000000000
REG E3A0008CE810C566 GPR27 0000000000000005
REG FFFFFFFFEABAFFF6 GPR28 000000000000001D
REG FFFFFFDFFFFFA0FA GPR29 000000000001C020
REG FFFFFFFFFFFFFFAA GPR30 0000000000000000
REG 000000004891091D GPR31
CR 000000003FC05854
LR 0000000000000380
CTR FFFFFFFFFFFF00BC
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG BFFFFC0A1FFFF7DB GPR0 000000000001BFDD
REG 0000000000000000 GPR1 FFFFFFFFC0AE366B
REG 0000000000000000 GPR2 0000000000000000
REG 800009200003F000 GPR3 0000000000000000
REG 0000000000000040 GPR4 0000000000000000
REG 000000004F7FE848 GPR5 FFFFFFFFFFFF87CC
REG FFFFFFFFE00098C3 GPR6 0000000000000000
REG FFFFFFFF80000000 GPR7 0000000000000000
REG 000000001FFF673D GPR8 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR9 0000000000000000
REG FFFFFFFFE000FBAF GPR10 0000000000000001
REG FFFFFFFFFFFFF172 GPR11 000000003F51C995
REG 0000000000000000 GPR12 0000000000000000
REG 00000000000FFFFE GPR13 0000000000000000
REG 0007FFFEFFF00002 GPR14 0000000000000000
REG 0000000000004E91 GPR15 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR16 0000000000000000
REG FFFFFFFFE0005799 GPR17 0000000000000000
REG 0000000000000000 GPR18 0000000000000000
REG 0000000000000000 GPR19 0000000062CA0000
REG FFFFFFFFC0000000 GPR20 0000000000000000
REG 000003FFE000082E GPR21 0000000000000000
REG 00000000FFFF7EDC GPR22 0000000000000000
REG FFFFFFFFFFFFFFFF GPR23 0000000000000001
REG 000000001FFF5799 GPR24 0000000000000000
REG 0000000000000000 GPR25 FFFFFFFFFF80007F
REG 0000000017FD0000 GPR26 0000000000000000
REG FFFFBF6EE007BF6E GPR27 FFFFBFFFFFFFC000
REG 0000000000000000 GPR28 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFF0000 GPR29 0000000000000001
REG FFFFFFFF80000000 GPR30 0000000000000000
REG 000000005EFFD110 GPR31
CR 000000003F51C999
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000042 GPR0 000000003550D795
REG FFFFFFFFFE48FFFF GPR1 0000000000000000
REG 00006F3900006F39 GPR2 0000000000000000
REG 0000000000000000 GPR3 0000000000000000
REG 0000000000000000 GPR4 0000000000000000
REG 0000000003FE0000 GPR5 0000000000000000
REG 100106B000000000 GPR6 0000000000000000
REG FFFFFFFFFFFFFE13 GPR7 0000000000000000
REG FFFFFFFFFFFF4985 GPR8 000000000001489B
REG 0000000000000000 GPR9 0000000000000000
REG FFFFFFFFFFFFFFFF GPR10 000000007221EE1B
REG 0000000000000000 GPR11 FFFFE6EDF8000000
REG FFFFFFFFFFFFFFFF GPR12 0000000000000000
REG FFFFFFFFC19D3E63 GPR13 0000000000000000
REG FFFFFFFFE8250000 GPR14 0000000000000001
REG 0000000000000040 GPR15 0000000000000000
REG FFFFFFFFFE48FFFF GPR16 FFFFFFFFFFFFEE1B
REG 0000000000008A9E GPR17 FFFFFFFFFFFFEE1B
REG 0000000000000000 GPR18 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000040
REG 00000000106B0000 GPR21 0000000000000000
REG E00000000100FFFF GPR22 0000000000000040
REG 0000000040828478 GPR23 0000000000000000
REG 000000007B490000 GPR24 0000000000000000
REG 8000340000000000 GPR25 0000000000000000
REG FFFFFFFFFFFFFFFF GPR26 0000000000000000
REG FFFFFFFFA8250000 GPR27 0000000000000001
REG FFFFFFFFFFFFFFFF GPR28 000000000001C020
REG E800000000000000 GPR29 0000000310930680
REG 0000000000008A9D GPR30 0000000000000000
REG 0000000117120811 GPR31
CR 000000000550D995
LR FFFFFFFFFFFE3FDF
CTR 0000000000020305
XER 00000000C0080040


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000045061D GPR0 FFFFFFFFFFFE3FC0
REG FFFFFFFFC0ACFFFF GPR1 0000000000000000
REG 0000000000450600 GPR2 0000000000000000
REG FFFFFFFFFFBAF9DF GPR3 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR4 FFFFFFFF0000FFFF
REG 0000000000000380 GPR5 0000000000000000
REG 0000000000450600 GPR6 0000000000000020
REG 0000000000000020 GPR7 0000000000000000
REG FFFFFEDB201FFB60 GPR8 0000000000000000
REG FFFFFFFFC0AD0000 GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFFFFFFFFFF
REG FFFFFEDB201FFB60 GPR11 00000000D01A0000
REG 0000000020040001 GPR12 00000000EA7A0020
REG FFFFFFFFFFFFFFFF GPR13 00000FFFFF800001
REG 0808080802040808 GPR14 0000000000000000
REG 0000000000000000 GPR15 0000000000000000
REG 0000000000000001 GPR16 0000000001EA7400
REG 0000000000000020 GPR17 00000000EA7A0000
REG 0000000000450600 GPR18 0000000000000000
REG 0000000000000020 GPR19 0000000000000000
REG 000000000044A8A4 GPR20 000000007A9D0000
REG 0000000000000007 GPR21 0000000000000000
REG FFFFFFFFFE056800 GPR22 0000000000000000
REG 0000000000000000 GPR23 000000000001C020
REG 000000007F02AE4D GPR24 0000000000000000
REG 0000000000000000 GPR25 FFFFFFFFFFFFFFFF
REG 0000000000F811B2 GPR26 0000000000000000
REG 0000000000000007 GPR27 0000000000000000
REG 0080000000000000 GPR28 0000000000000000
REG 0000000000000000 GPR29 0000000000000000
REG 00001EE90DC27CF6 GPR30 FFFFFFFFFE1F8BFF
REG 0000000040509010 GPR31
CR 0000000090150535
LR FFFFFFFFE663D71A
CTR FFFFFFFFFFFFFFFF
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000002F423F42 GPR0 FFFFFFFF8FFF8D11
REG 0000000000000C00 GPR1 0000000000000000
REG 0000000000000000 GPR2 000000000001C01C
REG 0000000000000000 GPR3 0000000000000000
REG FFFFFFFFF0001CA8 GPR4 0000000000000000
REG FFFFFFFFF0007B0A GPR5 0000000000000006
REG 0000000000000091 GPR6 FFFFFFFFFFFF8D11
REG 0000000000000003 GPR7 000000150000000B
REG 0FFFFFD071FABD00 GPR8 0000000000000000
REG 0000400000000001 GPR9 0000000000000000
REG 0000000007080603 GPR10 000000009000003F
REG 0000000037FFFFE7 GPR11 0000000090000001
REG 000000007A114210 GPR12 FFFFFFFFFFFFFFFF
REG 000000002F083F42 GPR13 FFFFFFFF70007795
REG 0000000000000000 GPR14 0000000000009240
REG 0000000000000000 GPR15 0000000000000000
REG FFFFFFFFF0007B0A GPR16 0000000000000020
REG 0000000000000000 GPR17 0000000000000003
REG FFFFBFFFFFFFFFFF GPR18 0000000000000004
REG 00000F33111EAA2C GPR19 0000000000000000
REG 0000000000000040 GPR20 FFFFFFFFFFFFFFBF
REG FFFFFFFFFC0000BD GPR21 0000000000000000
REG 00000000D0BDD7BE GPR22 0000001500000009
REG FFFFFFFF2F422441 GPR23 0000000000000000
REG 000000000FFF84F5 GPR24 0000000000000000
REG 0000000000000020 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0000400000000001 GPR27 0000000000000000
REG 000000002F422842 GPR28 FFFFFFFFFFFE3FE4
REG 00000000D0B22BBE GPR29 0000000000004980
REG 000000000E0500F5 GPR30 FFFFFFFFFFFFFFBF
REG 000000009E107E84 GPR31
CR 0000000050017019
LR 0000000000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 01FFFFFFFFFFFFFD GPR0 FFFFFFFFFF645740
REG 0000000000005802 GPR1 0000000000000010
REG 0000000000000200 GPR2 0000000000000000
REG FFFFFFFFFFFF9C43 GPR3 0000000000000000
REG FFFFFFE000FFEB94 GPR4 FFFFFFFEFFFFFFFF
REG 0000001FFF00146B GPR5 00000000FFFFFFFF
REG 0000000000000020 GPR6 0000000000000000
REG 0000000500000005 GPR7 0000000000000000
REG FFFFFFFFFFFFFFFF GPR8 00000000017A74B7
REG FFFFFFFFFFFFFDFF GPR9 0000000000038040
REG FFFFFFFFFFFFFFFF GPR10 0000000000000000
REG FFFFFFFFFFFFFFFF GPR11 0000000000000000
REG FFFFFFFC00000000 GPR12 0000000000000000
REG FFFFFF001E000000 GPR13 0000000000000180
REG FFFFFFFFFFFF693C GPR14 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR15 000000000001C004
REG 0000000000000000 GPR16 0000000000000000
REG 0000000000000000 GPR17 0000000000000001
REG 0200001F0000001F GPR18 0000000000000000
REG 0000000000000200 GPR19 FFFFFFFFFFFFC037
REG 0000000000000000 GPR20 000000000817A8C7
REG FFFFFFFFFFFFFFFF GPR21 0000000000000000
REG FFFFFFFFFFFFFFFF GPR22 FFFFFFFFFFFFFFC5
REG FE00000000000000 GPR23 0000000000000000
REG FFFFFFFFFFFFFFFF GPR24 FFFFFFFFFFFFFE3F
REG 000000FFE200734A GPR25 FFFFFFFFFFFFFE3E
REG FFFFFFFC00000000 GPR26 0000000000000006
REG FFFFFF8000000000 GPR27 00000000000058C0
REG 00000000000096E3 GPR28 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR29 0000000000000000
REG 0000000000000000 GPR30 0000000000000000
REG 0000000108910888 GPR31
CR 0000000092D10559
LR 0000000000000001
CTR FFFFFFFFFFFFFFFF
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 480000000002D4EC GPR0 0000000000000000
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000000
REG 000FFFFFFFFFCAD8 GPR3 FFFFFFFFFFFFFFE3
REG 0000000000005469 GPR4 0000000000000000
REG EFBF28D8EFFFC305 GPR5 0000000000000000
REG 0000008FFFFFD7D8 GPR6 0000000000000000
REG 0000000000000020 GPR7 0000000000000000
REG FFFFFFFFFFFFFFC6 GPR8 0000000000000000
REG 0000009000000090 GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFFFFFFFFE5
REG FFFD99E9FFFFFFEC GPR11 0000000000000000
REG 00013B65A93C1F74 GPR12 0000000000000000
REG FFFD99E9FFFFFFEA GPR13 0000000000000000
REG 0002661600026616 GPR14 0000000000000000
REG FFFFFFFFFFFFFFFF GPR15 FFFFFFFFFFFFFF1B
REG 0000000000000041 GPR16 0000000000000000
REG 0000000000000006 GPR17 FFFFFFFFFE6F1E02
REG FFFD99E9FFFFFFEB GPR18 000000000000007E
REG 0000000000000005 GPR19 FFFFFFFFFFFFFFE4
REG 00000001FFFFF2B6 GPR20 000000000000DF4D
REG FFFFFFFF99CB0000 GPR21 0000000000000000
REG 0000000000000040 GPR22 0000000000000000
REG 000000000000006A GPR23 FFFFFFFFFFFF20B3
REG FFFFFFFFFFFFF2B6 GPR24 0000000000000000
REG FFFFFFFFFFFFFFFF GPR25 0000000000000000
REG 0000000000000001 GPR26 0000000000000000
REG 0000000000000185 GPR27 0000000000000000
REG 0000000000000000 GPR28 0000000000000000
REG 0000000000000041 GPR29 0000000000000000
REG B7FD99E9FFFFFF95 GPR30 0000000000000000
REG 0000000104088088 GPR31
CR 0000000035E59549
LR 0000000000000000
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000009F88 GPR0 000000000001C020
REG 0000000000000000 GPR1 0000000000000000
REG FFFFFC6CFFFFFC6C GPR2 FFFFFFFFFFD40000
REG 000779480007CC9B GPR3 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR4 0000000000000000
REG FFFFFFFFEF290001 GPR5 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFF6077 GPR6 FFFFFFFFFFFFFFFE
REG FFFFFFFFBBFABFFF GPR7 0000000000000000
REG 0000000010D6FFFF GPR8 FFFFFFFFFFFE3FEC
REG 0000000000077948 GPR9 0000000000000000
REG 0000000000000000 GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 0000000000000000 GPR12 0000000000000000
REG 0000000000000000 GPR13 0000000000000000
REG FFFFFFFFFFFFFFFF GPR14 0000000000000000
REG 000000000004F40C GPR15 0000000000000001
REG 0000000000000000 GPR16 0000000000000000
REG 0007794800077948 GPR17 FF80000000000001
REG 0000000000000000 GPR18 0000000000000000
REG 0000000000000000 GPR19 FFFFFFFFFFFFFFFF
REG 0000000000080000 GPR20 FFFFFFFFFFFFFFFE
REG FFFFFC6CFFFFFC6C GPR21 000000000000EB99
REG 0000000004000000 GPR22 0000000000000000
REG 0000000000000000 GPR23 000000000000EB99
REG 0000000000000000 GPR24 0000000000000001
REG 00001C6CFFFFFC6C GPR25 0000000000000000
REG 0000000000000000 GPR26 0080000030000000
REG FFFFFFFFFFFFFFFF GPR27 0000000000000000
REG FFFFFC6CFFFFFC6C GPR28 FFFFFFFFFFFFFFFF
REG 000000000004F58D GPR29 0000000000000001
REG FF3FFFFFFFFFFFFF GPR30 FFFFFFFFFFFFFFFF
REG 00000000480A8004 GPR31
CR 0000000054C50990
LR FFFFFFFFFFFFFFFE
CTR FFFFFFFFFFFF003F
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 5CEFF00200008022 GPR0 000000000000304C
REG 0000000000000000 GPR1 0000000000000040
REG 0000000000000000 GPR2 0000000000000000
REG 0000000000000000 GPR3 000000000000304B
REG 043BA020AF31A4FF GPR4 FFFFFFFFFFFFF7BC
REG 0000000000000000 GPR5 0000000000000000
REG 0000000000000000 GPR6 00000000FFFE29CD
REG 0000000000000000 GPR7 0000000000000000
REG 00000000118007FF GPR8 0000000000000001
REG 0000000000000000 GPR9 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 0000000000000000 GPR12 0000000000000000
REG FFFFFFFFFFFFFFFF GPR13 FFFFFFFFFFFFFFFF
REG 0000000000000001 GPR14 1FFFC539A0000000
REG 0000000000FFB800 GPR15 0000000000000000
REG 0000000000000000 GPR16 0800000008000300
REG 0000000000000000 GPR17 0000000000000000
REG FFFFFFFFEE7FF800 GPR18 F0BCAEE1F5FB3A18
REG 0000000000000000 GPR19 0000000000000000
REG 23100FFDFFFFD610 GPR20 0000000000000040
REG 0000000000000000 GPR21 0000000040006D94
REG 00000000015B5000 GPR22 0000000000000000
REG 000000002E20A000 GPR23 000000000001C020
REG 000000000000001E GPR24 0000000000000000
REG 0118007FF0000000 GPR25 0000000000000000
REG 0000000000000000 GPR26 FFFFFFFFFFFFF7BC
REG 0000000000000000 GPR27 00000000000065E0
REG 000000000000000B GPR28 1FFFC539A0000001
REG 0000000000000000 GPR29 F0BCAEE1F5FB31D5
REG 0000000000000000 GPR30 0000000000000000
REG 00000000901E1000 GPR31
CR 0000000030002893
LR 0000000000000000
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFBFFBFFDC00001 GPR0 000000000001C020
REG FFFFFFFFFFFFFFFE GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000000
REG FFFFF9FFC92EFFFF GPR3 FFFFFFFFFFFFFFFF
REG FFFFFFFFFF7FFFFF GPR4 0000000000000000
REG 4000000000000000 GPR5 0000000000000000
REG FF85A9BEFCB8F7F7 GPR6 0000000080000000
REG 0000000000000000 GPR7 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR8 FFFFFFFFFFFFFFFE
REG FFFFF9FFE757FFFF GPR9 000000000000C004
REG 17C49F006F000000 GPR10 0000000000000000
REG E481584E91000000 GPR11 0000000000000001
REG FFFFFFFFFF7FFFFF GPR12 FFFFFFFFFFFFFFFF
REG 0000000000000040 GPR13 0000000000000000
REG 0000000094290000 GPR14 000000000001C005
REG E481584E91000000 GPR15 0000000000000000
REG FFFFFFFFFFFFFFFF GPR16 0000000000000000
REG FFFFFFFFFFFFFFFF GPR17 0000000000000001
REG C000000000000000 GPR18 0000000000000000
REG 0000000000000000 GPR19 FF000001FFFE3FB4
REG 007B564002400019 GPR20 0000000000000020
REG 0000000000000000 GPR21 0000000000000000
REG 0000000000000001 GPR22 0000000000000000
REG FFFFFFFFFFFFFFFF GPR23 0000000000000000
REG FFFFFFFFFFFFFFC0 GPR24 FFFFFFFFFFFFFFFF
REG 20FBF9C507C70809 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000000 GPR27 00000000007FFFFF
REG 0000000000000000 GPR28 0000000000000000
REG 0000020020011FFF GPR29 0000000000000000
REG 0000000000000000 GPR30 0000000000000000
REG 00000000931C889F GPR31
CR 000000009B93D554
LR FFFFFFFFFFFFFFFE
CTR FFFFFFFFFFFFFFFE
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFDFB7FFFFDFFFFF GPR0 0000000000000000
REG 0000000000001F40 GPR1 000000000001C01C
REG FFFFFFFFFFFFFFFF GPR2 0000000000000000
REG 0000000000000000 GPR3 0000000000000000
REG 8880000000050900 GPR4 0000000000000000
REG 0000000000000000 GPR5 0000000000000000
REG 0000000000000040 GPR6 FFFFFFFFFFFFFFFF
REG 0000000004600000 GPR7 0000000000000000
REG FFFFFFFFFFFFFFFF GPR8 0000000000000000
REG 0000000000000000 GPR9 0000000000000000
REG 0000001F0000001F GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG FFEBF46398000001 GPR12 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR13 FFFFFFFFFFFFFFFF
REG 0000000000000003 GPR14 0000000000000000
REG 00000002BFF80035 GPR15 0000000000000000
REG 0000000000002048 GPR16 0000000000000000
REG 5A80000281738D1F GPR17 0000000000000000
REG 00000002BFF80035 GPR18 0000000000000020
REG 0000000000000001 GPR19 0000000000000040
REG 0000000000000000 GPR20 FFFFFFFFFFFFFFFF
REG 0000000018B10000 GPR21 0000000080000000
REG 0000000000000000 GPR22 0000000000000000
REG 0020480000200000 GPR23 0000000000000000
REG A57FFFFD7E8C7300 GPR24 0000000000000000
REG 0000000000000000 GPR25 0000000080000001
REG 018B100000000000 GPR26 0000000000000000
REG 0000000000002048 GPR27 0000000000000000
REG 0000000000000000 GPR28 0000000000000000
REG 0000000000000000 GPR29 FFFFFFFFFFFFFFFF
REG 8880000000050900 GPR30 0000000000000000
REG 0000000091110889 GPR31
CR 0000000034603600
LR 0000000000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG C7EF27E4CFEF791D GPR0 0000000000002000
REG 0000000000000032 GPR1 0000000020040005
REG 0000000000000000 GPR2 0000000000000001
REG 0000000000000004 GPR3 0000000000000005
REG FFFFFFFFFFFFFFFF GPR4 FFFFFFFF7FFFFFFF
REG 000000000000C747 GPR5 0000000000000032
REG 000000000DFD0000 GPR6 0000000000000000
REG 0000000000000000 GPR7 000000007FFFFFFE
REG 0000000059A40004 GPR8 0000000000000005
REG 0000000000000000 GPR9 0000000000000000
REG 0000000008000001 GPR10 0000000000000000
REG 9880008C0000007F GPR11 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFE00000 GPR12 0000000000000000
REG 000000008F440888 GPR13 0000000080000000
REG 0000000000000005 GPR14 0000000000000004
REG 0000000000000000 GPR15 0000000080000000
REG 000000001F800000 GPR16 FFFFFFFFFFFFDFFF
REG FFFFFFFFFFFFAD55 GPR17 00000000000059A2
REG 0000000000000000 GPR18 0000000000000005
REG 0000000000000001 GPR19 0000000000000000
REG 0000000000000000 GPR20 0000000000000000
REG D87FDC7F9880008C GPR21 0000000000000001
REG 0000000000000000 GPR22 0000000000000000
REG 0000000000000000 GPR23 0000000000000000
REG 0000000000000000 GPR24 000000000001C02C
REG FFFFFFFFFFFFFFFF GPR25 0000000000000000
REG 006FE80000000000 GPR26 FFFFFFFF80000001
REG 000000000800AE30 GPR27 0000000000D00000
REG FFFFFFFFFFDFA9B6 GPR28 0000000000000300
REG 00000000001FFFDF GPR29 00000001C0202000
REG 0000000000000000 GPR30 0000000000000000
REG 000000009E881110 GPR31
CR 0000000059D49609
LR 0000000000000000
CTR 0000000000000000
XER 0000000020040005


Binary file not shown.

@ -1,32 +1,37 @@
REG 000007FFE6101D6F GPR0 FFFFFFFFFFFFFFC5
REG 0000000000000000 GPR1 0000000000000000
REG 0000000028D41400 GPR2 0000000000000000
REG 0000000080000000 GPR3 1000000000000000
REG 0000000000007D6F GPR4 000000000001C020
REG 000FFFFFD800969E GPR5 0000000032E5FFE5
REG 0000000000003E00 GPR6 0000000000000000
REG FFFFFFFFFFFFE7E7 GPR7 FFFFFFFEFFFE3FE0
REG FFFFFFFFFFFFFFFF GPR8 0000000000000000
REG 0000000000000000 GPR9 FFFFFFFFFFFFFFFF
REG 000000000000000F GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG FFFFFFFFFFFF969E GPR12 0000000000000000
REG FFF0000127FF4881 GPR13 0000000000000000
REG 0000000028000000 GPR14 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR15 0000000000000000
REG 0000000028D41400 GPR16 0000000000013840
REG 0000000000048400 GPR17 0000000000000000
REG 0000000000007D6F GPR18 FFFFFFFEFFFF7820
REG 000000000000001F GPR19 0000000032E5FFE5
REG 000000000000000A GPR20 0000000000000000
REG 0000000000000000 GPR21 0000000000000000
REG 0000000000000000 GPR22 0000000000000000
REG FC00000000007FFE GPR23 0000000000000020
REG 0000000200000002 GPR24 0000000000000000
REG 0000000000003E00 GPR25 0000000000000000
REG 0000000000000004 GPR26 0000000000000000
REG 0000000000000005 GPR27 0000000000000040
REG 0000000000000000 GPR28 0000000000000000
REG 0000000000000000 GPR29 0000000000000000
REG FFFFFFFFFFFB7BFF GPR30 0000000000000000
REG 0000000051A82800 GPR31
CR 0000000059010300
LR 0000000000000D00
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000071310008 GPR0 0000000000000000
REG FFFFFFFFFFFFFFFE GPR1 0000000000000000
REG 0000000000000040 GPR2 00000000FFFFCF3F
REG FFBAF110FFBA72BF GPR3 000000000000003F
REG 0000000000000000 GPR4 000000000000001F
REG 00000000CC3D0000 GPR5 FFFFFFFFFFFFFFF8
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 003804000000005C
REG 0000000000000000 GPR8 0000000000000000
REG 4000000040000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 0000000000000000
REG FFFFFFFFB4FEFFFF GPR11 0000000000000060
REG 00000000CC3DFC20 GPR12 0000000000000001
REG 80007FFF80007FFF GPR13 0000000000000000
REG FFFFFFFFFFFFFFFF GPR14 0023FEB6E72E99C3
REG 0000000000007DB5 GPR15 000000000000003F
REG FFFFFFFF0000FFFF GPR16 0000000000000000
REG 00000000CC3D0000 GPR17 0000000000000000
REG 000000330F400000 GPR18 0000000000000001
REG 00000000CC3D0000 GPR19 000000000001C010
REG FFFFFFFFFFFE0000 GPR20 FFFFFFFFFFFE0F20
REG 0000000000003031 GPR21 0000000000000001
REG 0000000004000000 GPR22 0000000000000000
REG FFBAF110FFBAF110 GPR23 0000000000000000
REG FFFFFFFFFFFFFFFF GPR24 0000000000000020
REG 0000000000000040 GPR25 0000000080000000
REG 000000000001FC20 GPR26 0000000000000001
REG 0000000000000000 GPR27 0000000000000001
REG 0000000000000000 GPR28 FFFE0EBCFFFE0EBC
REG 00000000CC3D0177 GPR29 000000000001C010
REG FFFFFFFFFFC07FFF GPR30 FFC7FBFFFFFFFF9C
REG 0000000080080000 GPR31
CR 000000009B945C0B
LR 0000000000000000
CTR 000000003FF80000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG BFFFFFFFFFFFFFFD GPR0 EA0BFFFFC00BFFFF
REG 0000000000000000 GPR1 0000000000000000
REG FFFFFFFFFFFFFFFF GPR2 0000000000000000
REG 000000000000000D GPR3 0000000000000000
REG 8000001F0000001E GPR4 0000000000000000
REG 0000000000000000 GPR5 0000000000002FBE
REG DB21A5D3360FFFF8 GPR6 FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFA4 GPR7 0000000000000000
REG 0000000000000000 GPR8 0000000000000001
REG 000000000000003F GPR9 0000000000000000
REG 0000000000000000 GPR10 FFFFFFFFFFFFB4FE
REG FFFFFFFFFFFFFFFF GPR11 0000000000000000
REG 0000000000001133 GPR12 FFFFFFFFFFFFFFFF
REG FF800FC000FFFFE6 GPR13 0000000000000000
REG 0000000000000006 GPR14 0000000000000000
REG 0000000000000000 GPR15 0000000000000000
REG FFFFFFFFFFFFFFF9 GPR16 0000000000000000
REG 0000000000000000 GPR17 0000000000000000
REG FFFFFFFFFFFFFFFF GPR18 0000000000000020
REG 0000000000000000 GPR19 0000000000000001
REG FFFFFFFFF3C6FFFF GPR20 0000000000000000
REG FFFFFFFFFFFFFFFF GPR21 0000000000000000
REG 0000000000000000 GPR22 000000000001C020
REG 7FFFFFE0FFFFFFC1 GPR23 0000000000000000
REG E300000725FFFFFF GPR24 0000000000000000
REG 7FC007E000000000 GPR25 0000000000000000
REG 0000000000000020 GPR26 0000000000000000
REG 8000001F3F59001E GPR27 0000000000000000
REG DB21A5D3360FFFFF GPR28 0000000000000020
REG 0000000000000000 GPR29 0000000000000000
REG 1CFFFFF8DA000000 GPR30 0000000000000000
REG 0000000050089048 GPR31
CR 0000000030F55565
LR 4000000000008FF7
CTR 000000000001C020
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFF3F6FFFFB362 GPR0 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000003A6F GPR2 0000000000000000
REG FFFFFFFF85D80020 GPR3 000000000001C020
REG 0000000000000000 GPR4 0000000000000000
REG FFFFFFFFCFDC0000 GPR5 000011D100004744
REG 0000000030240000 GPR6 0000000000000020
REG 0000000007FFFFC0 GPR7 0000000001C00000
REG 0000000000000020 GPR8 0000000080000000
REG 0000000000000000 GPR9 FFFFFFFFFFFFEECE
REG 0000000000000020 GPR10 0000000000004744
REG 0000000000000000 GPR11 000000000001C054
REG FFFFFFFFCFDBFFFE GPR12 FFFFFFFFFFFFFFFE
REG FFFFFFFFFFFFFFFE GPR13 000000000000003F
REG 0000000000000000 GPR14 FFFFFFFC62E3FCC0
REG 0000000007FFFFC0 GPR15 FFFFFFFFFFFFEE2F
REG 0000000000000020 GPR16 0000000000000000
REG FFFFFFFFFFFFC59A GPR17 00000000000011D1
REG FFF0000000000000 GPR18 000000000000001F
REG 0000000030000000 GPR19 000000000001C020
REG 0000000030240000 GPR20 0000000000000000
REG 000000000000000A GPR21 0000000000000000
REG 0000000000000000 GPR22 0000000000000020
REG 0000000000003A6F GPR23 000000001F339894
REG 0000000000000000 GPR24 0000000000000020
REG FDA5E80007FFFFC0 GPR25 000000008001C020
REG 0000000000000001 GPR26 0000000000000000
REG FFFFFFFFFFFFFFFF GPR27 0000000000000000
REG 0000000000000000 GPR28 0000000000000000
REG 5E7EB08E5E7EB08E GPR29 0000000000000020
REG 0000000000000000 GPR30 0000000000000000
REG 0000000081001040 GPR31
CR 0000000050039979
LR 0000000000000020
CTR FFFFFFFFFFFFFFFE
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000000609F GPR0 FFFFFFFFFFFE3FF1
REG 000000000341BF00 GPR1 0000000000000000
REG 000000000000002E GPR2 0000000000000020
REG FFFFFFFFFFFFFFFF GPR3 000000003271D994
REG FFFFFFFFFFFFFFF8 GPR4 000000000000001F
REG FFFFFFFFFFFFFFFF GPR5 0000000000000000
REG FFFFFFFFFFFFFFFF GPR6 0000000000000001
REG 0000000040040042 GPR7 0000000000000020
REG E000000000000040 GPR8 0000000000000000
REG 0000000000000000 GPR9 0000000000000000
REG 0000000000000000 GPR10 0000000000000000
REG 000000000341BEFF GPR11 000000000001C000
REG 0000C17600000000 GPR12 0000000000000000
REG 00000000001FC5F2 GPR13 0000000000000000
REG 0000000000000000 GPR14 000000000000003F
REG FFFFFFFFE67F8000 GPR15 0000000000000000
REG FFFFFFFFFFFFFFFF GPR16 0000000000000040
REG 0000000000000000 GPR17 0000000000000000
REG FFFFFFFFFFE23A0D GPR18 0000000000000000
REG 0000000000003A0D GPR19 0000000000000000
REG 0000000020848048 GPR20 FFFFFFFFFFFFFFFE
REG 0003600000000000 GPR21 0000000000000D93
REG 0000000000000000 GPR22 FFFFFFFFFFFFFFFF
REG 0007F1FB0007F1FB GPR23 0000000000000000
REG E000000000000040 GPR24 000000000001C00A
REG 0000000000000000 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000002 GPR27 0000000000000000
REG 0000000000000000 GPR28 000000000000000E
REG 00036000CCFF0000 GPR29 0000000000000000
REG FFFFFFFFFFFFFFD2 GPR30 000000000001F64B
REG 0000000081090090 GPR31
CR 000000003A71D994
LR 000000000001C020
CTR 0000000000000000
XER 00000000A007FFFE


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFF355D GPR0 0000000000000000
REG 0000000000000000 GPR1 0000000000000001
REG 000000000000137E GPR2 0000000000000000
REG 0000000000000000 GPR3 00000000A00585B4
REG 0000000000000000 GPR4 0000001F1FFA7A53
REG FF006872FF006872 GPR5 0000000000000000
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 FFFFFFFFFFFF0000
REG 0000000000000000 GPR8 0000000000000005
REG 0000000000000000 GPR9 FFFFFFE03FFFFFF9
REG 0000000000000000 GPR10 0000000000000000
REG 0000000000004A3D GPR11 00000000A00585B4
REG 0000000000000000 GPR12 0000000000000000
REG 0000000000000000 GPR13 00000000A00585AE
REG FFFFFFFFFFFFB5E3 GPR14 0000000000000000
REG FFFFFFFFFFFF9A48 GPR15 0000000000000000
REG FFFFFFFF9A91D8BF GPR16 FFFFFFE03FFFFF58
REG 0280B00000000003 GPR17 FFFFFFFF0EFDFFFF
REG 0000000000000000 GPR18 0000000030891F59
REG 0000000000000000 GPR19 0000000000000020
REG 0000000000000000 GPR20 00000000A00585B4
REG FFFFFFFFFFFF137E GPR21 0000000000000000
REG 0000000000000019 GPR22 0000000000000018
REG 0000000000000000 GPR23 0000000000000000
REG 0000000000000000 GPR24 0000000000000000
REG 0000000000000040 GPR25 00000000400B0B68
REG 0000000000000000 GPR26 FFFFFFFFDC2E0000
REG FFFFFFFFD1DCB5C2 GPR27 0000000000000000
REG 000000002E234A3D GPR28 00000000000000A0
REG 0000000000000000 GPR29 FFFFFFFFFFFFFFFE
REG 0000000000001A56 GPR30 0000000000000000
REG 0000000050109905 GPR31
CR 0000000059891F59
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000A00585AE


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000 GPR0 0000000000010000
REG 0000000000FFFFC0 GPR1 FFFFFFFFFFFFFFFF
REG 07FF861907CF8619 GPR2 0000000000000000
REG 0000000000000000 GPR3 0000000000000000
REG 07FFF6D2FFFFF6D2 GPR4 0000000000000000
REG 0000000000000138 GPR5 0000000000000000
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000000
REG FFFFF6D2FFFFF6D2 GPR8 00000000A80E1803
REG FFFFFFFFFFFFFFFF GPR9 FFFFFFFFFF9885A8
REG FFFFFFFFFFFFFFFF GPR10 FFF7FC15DFF7FC15
REG FFFFFFFFFFFFFFFF GPR11 0000000000000000
REG 0000001700000011 GPR12 0000000000000000
REG 000000001C910000 GPR13 0000000000677A57
REG 0000000000000000 GPR14 0000000000000000
REG FFFFFFFFE2300000 GPR15 0000000000007A57
REG 0000000000000022 GPR16 0000000000000000
REG 0000000000000000 GPR17 00000000A80DFFFF
REG 0000000000000000 GPR18 00000000555855F4
REG 0000000000000000 GPR19 0000000000000000
REG 0000000000000000 GPR20 FFFFFFFFFFFE3FDE
REG 0000000000000000 GPR21 FFFFFFFF57F20000
REG 0000000000000000 GPR22 0000000000080000
REG 0000000000000000 GPR23 0000000000000000
REG 0000000000000138 GPR24 00000000A80DFFFF
REG 0000000000000000 GPR25 FFFFFFFFFFFF8E5A
REG 0000000000000000 GPR26 0000000000000000
REG FFFFFFFFFFFFF6D3 GPR27 0000000000000000
REG FFFFFFFFFFFFFFFF GPR28 0000000000000000
REG FFFFFFFFFFFFFFFF GPR29 000000000001C020
REG FFFFFFFFFFFFFFFF GPR30 FFFFFFFF57F3FFFF
REG 0000000040100910 GPR31
CR 00000000351855F4
LR 0000000000000000
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFA80CFFFA GPR0 0000000000000000
REG FF80000000000000 GPR1 0000000000000000
REG 0000000000000020 GPR2 00000000FFFFFFFF
REG 0000000057F30000 GPR3 0000000000000000
REG FFFFFFFFFFFFFFFF GPR4 0000000000000000
REG 000015FCC0000000 GPR5 FFFFFFFFFFFFFFFC
REG FFFFFFBFA80CFFFE GPR6 FFFFFFFFFFFE3FDF
REG 0000000000000000 GPR7 006000000002C01E
REG 0000000000000000 GPR8 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR9 0000000B00780000
REG 0000000000000040 GPR10 0000000000100000
REG FFFFFFF5019FFFC0 GPR11 0001C01B0001C01B
REG 00000000FFFF7FE6 GPR12 000000000001C01F
REG 0000000000000005 GPR13 0000000000000000
REG FFFFFFFFA80CFFFE GPR14 0000180000001800
REG 0000000000000000 GPR15 0000000000000000
REG 0000000000000026 GPR16 000000000001C01E
REG 0000000000000000 GPR17 000000000001C020
REG 0000000000000000 GPR18 0000000000000000
REG C8397FDFFFFFFFFF GPR19 000000000000FF01
REG FF80004000000000 GPR20 0000000000000000
REG 0000000057F30005 GPR21 0000000000000004
REG 0000000057F30000 GPR22 000221E80002318B
REG FFFFFFFFFFFFFFFF GPR23 0000000100000003
REG 0000000000000000 GPR24 0000000000000000
REG 0000000000000000 GPR25 0000009000000090
REG 0000000002000000 GPR26 0000000000000000
REG 5600000000000000 GPR27 0000000000000040
REG 0000004000000000 GPR28 0060000000000000
REG 0000000000000020 GPR29 FFFFFFFFFFFFC020
REG 0000000000000000 GPR30 0000000000000000
REG 0000000100004090 GPR31
CR 000000003040C053
LR 0000000000040000
CTR FFFFFFFFFFFFFFFF
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG 176888886EEAD956 GPR0 F800000000000000
REG 0000000000000000 GPR1 0000000000000000
REG 0000000079AA995B GPR2 0000000000000000
REG 000000000000003B GPR3 0000000000000000
REG FFFFFFFFFFFFFFFF GPR4 0000000000000000
REG FFFFFFFF867DFEBD GPR5 0000000000000000
REG 0000000000000000 GPR6 0000000000000040
REG 0000000000000019 GPR7 0000000000000000
REG 402421D7402421D7 GPR8 0000000000000000
REG E8977777E8977777 GPR9 C0FFFFFFC0E00003
REG 865576A486A48664 GPR10 0000000000000005
REG FFFFFFFF86F5E6E4 GPR11 FFFFFFFFFFFFFFC0
REG FFFFFFFFF01FFFFF GPR12 FFFFFFFFFFFFFFFA
REG 0000000000000002 GPR13 0000000000000000
REG 146A6EC7FA7AA081 GPR14 FFFFFFFFFFFFFFFF
REG 00000000000BDCE3 GPR15 0000000000000000
REG 0000000000000000 GPR16 0000000000000006
REG 0000000000000000 GPR17 0000000000000000
REG 00000000284F0841 GPR18 0000000000000000
REG 00000000484B4841 GPR19 0000000000000000
REG 0000000000000400 GPR20 0000000000000000
REG E6AA257000000001 GPR21 000000000001C020
REG FFFFFFFFFFFFFFFF GPR22 0000000000000005
REG 0707070707070706 GPR23 0000000000000000
REG F7F7F7F7F7F7F7FA GPR24 FFFFFFFFFFFFFFFF
REG 146A6EC7FA7AA081 GPR25 0410410410410410
REG 0000000000000002 GPR26 FFFFFFFFFFEAC73C
REG 0000000000000000 GPR27 FFFFFFFFFFFFFFFF
REG 0000000000000001 GPR28 0000000000000000
REG 0000000004000000 GPR29 0000000000000020
REG 0000000000000000 GPR30 0000000000000000
REG 0000000110969083 GPR31
CR 0000000095540595
LR 0000000000000000
CTR 00000000092C0005
XER 000000008003FFF8


Binary file not shown.

@ -1,32 +1,37 @@
REG BC75179D68810865 GPR0 FFFF800000000000
REG 0000000000000000 GPR1 0000000000003346
REG 0000000000000010 GPR2 FFFFFFFFFF000021
REG 0000000000000002 GPR3 FFFFFFFFFFFE3FDF
REG 0000000100000001 GPR4 0000000000000004
REG 0000000000000007 GPR5 F000000000000000
REG FFFFFFFF3FFEFFFF GPR6 F7F7F7F7F7F7F34D
REG FFF53FFFFFFEFFFE GPR7 FFFFFFFFFFFFFFFE
REG 001BD799001BD799 GPR8 FFFFFFFFFFFFFB4A
REG 0000007B889AD280 GPR9 0000000000000000
REG FFFFFFFFC001FFFF GPR10 0000000000000000
REG 000000000000001E GPR11 0000000000005BC0
REG 0000000000000019 GPR12 0000000000000000
REG 000000000000001D GPR13 F7F7F7F7F7F7F34D
REG 0000000000000007 GPR14 0000000000000000
REG 0000000000000000 GPR15 FFFFFFFFFFFFFB4A
REG 0000000000000000 GPR16 0000000000000000
REG FFFFFFFFFFC44D6F GPR17 0000000000000000
REG 0000000002000000 GPR18 000000000001C020
REG 0000000000000304 GPR19 00000000FFF52559
REG 00000000000064AA GPR20 00007FFFFFFFFFFF
REG 43913FFB8CDADFFC GPR21 0000000000000000
REG 0000000000000000 GPR22 0238DA4000000000
REG 0000000000000000 GPR23 FFFFFFFFFF000000
REG 43913FFB889AE015 GPR24 FFFFFFFFFFFFFFFF
REG BC6EC00477652003 GPR25 FFFF8000A3EE0000
REG 0000000000000006 GPR26 FFFFFFFFFFFFFFDE
REG 0000000000000000 GPR27 0808080808080807
REG 0000000000000040 GPR28 0000000000000000
REG 000000003FFF0000 GPR29 0000000000000000
REG 000000003FFF0000 GPR30 FFFFFFFFFFFFA440
REG 0000000044000888 GPR31
CR 0000000090059000
LR FFFF800000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000003C68 GPR0 0000000000000040
REG FFFFFFFFFFFFFFFF GPR1 000000000001C039
REG 0000000000000000 GPR2 0000000000000001
REG 0000000000000048 GPR3 0000000000000000
REG 0000136180000000 GPR4 0000000000000001
REG 1FFFE1249C620001 GPR5 0000000000000000
REG 0000000000009B52 GPR6 0000000000000000
REG 0000000000001B69 GPR7 000000000001C000
REG 000000000000FB60 GPR8 0000000000000000
REG FFFFFFFFFFFF9FF1 GPR9 0000000000000000
REG 0000000000000000 GPR10 0000000000000000
REG 0000000000000000 GPR11 000000B680000000
REG 0000000000000001 GPR12 0000000000008004
REG 0000000000000000 GPR13 FFFFFFFFFFFFFFFF
REG 0000000000000020 GPR14 0000000000000000
REG 0000000000000000 GPR15 0001C01F0001C01F
REG 0000000044088008 GPR16 0000000000000000
REG FFFFFFFFFFFFFFFF GPR17 0000000000000000
REG FFFFFFFFFFFF64AD GPR18 0001C01F0001C01F
REG 0000000000000020 GPR19 0000000000000000
REG 0000000000000001 GPR20 FFFFFFFFFFFFFFFF
REG 00000000F7BE0020 GPR21 000000000001C000
REG 0000000000000000 GPR22 0000000000000001
REG 0000000000000000 GPR23 0000000000000000
REG 0000000000000000 GPR24 0000000000000000
REG 0000000000000000 GPR25 FFFFFFFFFFFFFFFE
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000020 GPR27 0000000000000000
REG 0000000000000000 GPR28 0000924924924924
REG 1FFFE1249C620001 GPR29 0000000000000040
REG FFFFFFF600009B43 GPR30 0000000000000000
REG 0000000080900000 GPR31
CR 00000000300DE5AC
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000 GPR0 00000000FFFFFFE0
REG 0000000040004D3E GPR1 0000000000000000
REG 0000003000000030 GPR2 0000000000000000
REG 000000000000003E GPR3 000000000001C020
REG 000000000000000A GPR4 0000000000003804
REG 0000000000000000 GPR5 0000000000000000
REG 0000000000000000 GPR6 000000004D2A1BD0
REG FFFFFFFFFFFFFFFF GPR7 0000000000021F06
REG FFFFFFFFFFFFBB80 GPR8 000700C01FFC00C1
REG 0000000000000040 GPR9 0000000000006A25
REG FFFFFFFFFFFFFFFB GPR10 0000000000000000
REG 0000001000000010 GPR11 FFFFFFFFFFFFFFFF
REG FFFFFFFFEC8D0000 GPR12 000000000001C020
REG 0000000000000000 GPR13 FFFFFFFFE005BF5F
REG F7F7F7F7F7F7F6E8 GPR14 FFFFFFFFFFFFFFFF
REG 000000000000447F GPR15 0000000000000000
REG 0000000040004D3E GPR16 0000000000000020
REG FFFFFFFFFFFFFFFB GPR17 0000000000000000
REG FFFFFFFFFFFFFFFF GPR18 0000000000000000
REG 0000000000000010 GPR19 0000000000000001
REG 0000000000000004 GPR20 FFFFFFFFFFFFFFFF
REG FFFFFFFFC0001FFF GPR21 000700C01FFC00C1
REG 0000000000000011 GPR22 0000000000000000
REG 0000000000000000 GPR23 0000000000000000
REG 000000000000001C GPR24 FFF8FF3FE003FF1E
REG 0000000000000000 GPR25 0000000000000000
REG 0000000000000000 GPR26 FFFFFFFFFFFFFFE0
REG 0000000000000200 GPR27 0000000000000000
REG FFFFFFFFFFFFFFFF GPR28 0000000000000000
REG 0000000000200000 GPR29 000700C01FFC00C1
REG 0000000000000001 GPR30 FFFFFFFFFFFFFFFF
REG 0000000051009E9F GPR31
CR 0000000090955309
LR 0000000000006A25
CTR FFFFFFFFFFFFFFC7
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFF21B0000 GPR0 0000000000000000
REG 00000000D663D815 GPR1 000000000000E88B
REG FFFFFFFFFFFFAEB7 GPR2 0000000000000000
REG FFFFFFFFFFFFFFFF GPR3 0000000000000020
REG FFFFFFFFFFFFFFC0 GPR4 0000000000000000
REG 00000000FB920000 GPR5 FFFFFFFFFFFFE8EA
REG 043AF000532FFFBE GPR6 FFFFFFFFFFFFFFFF
REG 0000000041050000 GPR7 80003FE480003FE0
REG 0000000000000040 GPR8 0000000000006077
REG 0000000000000000 GPR9 000000000000FF92
REG 07EBADFFFFFFFFFF GPR10 0000000000000000
REG 00000000D9FE0000 GPR11 FFFFFFFFFFFFFFFF
REG FBC50FFFBAB50000 GPR12 FFFFFFFFFFFE3FDF
REG FFFFFFFFC02DFFFF GPR13 00000000FB0AE8EA
REG 0000000000000000 GPR14 0000000000000000
REG 0000000000000000 GPR15 0000000000000000
REG FBC50FFFF803FFFF GPR16 0000000000000000
REG 0000000000000006 GPR17 0000000000000000
REG 0000006C00000020 GPR18 0000000000000020
REG 0000000000000040 GPR19 0000000000000000
REG 0000000000000040 GPR20 0000000000000004
REG FFFFFFFFFFFFFFFF GPR21 0000000000000010
REG FFFFFFFFFFFFFFFF GPR22 000000000000DF1A
REG 043AF000454AFFFF GPR23 FFFFFFFFFFFF9F99
REG 0000000000000000 GPR24 0000000000000001
REG FFFFFFFF78A1FFFF GPR25 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR26 0000000000000000
REG 0000000000000000 GPR27 0000000000000000
REG 0000000000000000 GPR28 007FC90000600000
REG 000000000000001F GPR29 000000000001C006
REG FFFFFFFEFFFFFFFE GPR30 FFFFFFFFFFFFFFFB
REG 0000000085040811 GPR31
CR 0000000050800019
LR FFFFFFFFFFFFFFFF
CTR 000000008B960010
XER 00000000C00BFFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG 000800008000040E GPR0 FFFFFFFFFFFFFFE8
REG FF2501C97F257EC6 GPR1 0000000000000000
REG FFFFFFFF8000000D GPR2 00000000002AF4FF
REG 6BC863686BC7F63E GPR3 FFFFFFF7FFFFFFF8
REG FFFFB807FFFFFFC0 GPR4 08180719DBEC0000
REG 000000000000003F GPR5 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR6 0000000000000000
REG 94379C97943809C1 GPR7 0000000000000000
REG 0207400000000040 GPR8 0000000000000000
REG 0000000000000000 GPR9 FFFFFF000000FFFF
REG 0008000011FF6BC8 GPR10 0000000000000000
REG 0008000011FFDFC2 GPR11 0000000800000007
REG FFFFFFFFFFFFFFFF GPR12 00000000000000C0
REG FFFFFFFFFFFFC219 GPR13 00000000002AF4FF
REG 00DAFE3680DA8139 GPR14 0000000000000000
REG 000000008000040E GPR15 0000000000000000
REG 0008000000000000 GPR16 0000000000000000
REG FFFFFFFFFFFFFFFF GPR17 0000000000000000
REG 0000000000000000 GPR18 0000000000000000
REG 0000000000000004 GPR19 000000000003C000
REG FFFFFFFFFFFFFFC0 GPR20 0000000000000000
REG FFFFFFFFFFFFB30D GPR21 0000000000000000
REG FFFFF80000000000 GPR22 000000000001C01F
REG 0000000000000000 GPR23 0002598F9D580000
REG 0000000000000000 GPR24 0000000000000000
REG FFFFFFFFFFFFC218 GPR25 FFFFFFFFFFFFFFFF
REG 0000000000000005 GPR26 00000000002AF4FF
REG 0000000000000000 GPR27 0000000000000000
REG 0000007FFFF03FFF GPR28 FFFFFFFFFFFFFFFF
REG 6BC863686BC7F600 GPR29 0818071900000000
REG 0000000000000001 GPR30 000000002D850000
REG 0000000051F40393 GPR31
CR 0000000035194540
LR 0000000000000000
CTR 000000000001C01F
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFF7FFFFFF GPR0 0000000200000002
REG 0000000000000000 GPR1 0000000000000000
REG 0000000000000000 GPR2 0000000000000021
REG 0000000000000020 GPR3 0000000000000000
REG 0000000000000000 GPR4 0000000000000000
REG 0000000000002E95 GPR5 0000000005000000
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000200
REG 0000000000000000 GPR8 0000000000000030
REG FFFFFFFFFFFFFFC1 GPR9 000000000001C020
REG 000000000000003E GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 0000000000002E95 GPR12 0000000000000000
REG 0000000000210341 GPR13 000000000001C020
REG 0000000000000000 GPR14 0000000000000000
REG 000000000021037F GPR15 0808080808080804
REG 0000000000200040 GPR16 0000000000000000
REG 0000000000010300 GPR17 000000000001C020
REG FFFFFBE80307BA24 GPR18 FFFFFFFFFFFFA7E3
REG 0000000000210341 GPR19 0000000000000020
REG 0000000000000000 GPR20 0000000000000001
REG 0000000000000000 GPR21 0000000005000000
REG 0000000000210341 GPR22 000000000001C021
REG 0000000000000000 GPR23 000000000001C021
REG FFFFFFFFFFDEFC80 GPR24 0000000000000000
REG FFFFFFFFFFFEFCDF GPR25 0000000000000000
REG 0000000000000000 GPR26 0001C71C71C71C71
REG 0000000000000000 GPR27 0000000000009000
REG 0000000000000000 GPR28 0000000000000000
REG FFFFFFFFFFDEFCBE GPR29 0000000000000002
REG 0000000000000001 GPR30 0000000000000017
REG 0000000100001040 GPR31
CR 0000000051839083
LR 0007FFFFFFFFFFFF
CTR 000000000001C020
XER 0000000080000040


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFFFFFF GPR0 00D9000000000002
REG 0000000000000040 GPR1 0000000000000001
REG 0000000000000001 GPR2 FFFFFFFFFFFFB293
REG FFFFFFFFFFF364E0 GPR3 0000000000000000
REG 0000000000000000 GPR4 0000000000000000
REG FFFFFFFFFFFFFFFB GPR5 0000000000000000
REG 0000000000000000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000000
REG 0000000000000000 GPR8 0000000000000000
REG FFFFFFFFFFFFFFFF GPR9 0000000000000000
REG 000000000000FE28 GPR10 0000000000000302
REG FFFFFFFF0B3F0003 GPR11 FFFFFFFFFFFFFCFD
REG 0000000000000003 GPR12 000000000001C03C
REG 0000010031ED0100 GPR13 FFFFFFFFFFFFFFE8
REG FFFFFFFF0B3EFFFF GPR14 0000000000000000
REG 00000000F4C117CD GPR15 000000000001C03C
REG 000000001FE00004 GPR16 FFFFFFFFFFFE3FE0
REG 0000000048AC0000 GPR17 0000000038280002
REG 000000000003BFDF GPR18 0000000000000020
REG 00000000F4C10000 GPR19 0000002000000000
REG 0000000000000020 GPR20 000000000000003F
REG 0000000000000000 GPR21 0000000000040000
REG FFFFFFFFFFFF9F89 GPR22 0000000000000000
REG 0000000000000000 GPR23 0000000000000020
REG 0000000000000000 GPR24 FFFFFFFFFFFFFFE8
REG 00000000F4C10000 GPR25 0000000000000000
REG 0000000000000000 GPR26 0000000008000000
REG 0007FFFFFFF00000 GPR27 0000000000000000
REG FFFFFFFFFFFFFFFE GPR28 0000000000000000
REG FFFFFFFFFFFFFFE0 GPR29 0000000000000000
REG 0000000000000000 GPR30 FFFFFFFFFFFE003C
REG 0000000100800080 GPR31
CR 0000000038280002
LR 0000000000000000
CTR FFFFFFFFFFFFBD08
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFBFFF3326BA1C GPR0 0000000000000003
REG FFFFFFFFFFFFFFFF GPR1 0000000000000000
REG 0000000000000000 GPR2 00000000FFFFFFE0
REG 0000000000000000 GPR3 000000000000002F
REG 0000000000000000 GPR4 0006EA63F9B8A4B1
REG 0000400000000000 GPR5 000000000000001F
REG 0000000000800000 GPR6 0000000000000000
REG 0000000000000000 GPR7 0000000000000000
REG 0000000000000000 GPR8 0000000000000001
REG 0000000000800000 GPR9 000000000000001F
REG 0000000000000000 GPR10 0000000000000000
REG 0000400000000000 GPR11 000000000001C020
REG 0000400000000000 GPR12 0047FC0000000014
REG 0000000000006490 GPR13 FFF9159C06475B4F
REG FFFFFFFFC0800000 GPR14 0000000000000000
REG 0000000000000000 GPR15 FFFFFFFFFFFF9B8A
REG 000000000000C3A3 GPR16 912B260F912B260F
REG 000000000000064D GPR17 0000000000000000
REG 0000000100000000 GPR18 0000000000000015
REG 0000000000800000 GPR19 0000923EB260F912
REG 0000000000000001 GPR20 FFFF6DC14D9F06ED
REG 0000000000001E80 GPR21 0000000000000000
REG FFFFFFFFFFFFFFFF GPR22 FFFFFFFF5FFBFFFF
REG 0000000039110000 GPR23 0000000000000000
REG 0000000000000001 GPR24 0000000000000000
REG 0000000039110000 GPR25 FFFFFFFFFFFFFFE0
REG 00000000CCD90000 GPR26 0000000000000000
REG 0000000000001E80 GPR27 FFFFFFFFB4C00000
REG FFFFFFFFFFFFFFFF GPR28 00000000A0023FE0
REG FFFF8000007FFFFF GPR29 0000000000000001
REG 0001FFFFFFFFFFFF GPR30 0000000000000000
REG 0000000080000040 GPR31
CR 000000004529BD35
LR 0000000000000000
CTR 0006E8900000FD3C
XER 0000000000000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000007FFFFFFE GPR0 9B7FFFF89BFFFFE7
REG 0000000000000000 GPR1 17FDBBF7FFFFFBFF
REG 0000000020000000 GPR2 9B7FFFF89BFFFFF9
REG 0000007FE0000001 GPR3 0000000000000000
REG 000000001193839D GPR4 FFFFFFFFC5FF6EFD
REG 0000000008000000 GPR5 0000000000000000
REG FFFFFFFFFFFFFFFF GPR6 FFFFFFFFFFFFFFFF
REG 0000000000000000 GPR7 FFFFFFFFFFFFFFFF
REG F80000004000001F GPR8 FFFFFFFFFFFFEBCA
REG 0000000000000000 GPR9 9B7FFFF89BFFFFF9
REG FFFFFFFF03FF0A25 GPR10 0000000000000000
REG 0000000000000000 GPR11 0000000000000000
REG 0000000011930001 GPR12 0000000030000000
REG 00000000FDF3B5DA GPR13 0000000001FFFFB6
REG FFFFFFFF03C10A25 GPR14 0000000000009BB8
REG 0000000000000002 GPR15 FFFFFFFFC5FF6EFD
REG 00000104FFFF959F GPR16 FFFFFFFFFE00004A
REG 1000000000000000 GPR17 0000000000000000
REG 0000000000000000 GPR18 FFFFFFFFA3580000
REG 0000010500000100 GPR19 0000000000000000
REG 0000000000000100 GPR20 0000000000000000
REG FFFFFFFF00FFFF00 GPR21 C5FF7382C5FF7381
REG 0000000000000000 GPR22 17FDBBF7FFFFFC00
REG 0000000000000000 GPR23 0000000000000000
REG 0000000000000000 GPR24 FFFFFFFFFFFE3FFC
REG 0000000000000000 GPR25 6480000764000007
REG 0000000000000000 GPR26 0000000000000000
REG 003F7CED76800006 GPR27 0000000000000000
REG 0000000000200000 GPR28 FFFFFFFFC5FC0000
REG FFFFFFFFFFFFFFFF GPR29 000000000001C004
REG 0000000001F34000 GPR30 0000000000000000
REG 00000000880085E8 GPR31
CR 000000003A009102
LR 0000000000015BD7
CTR 0000000030000000
XER 00000000A0042143


Binary file not shown.

Some files were not shown because too many files have changed in this diff Show More

Loading…
Cancel
Save