diff --git a/core.vhdl b/core.vhdl index f95a1af..f4fe302 100644 --- a/core.vhdl +++ b/core.vhdl @@ -88,6 +88,8 @@ architecture behave of core is signal core_rst: std_ulogic; signal icache_rst: std_ulogic; + signal sim_cr_dump: std_ulogic; + -- Debug actions signal dbg_core_stop: std_ulogic; signal dbg_core_rst: std_ulogic; @@ -212,15 +214,20 @@ begin d_in => decode2_to_register_file, d_out => register_file_to_decode2, w_in => writeback_to_register_file, - sim_dump => terminate + sim_dump => terminate, + sim_dump_done => sim_cr_dump ); cr_file_0: entity work.cr_file + generic map ( + SIM => SIM + ) port map ( clk => clk, d_in => decode2_to_cr_file, d_out => cr_file_to_decode2, - w_in => writeback_to_cr_file + w_in => writeback_to_cr_file, + sim_dump => sim_cr_dump ); execute1_0: entity work.execute1 diff --git a/cr_file.vhdl b/cr_file.vhdl index dcd21be..a6dd585 100644 --- a/cr_file.vhdl +++ b/cr_file.vhdl @@ -6,13 +6,19 @@ library work; use work.common.all; entity cr_file is + generic ( + SIM : boolean := false + ); port( clk : in std_logic; d_in : in Decode2ToCrFileType; d_out : out CrFileToDecode2Type; - w_in : in WritebackToCrFileType + w_in : in WritebackToCrFileType; + + -- debug + sim_dump : in std_ulogic ); end entity cr_file; @@ -71,4 +77,15 @@ begin d_out.read_cr_data <= crs_updated; d_out.read_xerc_data <= xerc_updated; end process; + + sim_dump_test: if SIM generate + dump_cr: process(all) + begin + if sim_dump = '1' then + report "CR 00000000" & to_hstring(crs); + assert false report "end of test" severity failure; + end if; + end process; + end generate; + end architecture behaviour; diff --git a/register_file.vhdl b/register_file.vhdl index 952d9fc..2caa4b5 100644 --- a/register_file.vhdl +++ b/register_file.vhdl @@ -18,7 +18,8 @@ entity register_file is w_in : in WritebackToRegisterFileType; -- debug - sim_dump : in std_ulogic + sim_dump : in std_ulogic; + sim_dump_done : out std_ulogic ); end entity register_file; @@ -78,9 +79,15 @@ begin begin if sim_dump = '1' then loop_0: for i in 0 to 31 loop - report "REG " & to_hstring(registers(i)); + report "GPR" & integer'image(i) & " " & to_hstring(registers(i)); end loop loop_0; - assert false report "end of test" severity failure; + + report "LR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_LR))))); + report "CTR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_CTR))))); + report "XER " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_XER))))); + sim_dump_done <= '1'; + else + sim_dump_done <= '0'; end if; end process; end generate; diff --git a/scripts/hash.py b/scripts/hash.py deleted file mode 100755 index 3b84a29..0000000 --- a/scripts/hash.py +++ /dev/null @@ -1,16 +0,0 @@ -#!/usr/bin/python3 - -import re -import fileinput - -r = re.compile("REG ([0-9A-F]+)"); - -regs = list() - -for line in fileinput.input(): - m = r.search(line) - if m: - regs.append(int(m.group(1), 16)) - #print("%016X"% int(m.group(1), 16)) - -print("%x" % hash(tuple(regs))) diff --git a/scripts/run_test.sh b/scripts/run_test.sh index ef737fe..9fcb7ce 100755 --- a/scripts/run_test.sh +++ b/scripts/run_test.sh @@ -17,17 +17,18 @@ trap finish EXIT MICROWATT_DIR=$PWD -Y=$(${MICROWATT_DIR}/scripts/hash.py tests/${TEST}.out) - cd $TMPDIR cp ${MICROWATT_DIR}/tests/${TEST}.bin main_ram.bin -X=$( ${MICROWATT_DIR}/core_tb | ${MICROWATT_DIR}/scripts/hash.py ) +${MICROWATT_DIR}/core_tb | sed 's/.*: //' | egrep '^(GPR[0-9]|LR |CTR |XER |CR [0-9])' | sort | grep -v GPR31 | grep -v XER > test.out || true -if [ $X == $Y ]; then - echo "$TEST PASS" -else - echo "$TEST FAIL ********" - exit 1 -fi +grep -v "^$" ${MICROWATT_DIR}/tests/${TEST}.out | sort | grep -v GPR31 | grep -v XER > exp.out + +cp test.out /tmp +cp exp.out /tmp + +diff -q test.out exp.out && echo "$TEST PASS" && exit 0 + +echo "$TEST FAIL ********" +exit 1 diff --git a/tests/1.bin b/tests/1.bin index b7a11fc..dbff3a9 100644 Binary files a/tests/1.bin and b/tests/1.bin differ diff --git a/tests/1.out b/tests/1.out index da31672..98ff79c 100644 --- a/tests/1.out +++ b/tests/1.out @@ -1,32 +1,37 @@ -REG FFFFFFFEA9FF0004 -REG 0000000072E50000 -REG 0000000000000000 -REG 0000000000001CAA -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000B000100000000 -REG 0000000000000000 -REG 000000000000131F -REG 0000000000000000 -REG FFFFFFFFA9F40004 -REG 000B000100000000 -REG 0000000000000000 -REG 0000000000040000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF8D1AFFFF -REG 0000000000000004 -REG 0000000028808000 -REG 000000000161E8C0 -REG 000000000000BCD9 -REG FFFFFFFFFFFFFFF1 -REG 000000000000471E -REG 000000000000BCD9 -REG 0000000028808000 -REG 000010000000BC00 -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000001CAA -REG 0000000051010001 +GPR0 000000000000003D +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 FFFFFFFFFFFE3FDF +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FDF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFDF +GPR9 01FFFC0001F80000 +GPR10 000000009D370008 +GPR11 000000003FFF8000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000599F +GPR15 0000000000000000 +GPR16 000000000000001E +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFDF +GPR19 0000000000000000 +GPR20 FFFFFFFF62C8FFFD +GPR21 000000000001C020 +GPR22 00000000000018C0 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE3FDF +GPR27 0000000084370000 +GPR28 0000000000000000 +GPR29 000000000000F1B8 +GPR30 0000000000000020 +GPR31 +CR 000000003F982003 +LR 0000000000040000 +CTR 000000000000003F +XER 00000000C0080000 + diff --git a/tests/10.bin b/tests/10.bin index 2629e8d..9caad76 100644 Binary files a/tests/10.bin and b/tests/10.bin differ diff --git a/tests/10.out b/tests/10.out index 127667d..01f203c 100644 --- a/tests/10.out +++ b/tests/10.out @@ -1,32 +1,37 @@ -REG 00000000290C5C65 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC802 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF04EC -REG FFFFFFFFDFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000005800000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000000029AB +GPR5 00000000000029AB +GPR6 FFFFFFFFFFFFFFFE +GPR7 7FFFFFFF0000FFDF +GPR8 7FFFFFFF0000FFDE +GPR9 0000000000000000 +GPR10 7FFFFFFF0000FFDE +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFD655 +GPR14 0000000000000018 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 80000000FFFF0022 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000095605509 +GPR30 0000000000000000 +GPR31 +CR 0000000035605509 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/100.bin b/tests/100.bin index c7c37fe..5ecae6c 100644 Binary files a/tests/100.bin and b/tests/100.bin differ diff --git a/tests/100.out b/tests/100.out index 294f0a4..93593cb 100644 --- a/tests/100.out +++ b/tests/100.out @@ -1,32 +1,37 @@ -REG FC00000030B80F7E -REG FFFFFFFFFFFFFFFF -REG 000000000000001F -REG 0000000000000009 -REG FFFFFFFFFFFFF03F -REG 0000000628C6749B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF4 -REG 0000000000000FC0 -REG 0000000000000F80 -REG 0000000000000000 -REG 000000000000001B -REG 000000000000AFC6 -REG 00000000CAEC001F -REG FFFFFFFFFFFFFFE1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000007 -REG 0000000628C67484 -REG FFFFFFF9FFF6101F -REG 000000002300001F -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 000000000000007C -REG 000000000000001F -REG FFFFFFF9FBC21000 -REG 0000000000000000 -REG FFFFFFFFFFFFF07F -REG 000000001CF4001F -REG 000000000000007C -REG 000000005FF10911 +GPR0 FFFFFFFFFFFFA071 +GPR1 0000000000050000 +GPR2 0000000000000000 +GPR3 000000008000003F +GPR4 0000000080000040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000FFFC0000 +GPR13 FFFFFFFF00040000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF894F +GPR21 0000000000000000 +GPR22 0000000000005F8E +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000800008ED +GPR27 0000000000000000 +GPR28 0000000001000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003554009C +LR 0000000000005F8E +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/1000.bin b/tests/1000.bin index da8a3c9..3a79afc 100644 Binary files a/tests/1000.bin and b/tests/1000.bin differ diff --git a/tests/1000.out b/tests/1000.out index bd3ff8b..0941f9b 100644 --- a/tests/1000.out +++ b/tests/1000.out @@ -1,32 +1,37 @@ -REG 0000000000000042 -REG 00000000E0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000001CE494 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFABBB -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000039 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000100000001 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 00FFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00FFFFFFFFFFFFFF -REG 0000000000000040 -REG 00000000001D5A80 -REG 0000000000000000 -REG 0000000100000003 -REG 0000000000000068 -REG 0000000000000000 -REG 0100000000000033 -REG 0000000000000007 -REG 0000000085000040 +GPR0 0000000000000001 +GPR1 9FFFFFFFFFFFA0D0 +GPR2 000000000001C023 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000000001C +GPR7 0000000000000002 +GPR8 6000000000005F26 +GPR9 000000000001C020 +GPR10 0000000000000010 +GPR11 9FFFFFFFFFFFA0EA +GPR12 0000000000000040 +GPR13 0000000000000020 +GPR14 6000000000000030 +GPR15 0000000000000000 +GPR16 FFFF6DC14D9F06EE +GPR17 000000000000001B +GPR18 0000000000000010 +GPR19 0000000000000000 +GPR20 000000000001C023 +GPR21 00000000E5240002 +GPR22 000000000001FE2C +GPR23 000000000000000A +GPR24 0000000000000003 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000001F +GPR28 0000000000000000 +GPR29 FFFFFFFFFF00FF00 +GPR30 0000000000000010 +GPR31 +CR 000000003F5F365E +LR 0000000000000002 +CTR FFFFFFFFFFFE3FDB +XER 0000000080000000 + diff --git a/tests/101.bin b/tests/101.bin index fbdf6db..7950fb6 100644 Binary files a/tests/101.bin and b/tests/101.bin differ diff --git a/tests/101.out b/tests/101.out index cbef89b..919f546 100644 --- a/tests/101.out +++ b/tests/101.out @@ -1,32 +1,37 @@ -REG 00000000000000ED -REG 0000000000000000 -REG 000000000000074A -REG 0000000000000001 -REG 0000000000000000 -REG 0000000006FE7D07 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0808000806FE7D06 -REG 0000000000000004 -REG 00000000B83A0000 -REG 0000000000001B05 -REG FFFFFFFFFFFFFFF8 -REG 0003100000000000 -REG 0000000000000000 -REG 00000100000050EA -REG 0000000000000007 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000002000000A1D0 -REG 0000000020000000 -REG 0000000000000007 -REG 0000000000001B05 -REG 0000000044882888 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001B05 -REG 00000000810001E1 +GPR0 0000000000000000 +GPR1 00000000637C0000 +GPR2 0000000000007972 +GPR3 0000000321487624 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFCF6FF8D6 +GPR6 FFFFFFFCFFFFFFFB +GPR7 0000000000000000 +GPR8 000142F8000142F8 +GPR9 0000000300000003 +GPR10 0000000000000000 +GPR11 FFFFFFFFCF6FF8D5 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 FFFFFFFFCF6FF8D6 +GPR15 FFFFFFFF131CF8D5 +GPR16 00000000000075CC +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFE76F +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000001E +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFDF +GPR31 +CR 0000000030900729 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFDF +XER 00000000A007FFFF + diff --git a/tests/102.bin b/tests/102.bin index 344b201..3b4aae5 100644 Binary files a/tests/102.bin and b/tests/102.bin differ diff --git a/tests/102.out b/tests/102.out index 9468910..18c4fff 100644 --- a/tests/102.out +++ b/tests/102.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFD338C3F -REG 0000000000000000 -REG 0000000000004870 -REG 000000003FFFFFC0 -REG FFFFFFFFFFFFFFFF -REG 480085E048000000 -REG FFFFFF8000001FE5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000001A -REG 0000000005040001 -REG FFFFFFFFFFFFFFFF -REG 0000002820000000 -REG F58349ADEDA77E00 -REG FFFFFFFFFFFFFFF8 -REG 000000000000001A -REG FFFFFFFFE00003FF -REG 0000000000000020 -REG 00000000000009E4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000008 -REG FFFFFFFFFFFFFFFF -REG FC0000000505CC9F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000A080003 +GPR0 0000000000000A97 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000039999059 +GPR4 FFFFFFFFCCAAFFFF +GPR5 FFFFFFFFCCAAFFFF +GPR6 0000000000000000 +GPR7 000000000000000C +GPR8 0000000000000000 +GPR9 FFFFFFFFCCAAFFFF +GPR10 000000000000001D +GPR11 F7FFFFFFFFFF8278 +GPR12 FFFFFFFFFEAED888 +GPR13 0000000009000001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000009000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFE09E +GPR19 0000000000000000 +GPR20 000000000001C018 +GPR21 FFFFFFFFCCAAFFFF +GPR22 00000000E00C0000 +GPR23 0000000000003FD7 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000001CF60000 +GPR27 0000000000000005 +GPR28 0000000000000000 +GPR29 0000000000000005 +GPR30 0000000000000000 +GPR31 +CR 000000009099909B +LR FFFFFFFFCCAAFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/103.bin b/tests/103.bin index 92df010..a561284 100644 Binary files a/tests/103.bin and b/tests/103.bin differ diff --git a/tests/103.out b/tests/103.out index 7010445..735b804 100644 --- a/tests/103.out +++ b/tests/103.out @@ -1,32 +1,37 @@ -REG FF9FFFFF21240000 -REG 000000000017EE80 -REG 00000001BFC00000 -REG 0060000000780000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF95 -REG FFFFFFFFE0CA9244 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF408B -REG 0000000040000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9ED4 -REG 00000000000002FD -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE0CA9244 -REG 0000000000000020 -REG 000000000000EA01 -REG 0000000000000000 -REG 000000000D000000 -REG 0000000000000000 -REG FFFFFFFFFFE80000 -REG 0000000000000000 -REG 000000005A108491 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFC0A +GPR2 FFFFFFFFFFFFFC0A +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFB +GPR5 0000000000000000 +GPR6 FFFFFFFFE055FFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 00000000007FFF80 +GPR10 0000000000005000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000010400000104 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 FFFFFFFFE0560104 +GPR22 0000000000000017 +GPR23 0000000000000000 +GPR24 FFFFFFEFFFFFFFFF +GPR25 0000000000000105 +GPR26 000000000001C020 +GPR27 0000000000000010 +GPR28 0000000000000000 +GPR29 FFFFFFFFE0560000 +GPR30 0000000000000000 +GPR31 +CR 000000009A025C0A +LR FFFFFFFFFFFFFFFF +CTR 000000000001C020 +XER 000000008001C020 + diff --git a/tests/104.bin b/tests/104.bin index 7055870..617309d 100644 Binary files a/tests/104.bin and b/tests/104.bin differ diff --git a/tests/104.out b/tests/104.out index 3081707..b8e1a41 100644 --- a/tests/104.out +++ b/tests/104.out @@ -1,32 +1,37 @@ -REG 00003FFFFFFF8001 -REG 0000000000000000 -REG 0000000000000000 -REG 49C2000000000082 -REG 801F100000000000 -REG FFFFFFFFFDF6D8F0 -REG 49C2000000000082 -REG 0000000000000026 -REG FFFFFFFFFFFEFFFF -REG 801F100000000000 -REG FFFFFF00FFFFFFFF -REG FFFFFF00FFFFFFFE -REG FFFFFF00FFFFFFFE -REG FFFFFFFFD56124E1 -REG FFFFFF00FFFFFFFF -REG 0000000000008000 -REG 0000000000000026 -REG EAB09270E8409270 -REG 0000000000000000 -REG FFFFFF00FFFFFFFF -REG 000801F1000001E8 -REG 0000000000000000 -REG FFF7FE0EFFFFFFFF -REG 7FE0F00000000000 -REG FFFFFF00FFFFFFFE -REG 00000000004124E1 -REG 0000000038820000 -REG 00000000004124E1 -REG 03FFFFFFFF000000 -REG 0000000000000000 -REG 0000002500000025 -REG 000000001090001E +GPR0 000000000000262A +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001FF00 +GPR20 FFFFFFFFFFFFA840 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 000000000000364F +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000005 +GPR27 0000000000000040 +GPR28 FFFFFFFFFFFE3FCD +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000022957593 +LR 0000000000001BE6 +CTR 000000000000001F +XER 0000000020040000 + diff --git a/tests/105.bin b/tests/105.bin index c177d2f..d77a755 100644 Binary files a/tests/105.bin and b/tests/105.bin differ diff --git a/tests/105.out b/tests/105.out index d72036b..6bde06e 100644 --- a/tests/105.out +++ b/tests/105.out @@ -1,32 +1,37 @@ -REG 0000000048200024 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000002 -REG FFFFFFFF82410000 -REG 0000000000000000 -REG 00000000BA76001F -REG 020000202A26001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000001F0000000A -REG 0004C0000004C000 -REG FFFFFFFFF7FFFFFD -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG BE041CE7FFFBDC6A -REG 00000000000059A0 -REG 0000000000000006 -REG 0000000048200024 -REG 0000000000000000 -REG FFFB3FFFFFFB4000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000090400048 +GPR0 0000000000000020 +GPR1 FFFFFFFFFFFFFFBC +GPR2 00000000E00FFF00 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFDF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFE616 +GPR11 0000000000000000 +GPR12 0000000000000013 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFBF +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFF00 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000006 +GPR22 FFFFFFFFFFFFFF00 +GPR23 0000000000000008 +GPR24 0000000002200000 +GPR25 0000000000000006 +GPR26 0000000000000006 +GPR27 00000000E00FFF00 +GPR28 0000000000000044 +GPR29 0000000000000043 +GPR30 0000000000000000 +GPR31 +CR 0000000090B000D5 +LR 0000000000000020 +CTR 000000000000003F +XER 00000000A007FF00 + diff --git a/tests/106.bin b/tests/106.bin index 71d3b42..e9b4099 100644 Binary files a/tests/106.bin and b/tests/106.bin differ diff --git a/tests/106.out b/tests/106.out index ee5a93a..fb9ffa2 100644 --- a/tests/106.out +++ b/tests/106.out @@ -1,32 +1,37 @@ -REG 000000070000075A -REG 0000000000000000 -REG BFFFFFFFBE000004 -REG 0000000001FFFFFB -REG 0000000000000000 -REG FFFFFEF2FFFFA904 -REG 0200000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG F873800000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFE1CE0 -REG 0000000001FFFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 078C2C003F540004 -REG 00000000000007E8 -REG 0000000002000871 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFE000004 -REG FFFFFFF1FFFFAB02 -REG 0000000000000000 -REG 078C2C003F540004 -REG F873D3FFC1540004 -REG 00000007184E0100 -REG 4000000040000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000108800088 +GPR0 0000000000000000 +GPR1 0000000000000002 +GPR2 0000000005000000 +GPR3 FFFFFFFFFFFEFFFF +GPR4 0000000005000000 +GPR5 00000000AAAABFAC +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFF00000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000AAAAAAAA +GPR14 0001980000019800 +GPR15 0000000000000066 +GPR16 0000000000000000 +GPR17 0000000000010000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000005000000 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFEFFFF +GPR28 000000007B8F0000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000553953F4 +LR FFFFFFFFFFFFFFFF +CTR 00000000AAAAAAAA +XER 00000000C0080000 + diff --git a/tests/107.bin b/tests/107.bin index 855e1d4..f9aff8c 100644 Binary files a/tests/107.bin and b/tests/107.bin differ diff --git a/tests/107.out b/tests/107.out index 18a1987..ad0fb47 100644 --- a/tests/107.out +++ b/tests/107.out @@ -1,32 +1,37 @@ -REG 7851A07EFFA70241 -REG 0000000000000000 -REG 0000000000004000 -REG D30FE001D310661A -REG D3102F7BD3102F7B -REG FFFBFFFFFFFD7FFF -REG 87F000010000423F -REG FFFBFFFFFFFD7E00 -REG 87F40015741BFFD1 -REG 7B81FFFF4000001F -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000C110F -REG FFFFFFFFFFFFFFCB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF5BC0 -REG 00000000000007FF -REG 000000147419F50E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 87F40015741C5662 -REG 0000000000000000 -REG FFFFFFF94C438180 -REG 780BFFFEFFFD11AF -REG 00000000FFFFBDC0 -REG 0000000000000000 -REG FFFFFFF94C438180 -REG FFFFFFF94C43C3BF -REG 780BFFFEFFFD11AF -REG 0000000040088004 +GPR0 0000000080000000 +GPR1 0000000000FC867C +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 00000000FFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFEFFFFFFFF +GPR9 FFFFFFFFFFFE3FE0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 FFFFFFFFFFFFFF00 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000020 +GPR18 FFFFFFFFFFFE3FE0 +GPR19 0000000000000020 +GPR20 FFFFFFFFFFFFFFFF +GPR21 00000007FFFFF000 +GPR22 000000000001CF06 +GPR23 000000000001C020 +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFE30FA +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0011B141B629DF63 +GPR29 0000000000000020 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000005FF37D44 +LR FFFFFFFFFFFE3FE0 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/108.bin b/tests/108.bin index 2387c6a..338d2aa 100644 Binary files a/tests/108.bin and b/tests/108.bin differ diff --git a/tests/108.out b/tests/108.out index 655de65..4fdf34a 100644 --- a/tests/108.out +++ b/tests/108.out @@ -1,32 +1,37 @@ -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 00003FFFCE9561AE -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000007 -REG 0000001F9AA70020 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG FFFFFFFF76D1FFF0 -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFFFFFFFFF -REG 00000000892E0000 -REG 00007FFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040088088 +GPR0 FFFFFFFFFFFE3FDA +GPR1 0000000000000001 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 000000000001C020 +GPR8 0000000000000005 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFF0 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000005 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000000066CA +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 000000009AA00000 +LR 0000000000000000 +CTR 00000000000066CA +XER 00000000A0040000 + diff --git a/tests/109.bin b/tests/109.bin index 7c8b965..9bde9ee 100644 Binary files a/tests/109.bin and b/tests/109.bin differ diff --git a/tests/109.out b/tests/109.out index 2d54e13..ea34c78 100644 --- a/tests/109.out +++ b/tests/109.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000004C5B0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFC00000000000 -REG FFFFFFFFFFFF8D9E -REG 0000000000000000 -REG FFFFFFFFFFFF5E44 -REG FFFFFFFFFFFFE8C8 -REG 00000000FFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 3FFFFFF8FFFFFFF8 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF5E44 -REG 000000004C5B0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFBD1F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF00000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000A1BB -REG 000000010401FFFE +GPR0 5000000080000005 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFDF +GPR3 000000000001C020 +GPR4 0000000000000006 +GPR5 000000009BD40000 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFD386 +GPR10 FFFFFFFFFFFE3FE0 +GPR11 000000005954092D +GPR12 00000000000599FF +GPR13 0000000000000000 +GPR14 FDF0000000000003 +GPR15 FFFFFFFFFFFE3FE0 +GPR16 FFFFFFFFFFFE3FDF +GPR17 000000000001C020 +GPR18 0000000000000005 +GPR19 0000000000000006 +GPR20 000000000000C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFF259CF2217C75 +GPR24 0000000000000000 +GPR25 000000000000001D +GPR26 0000000000000023 +GPR27 0000000000000000 +GPR28 0000000000000006 +GPR29 0000000000000001 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000005954092D +LR 0000000000000023 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/11.bin b/tests/11.bin index ca584c3..005bd06 100644 Binary files a/tests/11.bin and b/tests/11.bin differ diff --git a/tests/11.out b/tests/11.out index 4123362..e3cb49b 100644 --- a/tests/11.out +++ b/tests/11.out @@ -1,32 +1,37 @@ -REG 003AD23688890019 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 00000000972B0000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 004080C0D0C0C089 -REG 0000000000000000 -REG 0000000000000317 -REG FFC52DC9E05DFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFD1 -REG 000000000000002F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF89A0 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0808080503040000 -REG 0808080503040000 -REG 0000000000000030 -REG 0000000000000000 -REG 0000000104005000 +GPR0 0000000000000000 +GPR1 0001FFFC00000000 +GPR2 0000000000000000 +GPR3 000000007C894B49 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000037934B49 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFBF +GPR11 0000000000000040 +GPR12 000000000001C020 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFF844C0000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000A0040000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000037930B09 +LR 0000000000000018 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/110.bin b/tests/110.bin index bf30e56..b7bad89 100644 Binary files a/tests/110.bin and b/tests/110.bin differ diff --git a/tests/110.out b/tests/110.out index 1fc19b3..df351fd 100644 --- a/tests/110.out +++ b/tests/110.out @@ -1,32 +1,37 @@ -REG 0000000000000032 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000046 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF9DDB -REG FFFFFFFF55B2FFBF -REG FFFFFFFFFFFFFFFF -REG 000000000000C6C3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFB9 -REG FFFFFFFFFFFF3983 -REG 0000000000000033 -REG 0000000000000000 -REG 0000023000000030 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000008 -REG 7C20000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0001FFFFFFFFFF73 -REG 0000000000000002 -REG FFFFFFFFFFFFFFBF -REG 0000000000000040 -REG 0000000000000000 -REG 00000001011E89F2 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 000000000001C03C +GPR7 0000000000000020 +GPR8 0000000000000001 +GPR9 0000000080000040 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000002C +GPR13 000000000001C020 +GPR14 000000000001C03C +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FEFFFFFFFFFFFFFF +GPR18 0000000000000004 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF0000 +GPR24 FF00000000000000 +GPR25 0000000000000000 +GPR26 0000000000000658 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000036818653 +LR 0000000000000004 +CTR 0000000000000000 +XER 00000000C009C03C + diff --git a/tests/111.bin b/tests/111.bin index ee43f6d..b77b309 100644 Binary files a/tests/111.bin and b/tests/111.bin differ diff --git a/tests/111.out b/tests/111.out index e5140be..c1b2dec 100644 --- a/tests/111.out +++ b/tests/111.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF9A6A -REG 0000000000000000 -REG FFFFFFFFFFFFFFF7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003350 -REG FFFFFFFFFFFFFFFF -REG 00000FFFFFFFF7FF -REG 0000000000000001 -REG FFFFFFFFFFFF9A6A -REG 0000000000000000 -REG 0000001A00000012 -REG FFFFFFFFFFF34D40 -REG 000000002F280888 -REG 0000000000000001 -REG 0000000000000000 -REG 0007FF0000000000 -REG FFFFFFFFD0D7FFFF -REG FFFFFFFFFFFFB350 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003C -REG 0000000000000020 -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG FFFFFFFFFFFF5F77 -REG D0D72F27D0D72F27 -REG 0000000000000001 -REG 0000002000000000 -REG 0000002000000017 -REG 0000000000000000 -REG 0000000041FA11F1 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 00000000FFFFD42C +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000004 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFD42B +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 FFFFFFFFFFFFA2CA +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFA2CA +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 FFFFFFFFFFFFEDA2 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009958299B +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/112.bin b/tests/112.bin index 8886b19..b9a2c47 100644 Binary files a/tests/112.bin and b/tests/112.bin differ diff --git a/tests/112.out b/tests/112.out index afe2b76..bd1bb86 100644 --- a/tests/112.out +++ b/tests/112.out @@ -1,32 +1,37 @@ -REG FFFFFFFFB357FFC1 -REG 0000000000000021 -REG 0000000000000000 -REG FFFFFFFFFFFFFFCF -REG 0000000000008DF8 -REG 000000007FFFF7C0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE1 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000090D6 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE1 -REG 000000000000C4A7 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000048D50000 -REG 00000000000034E1 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFEAD2 -REG 0000000000000000 -REG FFFFFFFF44A9FFDF -REG 000000000000D120 -REG FFFFFFFFFFFFFFDF -REG 00000000000090D5 -REG 000000000000FC00 -REG 0000000000000040 -REG 0000000040000700 +GPR0 0000000000000001 +GPR1 00000000007007C0 +GPR2 000000000001C020 +GPR3 0000000000000040 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000FFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 00000000000010C2 +GPR20 0000000000000000 +GPR21 000000000000001E +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0000000000010001 +GPR28 000000000001C01F +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005A31A999 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0040 + diff --git a/tests/113.bin b/tests/113.bin index 4e1949a..57fc691 100644 Binary files a/tests/113.bin and b/tests/113.bin differ diff --git a/tests/113.out b/tests/113.out index e895e14..2a0ef5a 100644 --- a/tests/113.out +++ b/tests/113.out @@ -1,32 +1,37 @@ -REG FFFFFFF8E09C556F -REG 000000002448848E -REG 0000000083C40000 -REG 000000000000FF00 -REG E3A0008CE810D740 -REG 0000080000000000 -REG 09200002A89AE240 -REG 8D7F3BB3449942C5 -REG 0002A5B9121A971C -REG FC48980000189976 -REG 0002A5B9121A975C -REG FFFFFFF8E0906D06 -REG 00000000EDDA0035 -REG FFB6FFFFEABB77ED -REG 0000000000005F00 -REG FFFFFFFFFFFFFFFD -REG FFFFFFFFFFFFA0DA -REG 0000000000000035 -REG 0000010000000000 -REG 0000000000005F26 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000005F26 -REG 0000000000000000 -REG 0048FFFFFFFF8808 -REG 0000000000000020 -REG 0000000000000040 -REG E3A0008CE810C566 -REG FFFFFFFFEABAFFF6 -REG FFFFFFDFFFFFA0FA -REG FFFFFFFFFFFFFFAA -REG 000000004891091D +GPR0 FFFFFFFE373DFFFF +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 00000000E4610000 +GPR5 FFFFFFFE373E0000 +GPR6 0000000000000000 +GPR7 0000000000000003 +GPR8 80000000001DFFDF +GPR9 000000006FFFFFFE +GPR10 FFFFFFFFFFFFFFFE +GPR11 00000000E4610000 +GPR12 0000000000000000 +GPR13 E000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000020 +GPR18 000000000000B002 +GPR19 FFFFFFFFFFFFF803 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFD +GPR24 0000000000000000 +GPR25 00000000000001FF +GPR26 0000000000000000 +GPR27 0000000000000005 +GPR28 000000000000001D +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 000000003FC05854 +LR 0000000000000380 +CTR FFFFFFFFFFFF00BC +XER 00000000A0040000 + diff --git a/tests/114.bin b/tests/114.bin index 194af43..178295a 100644 Binary files a/tests/114.bin and b/tests/114.bin differ diff --git a/tests/114.out b/tests/114.out index 706199f..90cbc14 100644 --- a/tests/114.out +++ b/tests/114.out @@ -1,32 +1,37 @@ -REG BFFFFC0A1FFFF7DB -REG 0000000000000000 -REG 0000000000000000 -REG 800009200003F000 -REG 0000000000000040 -REG 000000004F7FE848 -REG FFFFFFFFE00098C3 -REG FFFFFFFF80000000 -REG 000000001FFF673D -REG 0000000000000000 -REG FFFFFFFFE000FBAF -REG FFFFFFFFFFFFF172 -REG 0000000000000000 -REG 00000000000FFFFE -REG 0007FFFEFFF00002 -REG 0000000000004E91 -REG 0000000000000000 -REG FFFFFFFFE0005799 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC0000000 -REG 000003FFE000082E -REG 00000000FFFF7EDC -REG FFFFFFFFFFFFFFFF -REG 000000001FFF5799 -REG 0000000000000000 -REG 0000000017FD0000 -REG FFFFBF6EE007BF6E -REG 0000000000000000 -REG FFFFFFFFFFFF0000 -REG FFFFFFFF80000000 -REG 000000005EFFD110 +GPR0 000000000001BFDD +GPR1 FFFFFFFFC0AE366B +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFF87CC +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 000000003F51C995 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000062CA0000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 FFFFFFFFFF80007F +GPR26 0000000000000000 +GPR27 FFFFBFFFFFFFC000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 000000003F51C999 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/115.bin b/tests/115.bin index 218aa0b..6732532 100644 Binary files a/tests/115.bin and b/tests/115.bin differ diff --git a/tests/115.out b/tests/115.out index 9088016..1ad5e5c 100644 --- a/tests/115.out +++ b/tests/115.out @@ -1,32 +1,37 @@ -REG 0000000000000042 -REG FFFFFFFFFE48FFFF -REG 00006F3900006F39 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003FE0000 -REG 100106B000000000 -REG FFFFFFFFFFFFFE13 -REG FFFFFFFFFFFF4985 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC19D3E63 -REG FFFFFFFFE8250000 -REG 0000000000000040 -REG FFFFFFFFFE48FFFF -REG 0000000000008A9E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000106B0000 -REG E00000000100FFFF -REG 0000000040828478 -REG 000000007B490000 -REG 8000340000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFA8250000 -REG FFFFFFFFFFFFFFFF -REG E800000000000000 -REG 0000000000008A9D -REG 0000000117120811 +GPR0 000000003550D795 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001489B +GPR9 0000000000000000 +GPR10 000000007221EE1B +GPR11 FFFFE6EDF8000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFEE1B +GPR17 FFFFFFFFFFFFEE1B +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 000000000001C020 +GPR29 0000000310930680 +GPR30 0000000000000000 +GPR31 +CR 000000000550D995 +LR FFFFFFFFFFFE3FDF +CTR 0000000000020305 +XER 00000000C0080040 + diff --git a/tests/116.bin b/tests/116.bin index 3f097ed..dde9079 100644 Binary files a/tests/116.bin and b/tests/116.bin differ diff --git a/tests/116.out b/tests/116.out index f91434d..4cd8e04 100644 --- a/tests/116.out +++ b/tests/116.out @@ -1,32 +1,37 @@ -REG 000000000045061D -REG FFFFFFFFC0ACFFFF -REG 0000000000450600 -REG FFFFFFFFFFBAF9DF -REG 0000000000000000 -REG 0000000000000380 -REG 0000000000450600 -REG 0000000000000020 -REG FFFFFEDB201FFB60 -REG FFFFFFFFC0AD0000 -REG 0000000000000000 -REG FFFFFEDB201FFB60 -REG 0000000020040001 -REG FFFFFFFFFFFFFFFF -REG 0808080802040808 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000450600 -REG 0000000000000020 -REG 000000000044A8A4 -REG 0000000000000007 -REG FFFFFFFFFE056800 -REG 0000000000000000 -REG 000000007F02AE4D -REG 0000000000000000 -REG 0000000000F811B2 -REG 0000000000000007 -REG 0080000000000000 -REG 0000000000000000 -REG 00001EE90DC27CF6 -REG 0000000040509010 +GPR0 FFFFFFFFFFFE3FC0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFF0000FFFF +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 00000000D01A0000 +GPR12 00000000EA7A0020 +GPR13 00000FFFFF800001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000001EA7400 +GPR17 00000000EA7A0000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000007A9D0000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFE1F8BFF +GPR31 +CR 0000000090150535 +LR FFFFFFFFE663D71A +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/117.bin b/tests/117.bin index 17ea3f4..5098ff0 100644 Binary files a/tests/117.bin and b/tests/117.bin differ diff --git a/tests/117.out b/tests/117.out index dec1906..09a320b 100644 --- a/tests/117.out +++ b/tests/117.out @@ -1,32 +1,37 @@ -REG 000000002F423F42 -REG 0000000000000C00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF0001CA8 -REG FFFFFFFFF0007B0A -REG 0000000000000091 -REG 0000000000000003 -REG 0FFFFFD071FABD00 -REG 0000400000000001 -REG 0000000007080603 -REG 0000000037FFFFE7 -REG 000000007A114210 -REG 000000002F083F42 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF0007B0A -REG 0000000000000000 -REG FFFFBFFFFFFFFFFF -REG 00000F33111EAA2C -REG 0000000000000040 -REG FFFFFFFFFC0000BD -REG 00000000D0BDD7BE -REG FFFFFFFF2F422441 -REG 000000000FFF84F5 -REG 0000000000000020 -REG 0000000000000000 -REG 0000400000000001 -REG 000000002F422842 -REG 00000000D0B22BBE -REG 000000000E0500F5 -REG 000000009E107E84 +GPR0 FFFFFFFF8FFF8D11 +GPR1 0000000000000000 +GPR2 000000000001C01C +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000006 +GPR6 FFFFFFFFFFFF8D11 +GPR7 000000150000000B +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000009000003F +GPR11 0000000090000001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFF70007795 +GPR14 0000000000009240 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000003 +GPR18 0000000000000004 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFBF +GPR21 0000000000000000 +GPR22 0000001500000009 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFE3FE4 +GPR29 0000000000004980 +GPR30 FFFFFFFFFFFFFFBF +GPR31 +CR 0000000050017019 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/118.bin b/tests/118.bin index e657557..f454d2f 100644 Binary files a/tests/118.bin and b/tests/118.bin differ diff --git a/tests/118.out b/tests/118.out index b0a6664..19436e2 100644 --- a/tests/118.out +++ b/tests/118.out @@ -1,32 +1,37 @@ -REG 01FFFFFFFFFFFFFD -REG 0000000000005802 -REG 0000000000000200 -REG FFFFFFFFFFFF9C43 -REG FFFFFFE000FFEB94 -REG 0000001FFF00146B -REG 0000000000000020 -REG 0000000500000005 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFDFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFC00000000 -REG FFFFFF001E000000 -REG FFFFFFFFFFFF693C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0200001F0000001F -REG 0000000000000200 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FE00000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000FFE200734A -REG FFFFFFFC00000000 -REG FFFFFF8000000000 -REG 00000000000096E3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000108910888 +GPR0 FFFFFFFFFF645740 +GPR1 0000000000000010 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFEFFFFFFFF +GPR5 00000000FFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000017A74B7 +GPR9 0000000000038040 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000180 +GPR14 FFFFFFFFFFFFFFFE +GPR15 000000000001C004 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFC037 +GPR20 000000000817A8C7 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFC5 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFE3F +GPR25 FFFFFFFFFFFFFE3E +GPR26 0000000000000006 +GPR27 00000000000058C0 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000092D10559 +LR 0000000000000001 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/119.bin b/tests/119.bin index 5942644..beb09e9 100644 Binary files a/tests/119.bin and b/tests/119.bin differ diff --git a/tests/119.out b/tests/119.out index 3146164..47c42e4 100644 --- a/tests/119.out +++ b/tests/119.out @@ -1,32 +1,37 @@ -REG 480000000002D4EC -REG 0000000000000000 -REG 0000000000000000 -REG 000FFFFFFFFFCAD8 -REG 0000000000005469 -REG EFBF28D8EFFFC305 -REG 0000008FFFFFD7D8 -REG 0000000000000020 -REG FFFFFFFFFFFFFFC6 -REG 0000009000000090 -REG 0000000000000000 -REG FFFD99E9FFFFFFEC -REG 00013B65A93C1F74 -REG FFFD99E9FFFFFFEA -REG 0002661600026616 -REG FFFFFFFFFFFFFFFF -REG 0000000000000041 -REG 0000000000000006 -REG FFFD99E9FFFFFFEB -REG 0000000000000005 -REG 00000001FFFFF2B6 -REG FFFFFFFF99CB0000 -REG 0000000000000040 -REG 000000000000006A -REG FFFFFFFFFFFFF2B6 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000185 -REG 0000000000000000 -REG 0000000000000041 -REG B7FD99E9FFFFFF95 -REG 0000000104088088 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFE3 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFE5 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFF1B +GPR16 0000000000000000 +GPR17 FFFFFFFFFE6F1E02 +GPR18 000000000000007E +GPR19 FFFFFFFFFFFFFFE4 +GPR20 000000000000DF4D +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF20B3 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035E59549 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/12.bin b/tests/12.bin index f5c1739..705c275 100644 Binary files a/tests/12.bin and b/tests/12.bin differ diff --git a/tests/12.out b/tests/12.out index 7bae327..e5cdb11 100644 --- a/tests/12.out +++ b/tests/12.out @@ -1,32 +1,37 @@ -REG 0000000000009F88 -REG 0000000000000000 -REG FFFFFC6CFFFFFC6C -REG 000779480007CC9B -REG 0000000000000000 -REG FFFFFFFFEF290001 -REG FFFFFFFFFFFF6077 -REG FFFFFFFFBBFABFFF -REG 0000000010D6FFFF -REG 0000000000077948 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000004F40C -REG 0000000000000000 -REG 0007794800077948 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000080000 -REG FFFFFC6CFFFFFC6C -REG 0000000004000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00001C6CFFFFFC6C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFC6CFFFFFC6C -REG 000000000004F58D -REG FF3FFFFFFFFFFFFF -REG 00000000480A8004 +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFD40000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FEC +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 FF80000000000001 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFE +GPR21 000000000000EB99 +GPR22 0000000000000000 +GPR23 000000000000EB99 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0080000030000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000001 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000054C50990 +LR FFFFFFFFFFFFFFFE +CTR FFFFFFFFFFFF003F +XER 0000000080000000 + diff --git a/tests/120.bin b/tests/120.bin index 07de7ea..59565e7 100644 Binary files a/tests/120.bin and b/tests/120.bin differ diff --git a/tests/120.out b/tests/120.out index f03a54a..589f8b3 100644 --- a/tests/120.out +++ b/tests/120.out @@ -1,32 +1,37 @@ -REG 5CEFF00200008022 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 043BA020AF31A4FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000118007FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000FFB800 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFEE7FF800 -REG 0000000000000000 -REG 23100FFDFFFFD610 -REG 0000000000000000 -REG 00000000015B5000 -REG 000000002E20A000 -REG 000000000000001E -REG 0118007FF0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000000000 -REG 00000000901E1000 +GPR0 000000000000304C +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 000000000000304B +GPR4 FFFFFFFFFFFFF7BC +GPR5 0000000000000000 +GPR6 00000000FFFE29CD +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 1FFFC539A0000000 +GPR15 0000000000000000 +GPR16 0800000008000300 +GPR17 0000000000000000 +GPR18 F0BCAEE1F5FB3A18 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000040006D94 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFF7BC +GPR27 00000000000065E0 +GPR28 1FFFC539A0000001 +GPR29 F0BCAEE1F5FB31D5 +GPR30 0000000000000000 +GPR31 +CR 0000000030002893 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/121.bin b/tests/121.bin index 4153abd..92f00da 100644 Binary files a/tests/121.bin and b/tests/121.bin differ diff --git a/tests/121.out b/tests/121.out index 0b3a09a..27e46ac 100644 --- a/tests/121.out +++ b/tests/121.out @@ -1,32 +1,37 @@ -REG FFFBFFBFFDC00001 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG FFFFF9FFC92EFFFF -REG FFFFFFFFFF7FFFFF -REG 4000000000000000 -REG FF85A9BEFCB8F7F7 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF9FFE757FFFF -REG 17C49F006F000000 -REG E481584E91000000 -REG FFFFFFFFFF7FFFFF -REG 0000000000000040 -REG 0000000094290000 -REG E481584E91000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG C000000000000000 -REG 0000000000000000 -REG 007B564002400019 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 20FBF9C507C70809 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000020020011FFF -REG 0000000000000000 -REG 00000000931C889F +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000080000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFE +GPR9 000000000000C004 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 000000000001C005 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 FF000001FFFE3FB4 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000007FFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009B93D554 +LR FFFFFFFFFFFFFFFE +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/122.bin b/tests/122.bin index 007f1d6..8d64e8f 100644 Binary files a/tests/122.bin and b/tests/122.bin differ diff --git a/tests/122.out b/tests/122.out index 88a5eaa..f4489cc 100644 --- a/tests/122.out +++ b/tests/122.out @@ -1,32 +1,37 @@ -REG FFDFB7FFFFDFFFFF -REG 0000000000001F40 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 8880000000050900 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000004600000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000001F0000001F -REG 0000000000000000 -REG FFEBF46398000001 -REG 0000000000000000 -REG 0000000000000003 -REG 00000002BFF80035 -REG 0000000000002048 -REG 5A80000281738D1F -REG 00000002BFF80035 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000018B10000 -REG 0000000000000000 -REG 0020480000200000 -REG A57FFFFD7E8C7300 -REG 0000000000000000 -REG 018B100000000000 -REG 0000000000002048 -REG 0000000000000000 -REG 0000000000000000 -REG 8880000000050900 -REG 0000000091110889 +GPR0 0000000000000000 +GPR1 000000000001C01C +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000040 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000080000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000080000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000034603600 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/123.bin b/tests/123.bin index 1be6d16..2b6b587 100644 Binary files a/tests/123.bin and b/tests/123.bin differ diff --git a/tests/123.out b/tests/123.out index 44aec7f..d454e78 100644 --- a/tests/123.out +++ b/tests/123.out @@ -1,32 +1,37 @@ -REG C7EF27E4CFEF791D -REG 0000000000000032 -REG 0000000000000000 -REG 0000000000000004 -REG FFFFFFFFFFFFFFFF -REG 000000000000C747 -REG 000000000DFD0000 -REG 0000000000000000 -REG 0000000059A40004 -REG 0000000000000000 -REG 0000000008000001 -REG 9880008C0000007F -REG FFFFFFFFFFE00000 -REG 000000008F440888 -REG 0000000000000005 -REG 0000000000000000 -REG 000000001F800000 -REG FFFFFFFFFFFFAD55 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG D87FDC7F9880008C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 006FE80000000000 -REG 000000000800AE30 -REG FFFFFFFFFFDFA9B6 -REG 00000000001FFFDF -REG 0000000000000000 -REG 000000009E881110 +GPR0 0000000000002000 +GPR1 0000000020040005 +GPR2 0000000000000001 +GPR3 0000000000000005 +GPR4 FFFFFFFF7FFFFFFF +GPR5 0000000000000032 +GPR6 0000000000000000 +GPR7 000000007FFFFFFE +GPR8 0000000000000005 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000080000000 +GPR14 0000000000000004 +GPR15 0000000080000000 +GPR16 FFFFFFFFFFFFDFFF +GPR17 00000000000059A2 +GPR18 0000000000000005 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000001C02C +GPR25 0000000000000000 +GPR26 FFFFFFFF80000001 +GPR27 0000000000D00000 +GPR28 0000000000000300 +GPR29 00000001C0202000 +GPR30 0000000000000000 +GPR31 +CR 0000000059D49609 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000020040005 + diff --git a/tests/124.bin b/tests/124.bin index 9c08082..f536d56 100644 Binary files a/tests/124.bin and b/tests/124.bin differ diff --git a/tests/124.out b/tests/124.out index 36ca36d..3680b16 100644 --- a/tests/124.out +++ b/tests/124.out @@ -1,32 +1,37 @@ -REG 000007FFE6101D6F -REG 0000000000000000 -REG 0000000028D41400 -REG 0000000080000000 -REG 0000000000007D6F -REG 000FFFFFD800969E -REG 0000000000003E00 -REG FFFFFFFFFFFFE7E7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000000F -REG 0000000000000000 -REG FFFFFFFFFFFF969E -REG FFF0000127FF4881 -REG 0000000028000000 -REG 0000000000000000 -REG 0000000028D41400 -REG 0000000000048400 -REG 0000000000007D6F -REG 000000000000001F -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG FC00000000007FFE -REG 0000000200000002 -REG 0000000000003E00 -REG 0000000000000004 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFB7BFF -REG 0000000051A82800 +GPR0 FFFFFFFFFFFFFFC5 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 1000000000000000 +GPR4 000000000001C020 +GPR5 0000000032E5FFE5 +GPR6 0000000000000000 +GPR7 FFFFFFFEFFFE3FE0 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000013840 +GPR17 0000000000000000 +GPR18 FFFFFFFEFFFF7820 +GPR19 0000000032E5FFE5 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059010300 +LR 0000000000000D00 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/125.bin b/tests/125.bin index 0c98a37..cc7d280 100644 Binary files a/tests/125.bin and b/tests/125.bin differ diff --git a/tests/125.out b/tests/125.out index 4c0d2e7..6417249 100644 --- a/tests/125.out +++ b/tests/125.out @@ -1,32 +1,37 @@ -REG 0000000071310008 -REG FFFFFFFFFFFFFFFE -REG 0000000000000040 -REG FFBAF110FFBA72BF -REG 0000000000000000 -REG 00000000CC3D0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000040000000 -REG 0000000000000000 -REG FFFFFFFFB4FEFFFF -REG 00000000CC3DFC20 -REG 80007FFF80007FFF -REG FFFFFFFFFFFFFFFF -REG 0000000000007DB5 -REG FFFFFFFF0000FFFF -REG 00000000CC3D0000 -REG 000000330F400000 -REG 00000000CC3D0000 -REG FFFFFFFFFFFE0000 -REG 0000000000003031 -REG 0000000004000000 -REG FFBAF110FFBAF110 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 000000000001FC20 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000CC3D0177 -REG FFFFFFFFFFC07FFF -REG 0000000080080000 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 00000000FFFFCF3F +GPR3 000000000000003F +GPR4 000000000000001F +GPR5 FFFFFFFFFFFFFFF8 +GPR6 0000000000000000 +GPR7 003804000000005C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000060 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0023FEB6E72E99C3 +GPR15 000000000000003F +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 000000000001C010 +GPR20 FFFFFFFFFFFE0F20 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 0000000080000000 +GPR26 0000000000000001 +GPR27 0000000000000001 +GPR28 FFFE0EBCFFFE0EBC +GPR29 000000000001C010 +GPR30 FFC7FBFFFFFFFF9C +GPR31 +CR 000000009B945C0B +LR 0000000000000000 +CTR 000000003FF80000 +XER 0000000080000000 + diff --git a/tests/126.bin b/tests/126.bin index 2e167df..f19aa64 100644 Binary files a/tests/126.bin and b/tests/126.bin differ diff --git a/tests/126.out b/tests/126.out index 8509743..6c59c81 100644 --- a/tests/126.out +++ b/tests/126.out @@ -1,32 +1,37 @@ -REG BFFFFFFFFFFFFFFD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000000D -REG 8000001F0000001E -REG 0000000000000000 -REG DB21A5D3360FFFF8 -REG FFFFFFFFFFFFFFA4 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000001133 -REG FF800FC000FFFFE6 -REG 0000000000000006 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFF3C6FFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 7FFFFFE0FFFFFFC1 -REG E300000725FFFFFF -REG 7FC007E000000000 -REG 0000000000000020 -REG 8000001F3F59001E -REG DB21A5D3360FFFFF -REG 0000000000000000 -REG 1CFFFFF8DA000000 -REG 0000000050089048 +GPR0 EA0BFFFFC00BFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000002FBE +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFB4FE +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030F55565 +LR 4000000000008FF7 +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/127.bin b/tests/127.bin index d39f54a..b6064eb 100644 Binary files a/tests/127.bin and b/tests/127.bin differ diff --git a/tests/127.out b/tests/127.out index 93a362c..3c147b7 100644 --- a/tests/127.out +++ b/tests/127.out @@ -1,32 +1,37 @@ -REG FFFFF3F6FFFFB362 -REG 0000000000000000 -REG 0000000000003A6F -REG FFFFFFFF85D80020 -REG 0000000000000000 -REG FFFFFFFFCFDC0000 -REG 0000000030240000 -REG 0000000007FFFFC0 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFCFDBFFFE -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000007FFFFC0 -REG 0000000000000020 -REG FFFFFFFFFFFFC59A -REG FFF0000000000000 -REG 0000000030000000 -REG 0000000030240000 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000003A6F -REG 0000000000000000 -REG FDA5E80007FFFFC0 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 5E7EB08E5E7EB08E -REG 0000000000000000 -REG 0000000081001040 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 000011D100004744 +GPR6 0000000000000020 +GPR7 0000000001C00000 +GPR8 0000000080000000 +GPR9 FFFFFFFFFFFFEECE +GPR10 0000000000004744 +GPR11 000000000001C054 +GPR12 FFFFFFFFFFFFFFFE +GPR13 000000000000003F +GPR14 FFFFFFFC62E3FCC0 +GPR15 FFFFFFFFFFFFEE2F +GPR16 0000000000000000 +GPR17 00000000000011D1 +GPR18 000000000000001F +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 000000001F339894 +GPR24 0000000000000020 +GPR25 000000008001C020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000050039979 +LR 0000000000000020 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040000 + diff --git a/tests/128.bin b/tests/128.bin index c1da012..d249be1 100644 Binary files a/tests/128.bin and b/tests/128.bin differ diff --git a/tests/128.out b/tests/128.out index e57bad1..130c868 100644 --- a/tests/128.out +++ b/tests/128.out @@ -1,32 +1,37 @@ -REG 000000000000609F -REG 000000000341BF00 -REG 000000000000002E -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFF8 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000040040042 -REG E000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000341BEFF -REG 0000C17600000000 -REG 00000000001FC5F2 -REG 0000000000000000 -REG FFFFFFFFE67F8000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFE23A0D -REG 0000000000003A0D -REG 0000000020848048 -REG 0003600000000000 -REG 0000000000000000 -REG 0007F1FB0007F1FB -REG E000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 00036000CCFF0000 -REG FFFFFFFFFFFFFFD2 -REG 0000000081090090 +GPR0 FFFFFFFFFFFE3FF1 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 000000003271D994 +GPR4 000000000000001F +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000003F +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000D93 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 000000000001C00A +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000000E +GPR29 0000000000000000 +GPR30 000000000001F64B +GPR31 +CR 000000003A71D994 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000A007FFFE + diff --git a/tests/129.bin b/tests/129.bin index 1c129ac..743c622 100644 Binary files a/tests/129.bin and b/tests/129.bin differ diff --git a/tests/129.out b/tests/129.out index 89898af..d61a920 100644 --- a/tests/129.out +++ b/tests/129.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF355D -REG 0000000000000000 -REG 000000000000137E -REG 0000000000000000 -REG 0000000000000000 -REG FF006872FF006872 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004A3D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFB5E3 -REG FFFFFFFFFFFF9A48 -REG FFFFFFFF9A91D8BF -REG 0280B00000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF137E -REG 0000000000000019 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFD1DCB5C2 -REG 000000002E234A3D -REG 0000000000000000 -REG 0000000000001A56 -REG 0000000050109905 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 00000000A00585B4 +GPR4 0000001F1FFA7A53 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFF0000 +GPR8 0000000000000005 +GPR9 FFFFFFE03FFFFFF9 +GPR10 0000000000000000 +GPR11 00000000A00585B4 +GPR12 0000000000000000 +GPR13 00000000A00585AE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFE03FFFFF58 +GPR17 FFFFFFFF0EFDFFFF +GPR18 0000000030891F59 +GPR19 0000000000000020 +GPR20 00000000A00585B4 +GPR21 0000000000000000 +GPR22 0000000000000018 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 00000000400B0B68 +GPR26 FFFFFFFFDC2E0000 +GPR27 0000000000000000 +GPR28 00000000000000A0 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 0000000059891F59 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A00585AE + diff --git a/tests/13.bin b/tests/13.bin index 1533d43..f0182e5 100644 Binary files a/tests/13.bin and b/tests/13.bin differ diff --git a/tests/13.out b/tests/13.out index 631707d..3631f5f 100644 --- a/tests/13.out +++ b/tests/13.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000FFFFC0 -REG 07FF861907CF8619 -REG 0000000000000000 -REG 07FFF6D2FFFFF6D2 -REG 0000000000000138 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF6D2FFFFF6D2 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000001700000011 -REG 000000001C910000 -REG 0000000000000000 -REG FFFFFFFFE2300000 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000138 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF6D3 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000040100910 +GPR0 0000000000010000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000A80E1803 +GPR9 FFFFFFFFFF9885A8 +GPR10 FFF7FC15DFF7FC15 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000677A57 +GPR14 0000000000000000 +GPR15 0000000000007A57 +GPR16 0000000000000000 +GPR17 00000000A80DFFFF +GPR18 00000000555855F4 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFE3FDE +GPR21 FFFFFFFF57F20000 +GPR22 0000000000080000 +GPR23 0000000000000000 +GPR24 00000000A80DFFFF +GPR25 FFFFFFFFFFFF8E5A +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 FFFFFFFF57F3FFFF +GPR31 +CR 00000000351855F4 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/130.bin b/tests/130.bin index 96d377d..45667a3 100644 Binary files a/tests/130.bin and b/tests/130.bin differ diff --git a/tests/130.out b/tests/130.out index e57ff12..6298834 100644 --- a/tests/130.out +++ b/tests/130.out @@ -1,32 +1,37 @@ -REG FFFFFFFFA80CFFFA -REG FF80000000000000 -REG 0000000000000020 -REG 0000000057F30000 -REG FFFFFFFFFFFFFFFF -REG 000015FCC0000000 -REG FFFFFFBFA80CFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFF5019FFFC0 -REG 00000000FFFF7FE6 -REG 0000000000000005 -REG FFFFFFFFA80CFFFE -REG 0000000000000000 -REG 0000000000000026 -REG 0000000000000000 -REG 0000000000000000 -REG C8397FDFFFFFFFFF -REG FF80004000000000 -REG 0000000057F30005 -REG 0000000057F30000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000002000000 -REG 5600000000000000 -REG 0000004000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000100004090 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 00000000FFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFC +GPR6 FFFFFFFFFFFE3FDF +GPR7 006000000002C01E +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000B00780000 +GPR10 0000000000100000 +GPR11 0001C01B0001C01B +GPR12 000000000001C01F +GPR13 0000000000000000 +GPR14 0000180000001800 +GPR15 0000000000000000 +GPR16 000000000001C01E +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 000000000000FF01 +GPR20 0000000000000000 +GPR21 0000000000000004 +GPR22 000221E80002318B +GPR23 0000000100000003 +GPR24 0000000000000000 +GPR25 0000009000000090 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0060000000000000 +GPR29 FFFFFFFFFFFFC020 +GPR30 0000000000000000 +GPR31 +CR 000000003040C053 +LR 0000000000040000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/131.bin b/tests/131.bin index 0f3e937..1045994 100644 Binary files a/tests/131.bin and b/tests/131.bin differ diff --git a/tests/131.out b/tests/131.out index c256856..67bc4d2 100644 --- a/tests/131.out +++ b/tests/131.out @@ -1,32 +1,37 @@ -REG 176888886EEAD956 -REG 0000000000000000 -REG 0000000079AA995B -REG 000000000000003B -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF867DFEBD -REG 0000000000000000 -REG 0000000000000019 -REG 402421D7402421D7 -REG E8977777E8977777 -REG 865576A486A48664 -REG FFFFFFFF86F5E6E4 -REG FFFFFFFFF01FFFFF -REG 0000000000000002 -REG 146A6EC7FA7AA081 -REG 00000000000BDCE3 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000284F0841 -REG 00000000484B4841 -REG 0000000000000400 -REG E6AA257000000001 -REG FFFFFFFFFFFFFFFF -REG 0707070707070706 -REG F7F7F7F7F7F7F7FA -REG 146A6EC7FA7AA081 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000004000000 -REG 0000000000000000 -REG 0000000110969083 +GPR0 F800000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 C0FFFFFFC0E00003 +GPR10 0000000000000005 +GPR11 FFFFFFFFFFFFFFC0 +GPR12 FFFFFFFFFFFFFFFA +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000006 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000005 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0410410410410410 +GPR26 FFFFFFFFFFEAC73C +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000095540595 +LR 0000000000000000 +CTR 00000000092C0005 +XER 000000008003FFF8 + diff --git a/tests/132.bin b/tests/132.bin index d096f7b..5962096 100644 Binary files a/tests/132.bin and b/tests/132.bin differ diff --git a/tests/132.out b/tests/132.out index 3195a2f..3f4d6c4 100644 --- a/tests/132.out +++ b/tests/132.out @@ -1,32 +1,37 @@ -REG BC75179D68810865 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000002 -REG 0000000100000001 -REG 0000000000000007 -REG FFFFFFFF3FFEFFFF -REG FFF53FFFFFFEFFFE -REG 001BD799001BD799 -REG 0000007B889AD280 -REG FFFFFFFFC001FFFF -REG 000000000000001E -REG 0000000000000019 -REG 000000000000001D -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFC44D6F -REG 0000000002000000 -REG 0000000000000304 -REG 00000000000064AA -REG 43913FFB8CDADFFC -REG 0000000000000000 -REG 0000000000000000 -REG 43913FFB889AE015 -REG BC6EC00477652003 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000040 -REG 000000003FFF0000 -REG 000000003FFF0000 -REG 0000000044000888 +GPR0 FFFF800000000000 +GPR1 0000000000003346 +GPR2 FFFFFFFFFF000021 +GPR3 FFFFFFFFFFFE3FDF +GPR4 0000000000000004 +GPR5 F000000000000000 +GPR6 F7F7F7F7F7F7F34D +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFFFFFFFB4A +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000005BC0 +GPR12 0000000000000000 +GPR13 F7F7F7F7F7F7F34D +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFB4A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 00000000FFF52559 +GPR20 00007FFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0238DA4000000000 +GPR23 FFFFFFFFFF000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFF8000A3EE0000 +GPR26 FFFFFFFFFFFFFFDE +GPR27 0808080808080807 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFA440 +GPR31 +CR 0000000090059000 +LR FFFF800000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/133.bin b/tests/133.bin index d956439..7fd4681 100644 Binary files a/tests/133.bin and b/tests/133.bin differ diff --git a/tests/133.out b/tests/133.out index 233ecf8..cb294a9 100644 --- a/tests/133.out +++ b/tests/133.out @@ -1,32 +1,37 @@ -REG 0000000000003C68 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000048 -REG 0000136180000000 -REG 1FFFE1249C620001 -REG 0000000000009B52 -REG 0000000000001B69 -REG 000000000000FB60 -REG FFFFFFFFFFFF9FF1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000044088008 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF64AD -REG 0000000000000020 -REG 0000000000000001 -REG 00000000F7BE0020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 1FFFE1249C620001 -REG FFFFFFF600009B43 -REG 0000000080900000 +GPR0 0000000000000040 +GPR1 000000000001C039 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000B680000000 +GPR12 0000000000008004 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0001C01F0001C01F +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0001C01F0001C01F +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 000000000001C000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000924924924924 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 00000000300DE5AC +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/134.bin b/tests/134.bin index a3177e9..e2806ac 100644 Binary files a/tests/134.bin and b/tests/134.bin differ diff --git a/tests/134.out b/tests/134.out index a46b60a..14ad806 100644 --- a/tests/134.out +++ b/tests/134.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000040004D3E -REG 0000003000000030 -REG 000000000000003E -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFBB80 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFB -REG 0000001000000010 -REG FFFFFFFFEC8D0000 -REG 0000000000000000 -REG F7F7F7F7F7F7F6E8 -REG 000000000000447F -REG 0000000040004D3E -REG FFFFFFFFFFFFFFFB -REG FFFFFFFFFFFFFFFF -REG 0000000000000010 -REG 0000000000000004 -REG FFFFFFFFC0001FFF -REG 0000000000000011 -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000200 -REG FFFFFFFFFFFFFFFF -REG 0000000000200000 -REG 0000000000000001 -REG 0000000051009E9F +GPR0 00000000FFFFFFE0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000003804 +GPR5 0000000000000000 +GPR6 000000004D2A1BD0 +GPR7 0000000000021F06 +GPR8 000700C01FFC00C1 +GPR9 0000000000006A25 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 000000000001C020 +GPR13 FFFFFFFFE005BF5F +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFFFFFF +GPR21 000700C01FFC00C1 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFF8FF3FE003FF1E +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFE0 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000700C01FFC00C1 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000090955309 +LR 0000000000006A25 +CTR FFFFFFFFFFFFFFC7 +XER 00000000E00C0000 + diff --git a/tests/135.bin b/tests/135.bin index 4b6f347..5e691ef 100644 Binary files a/tests/135.bin and b/tests/135.bin differ diff --git a/tests/135.out b/tests/135.out index 21446b5..356cbac 100644 --- a/tests/135.out +++ b/tests/135.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF21B0000 -REG 00000000D663D815 -REG FFFFFFFFFFFFAEB7 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 00000000FB920000 -REG 043AF000532FFFBE -REG 0000000041050000 -REG 0000000000000040 -REG 0000000000000000 -REG 07EBADFFFFFFFFFF -REG 00000000D9FE0000 -REG FBC50FFFBAB50000 -REG FFFFFFFFC02DFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FBC50FFFF803FFFF -REG 0000000000000006 -REG 0000006C00000020 -REG 0000000000000040 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 043AF000454AFFFF -REG 0000000000000000 -REG FFFFFFFF78A1FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001F -REG FFFFFFFEFFFFFFFE -REG 0000000085040811 +GPR0 0000000000000000 +GPR1 000000000000E88B +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFE8EA +GPR6 FFFFFFFFFFFFFFFF +GPR7 80003FE480003FE0 +GPR8 0000000000006077 +GPR9 000000000000FF92 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFE3FDF +GPR13 00000000FB0AE8EA +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000004 +GPR21 0000000000000010 +GPR22 000000000000DF1A +GPR23 FFFFFFFFFFFF9F99 +GPR24 0000000000000001 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 007FC90000600000 +GPR29 000000000001C006 +GPR30 FFFFFFFFFFFFFFFB +GPR31 +CR 0000000050800019 +LR FFFFFFFFFFFFFFFF +CTR 000000008B960010 +XER 00000000C00BFFFF + diff --git a/tests/136.bin b/tests/136.bin index 757a647..76e43a4 100644 Binary files a/tests/136.bin and b/tests/136.bin differ diff --git a/tests/136.out b/tests/136.out index 46c09e7..fae0588 100644 --- a/tests/136.out +++ b/tests/136.out @@ -1,32 +1,37 @@ -REG 000800008000040E -REG FF2501C97F257EC6 -REG FFFFFFFF8000000D -REG 6BC863686BC7F63E -REG FFFFB807FFFFFFC0 -REG 000000000000003F -REG 0000000000000000 -REG 94379C97943809C1 -REG 0207400000000040 -REG 0000000000000000 -REG 0008000011FF6BC8 -REG 0008000011FFDFC2 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFC219 -REG 00DAFE3680DA8139 -REG 000000008000040E -REG 0008000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000004 -REG FFFFFFFFFFFFFFC0 -REG FFFFFFFFFFFFB30D -REG FFFFF80000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC218 -REG 0000000000000005 -REG 0000000000000000 -REG 0000007FFFF03FFF -REG 6BC863686BC7F600 -REG 0000000000000001 -REG 0000000051F40393 +GPR0 FFFFFFFFFFFFFFE8 +GPR1 0000000000000000 +GPR2 00000000002AF4FF +GPR3 FFFFFFF7FFFFFFF8 +GPR4 08180719DBEC0000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFF000000FFFF +GPR10 0000000000000000 +GPR11 0000000800000007 +GPR12 00000000000000C0 +GPR13 00000000002AF4FF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000003C000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C01F +GPR23 0002598F9D580000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 00000000002AF4FF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0818071900000000 +GPR30 000000002D850000 +GPR31 +CR 0000000035194540 +LR 0000000000000000 +CTR 000000000001C01F +XER 00000000E00C0000 + diff --git a/tests/137.bin b/tests/137.bin index 3ad9fa3..87c582c 100644 Binary files a/tests/137.bin and b/tests/137.bin differ diff --git a/tests/137.out b/tests/137.out index 72deba9..ac7198a 100644 --- a/tests/137.out +++ b/tests/137.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF7FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000002E95 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC1 -REG 000000000000003E -REG 0000000000000000 -REG 0000000000002E95 -REG 0000000000210341 -REG 0000000000000000 -REG 000000000021037F -REG 0000000000200040 -REG 0000000000010300 -REG FFFFFBE80307BA24 -REG 0000000000210341 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000210341 -REG 0000000000000000 -REG FFFFFFFFFFDEFC80 -REG FFFFFFFFFFFEFCDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFDEFCBE -REG 0000000000000001 -REG 0000000100001040 +GPR0 0000000200000002 +GPR1 0000000000000000 +GPR2 0000000000000021 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000005000000 +GPR6 0000000000000000 +GPR7 0000000000000200 +GPR8 0000000000000030 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0808080808080804 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 FFFFFFFFFFFFA7E3 +GPR19 0000000000000020 +GPR20 0000000000000001 +GPR21 0000000005000000 +GPR22 000000000001C021 +GPR23 000000000001C021 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0001C71C71C71C71 +GPR27 0000000000009000 +GPR28 0000000000000000 +GPR29 0000000000000002 +GPR30 0000000000000017 +GPR31 +CR 0000000051839083 +LR 0007FFFFFFFFFFFF +CTR 000000000001C020 +XER 0000000080000040 + diff --git a/tests/138.bin b/tests/138.bin index 99df2cd..d5593a3 100644 Binary files a/tests/138.bin and b/tests/138.bin differ diff --git a/tests/138.out b/tests/138.out index e787cd2..fab3a61 100644 --- a/tests/138.out +++ b/tests/138.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000001 -REG FFFFFFFFFFF364E0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000FE28 -REG FFFFFFFF0B3F0003 -REG 0000000000000003 -REG 0000010031ED0100 -REG FFFFFFFF0B3EFFFF -REG 00000000F4C117CD -REG 000000001FE00004 -REG 0000000048AC0000 -REG 000000000003BFDF -REG 00000000F4C10000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFF9F89 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000F4C10000 -REG 0000000000000000 -REG 0007FFFFFFF00000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000100800080 +GPR0 00D9000000000002 +GPR1 0000000000000001 +GPR2 FFFFFFFFFFFFB293 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000302 +GPR11 FFFFFFFFFFFFFCFD +GPR12 000000000001C03C +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000000000 +GPR15 000000000001C03C +GPR16 FFFFFFFFFFFE3FE0 +GPR17 0000000038280002 +GPR18 0000000000000020 +GPR19 0000002000000000 +GPR20 000000000000003F +GPR21 0000000000040000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 FFFFFFFFFFFFFFE8 +GPR25 0000000000000000 +GPR26 0000000008000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE003C +GPR31 +CR 0000000038280002 +LR 0000000000000000 +CTR FFFFFFFFFFFFBD08 +XER 0000000080000000 + diff --git a/tests/139.bin b/tests/139.bin index eab6303..c106434 100644 Binary files a/tests/139.bin and b/tests/139.bin differ diff --git a/tests/139.out b/tests/139.out index dac22b0..32787df 100644 --- a/tests/139.out +++ b/tests/139.out @@ -1,32 +1,37 @@ -REG FFFFBFFF3326BA1C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000400000000000 -REG 0000000000800000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000800000 -REG 0000000000000000 -REG 0000400000000000 -REG 0000400000000000 -REG 0000000000006490 -REG FFFFFFFFC0800000 -REG 0000000000000000 -REG 000000000000C3A3 -REG 000000000000064D -REG 0000000100000000 -REG 0000000000800000 -REG 0000000000000001 -REG 0000000000001E80 -REG FFFFFFFFFFFFFFFF -REG 0000000039110000 -REG 0000000000000001 -REG 0000000039110000 -REG 00000000CCD90000 -REG 0000000000001E80 -REG FFFFFFFFFFFFFFFF -REG FFFF8000007FFFFF -REG 0001FFFFFFFFFFFF -REG 0000000080000040 +GPR0 0000000000000003 +GPR1 0000000000000000 +GPR2 00000000FFFFFFE0 +GPR3 000000000000002F +GPR4 0006EA63F9B8A4B1 +GPR5 000000000000001F +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 000000000000001F +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0047FC0000000014 +GPR13 FFF9159C06475B4F +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFF9B8A +GPR16 912B260F912B260F +GPR17 0000000000000000 +GPR18 0000000000000015 +GPR19 0000923EB260F912 +GPR20 FFFF6DC14D9F06ED +GPR21 0000000000000000 +GPR22 FFFFFFFF5FFBFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFE0 +GPR26 0000000000000000 +GPR27 FFFFFFFFB4C00000 +GPR28 00000000A0023FE0 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 000000004529BD35 +LR 0000000000000000 +CTR 0006E8900000FD3C +XER 0000000000000000 + diff --git a/tests/14.bin b/tests/14.bin index c686da3..e98c08c 100644 Binary files a/tests/14.bin and b/tests/14.bin differ diff --git a/tests/14.out b/tests/14.out index 7fdbf7f..ee7e88e 100644 --- a/tests/14.out +++ b/tests/14.out @@ -1,32 +1,37 @@ -REG 000000007FFFFFFE -REG 0000000000000000 -REG 0000000020000000 -REG 0000007FE0000001 -REG 000000001193839D -REG 0000000008000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG F80000004000001F -REG 0000000000000000 -REG FFFFFFFF03FF0A25 -REG 0000000000000000 -REG 0000000011930001 -REG 00000000FDF3B5DA -REG FFFFFFFF03C10A25 -REG 0000000000000002 -REG 00000104FFFF959F -REG 1000000000000000 -REG 0000000000000000 -REG 0000010500000100 -REG 0000000000000100 -REG FFFFFFFF00FFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 003F7CED76800006 -REG 0000000000200000 -REG FFFFFFFFFFFFFFFF -REG 0000000001F34000 -REG 00000000880085E8 +GPR0 9B7FFFF89BFFFFE7 +GPR1 17FDBBF7FFFFFBFF +GPR2 9B7FFFF89BFFFFF9 +GPR3 0000000000000000 +GPR4 FFFFFFFFC5FF6EFD +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFEBCA +GPR9 9B7FFFF89BFFFFF9 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000030000000 +GPR13 0000000001FFFFB6 +GPR14 0000000000009BB8 +GPR15 FFFFFFFFC5FF6EFD +GPR16 FFFFFFFFFE00004A +GPR17 0000000000000000 +GPR18 FFFFFFFFA3580000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 C5FF7382C5FF7381 +GPR22 17FDBBF7FFFFFC00 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FFC +GPR25 6480000764000007 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFC5FC0000 +GPR29 000000000001C004 +GPR30 0000000000000000 +GPR31 +CR 000000003A009102 +LR 0000000000015BD7 +CTR 0000000030000000 +XER 00000000A0042143 + diff --git a/tests/140.bin b/tests/140.bin index b8ac7d8..da3e015 100644 Binary files a/tests/140.bin and b/tests/140.bin differ diff --git a/tests/140.out b/tests/140.out index 968e26f..1120de6 100644 --- a/tests/140.out +++ b/tests/140.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFF00FFFD -REG E3E0000000000000 -REG FFFFFFFFFFFFBE9C -REG 0000000000FF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001CD20000 -REG 0000000180DB0000 -REG FFFFFFFD00000000 -REG 0000000000FF0000 -REG FFFFFFFFFFFFBE7D -REG 0000000300000000 -REG 0000000000000000 -REG 0503000000000000 -REG 0000080000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001CD20000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000028000000 -REG 0000000028000000 -REG 0000000000000000 -REG 000000000000001F -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000110000000 +GPR0 FFFFFFFFFFFD4800 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000001FFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000004094 +GPR13 00000CCC00000000 +GPR14 0000000000000000 +GPR15 0000000000000020 +GPR16 FFFFFFFFFFFD47FF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000BC0001 +GPR31 +CR 0000000030000009 +LR FFFFFFFFFF43FFFF +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/141.bin b/tests/141.bin index 811896e..6df015a 100644 Binary files a/tests/141.bin and b/tests/141.bin differ diff --git a/tests/141.out b/tests/141.out index a013dad..defed3a 100644 --- a/tests/141.out +++ b/tests/141.out @@ -1,32 +1,37 @@ -REG 000003FFFFFFFFD5 -REG FFFFFFFFFFFFFFFD -REG 000000000000001B -REG FFFFFC0034820033 -REG 00000000FFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000034820001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 000000000000001F -REG EFFFFFFFFF380000 -REG 00000000CC770000 -REG 000003FFFFFFFFCE -REG 0000000000000000 -REG FFFFFFFFFFFFFFCE -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 000000000000001B -REG 000000007D9B0001 -REG 0000000090889110 +GPR0 00000000FFFE3FFE +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000002 +GPR4 00000000118C0000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000003 +GPR9 FFFFFFFFFFFFFFFB +GPR10 000000000000067F +GPR11 0001C02000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000009 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000005 +GPR21 FFFFFFFFD1C00000 +GPR22 000000003BC3FFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000395F9090 +LR 0001C02000000020 +CTR 0000000000000000 +XER 00000000A0040040 + diff --git a/tests/142.bin b/tests/142.bin index 894fe45..89eaf9d 100644 Binary files a/tests/142.bin and b/tests/142.bin differ diff --git a/tests/142.out b/tests/142.out index 242840e..d20ecde 100644 --- a/tests/142.out +++ b/tests/142.out @@ -1,32 +1,37 @@ -REG 1DFFFFFFB0001B0B -REG 0000000000000200 -REG 0000000000000000 -REG FFFFFFFFFFFF5B8F -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFBEF -REG FFFFFFFFFFFFFFFB -REG 000000006B8B0000 -REG FFFFFFFF58F6E3D4 -REG FFFFFFFFFFFFFFFF -REG 0000000001000000 -REG FFFFFFFC00000000 -REG FFFFFFFFFFFFFFFB -REG 000000000000A474 -REG 0000000007080202 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000001 -REG 00000003FFFFFFFD -REG 0000000000800000 -REG FFFFFFFFFEFF8844 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG FFFFFFFFBEFF8844 -REG 000000009F110909 +GPR0 0000000000000057 +GPR1 0000000000007309 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFEB3 +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 0000000020000000 +GPR8 0000000000140001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFEB3 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000007309 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000018140000 +GPR31 +CR 0000000030539995 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080023FC8 + diff --git a/tests/143.bin b/tests/143.bin index a8a4211..6bd59e2 100644 Binary files a/tests/143.bin and b/tests/143.bin differ diff --git a/tests/143.out b/tests/143.out index ec030eb..ab9584f 100644 --- a/tests/143.out +++ b/tests/143.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFCD1A -REG 0000000026225606 -REG 000000000000C7C7 -REG 00000000000000FF -REG 000000000000C201 -REG 0000000002119D11 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C202 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C202 -REG 000000000000C7C7 -REG FFFFFFFF000000FF -REG 0000000000000000 -REG 00000000000032E4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000F4E5 -REG 0000000000000000 -REG FFFFFFFFFFFF3DFF -REG 000000000000C202 -REG 0000000002116F74 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000881FE890 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 0000000000000008 +GPR9 0000000000000000 +GPR10 0000000000007FFF +GPR11 0000000018A90000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000011 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000059940995 +LR 0000000000000008 +CTR FFFFFFFFFE000001 +XER 0000000080000000 + diff --git a/tests/144.bin b/tests/144.bin index ddbc91f..1e2ab0a 100644 Binary files a/tests/144.bin and b/tests/144.bin differ diff --git a/tests/144.out b/tests/144.out index 3cc9592..a369f43 100644 --- a/tests/144.out +++ b/tests/144.out @@ -1,32 +1,37 @@ -REG FFFFFC00FCFF000A -REG FFFFFFFFBFFFFFFF -REG 0000000028444284 -REG 000003FE4200FFF0 -REG FF1D9B1E28444288 -REG 0000000000000000 -REG 000000000000D081 -REG FFFBFBE78972F7DF -REG FFFFFFFDFFFFFEFE -REG 0000000000000000 -REG 000269EA40000000 -REG 0000000020000000 -REG 00040418FFFFFFFF -REG 00000000E0000000 -REG 0000000000000002 -REG 00000000302B0005 -REG FFFFFFFFFFE00065 -REG FFFFFFFDFFFFFEFE -REG 0000000000000000 -REG 000404181FFF9A14 -REG 0FFC000000000040 -REG 0000002040000000 -REG 0000002040000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC4B97BEF -REG 34B6D4812343E0BF -REG 0000000000000005 -REG FFFBFBE78972F7DF -REG FFFFFFFFFFFFFFFF -REG 02E963B7651D0000 -REG 0000000110888908 +GPR0 0000000000000000 +GPR1 C020000000002040 +GPR2 0000000000000000 +GPR3 000000007D060000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 1555555555555554 +GPR9 1555555555555553 +GPR10 0000000000000E73 +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000011 +GPR20 0000000057330000 +GPR21 0000000000018000 +GPR22 0000000000000000 +GPR23 0000000000000021 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFE3FFE +GPR26 0000000000000000 +GPR27 000000000002A55F +GPR28 000000000001C002 +GPR29 0000000000000000 +GPR30 0007398000000000 +GPR31 +CR 0000000055901090 +LR 0000000000000001 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/145.bin b/tests/145.bin index 978f1d9..2d4db16 100644 Binary files a/tests/145.bin and b/tests/145.bin differ diff --git a/tests/145.out b/tests/145.out index 84935dd..d6e2995 100644 --- a/tests/145.out +++ b/tests/145.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF1001 -REG 0000000000007241 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF101B -REG 0000002000000020 -REG F8138E4D1F638D99 -REG 0000000000000000 -REG 000000003AF90001 -REG 0000000000000000 -REG FFFFFFFFFFFF101B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0003FFFFE0000000 -REG 00001E4C00002D71 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000002000000020 -REG FFFFFFFFFFFF101B -REG FFFFFFFFFFFFFFFF -REG 000000000000EC8D -REG 0808080808080104 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC09C7267 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000089109F10 +GPR0 FE0000037FFE7630 +GPR1 000000001C000001 +GPR2 FFFFFFFFFFFFFFAF +GPR3 FFFFFFFFFFFF10DE +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 0000000000003355 +GPR7 0000000000000000 +GPR8 FE0000038000EF21 +GPR9 FF1FF000001FFFFF +GPR10 0000000000000000 +GPR11 000000000000FC0E +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FE0 +GPR14 0000000000000004 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FE0 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFDF +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000010 +GPR21 01FFFFFC7FFFFFFF +GPR22 0000000000000000 +GPR23 00000000007FFFC0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000A97B0001 +GPR28 0000000000000010 +GPR29 0000000000000000 +GPR30 000000000000FC2E +GPR31 +CR 000000009F045973 +LR FFFFFFFFFFFFB928 +CTR 0000000000003355 +XER 000000008003FF00 + diff --git a/tests/146.bin b/tests/146.bin index 3c0dc8c..539d33f 100644 Binary files a/tests/146.bin and b/tests/146.bin differ diff --git a/tests/146.out b/tests/146.out index ff12753..9b80d0e 100644 --- a/tests/146.out +++ b/tests/146.out @@ -1,32 +1,37 @@ -REG F000123FC5BFFBBF -REG 0003FFFFFFC00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 000000000000001A -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000020 -REG 00BFFFFFFFF67C40 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000088080905 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 00000000A3D50000 +GPR11 000000000C000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000010 +GPR25 00000000A3D50000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE3FDF +GPR30 0000000000000000 +GPR31 +CR 0000000039708D34 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 000000008003FFB9 + diff --git a/tests/147.bin b/tests/147.bin index 34a196e..9f45cc2 100644 Binary files a/tests/147.bin and b/tests/147.bin differ diff --git a/tests/147.out b/tests/147.out index 1a04394..1043ab4 100644 --- a/tests/147.out +++ b/tests/147.out @@ -1,32 +1,37 @@ -REG 0000000020000028 -REG 00000000000004C6 -REG FFFFFFFFFFFF58C1 -REG 0000000000003AE4 -REG FFFFFFFFFFFFAFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003E9B -REG 0000000000000000 -REG FFFFFF00FFFF0001 -REG 0000000000000000 -REG 000000009F907088 -REG 0FFFFFE000000000 -REG 0000000000000000 -REG 000000009F907088 -REG FFFF97C1CB900000 -REG 0000000000000001 -REG FFFFFFFFFFFF58C1 -REG 0000000000000000 -REG 0000000000005F67 -REG 000000040000A73E -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFFFFFFFAFFF -REG 0000000108691091 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFE000000000000 +GPR5 000000000001C028 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000001C42 +GPR10 0000000000000000 +GPR11 000000000000FFFE +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFE3BE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000001C028 +GPR20 0000000000000000 +GPR21 0000000000FFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FDE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000030095050 +LR 000000000001C028 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/148.bin b/tests/148.bin index b258aae..e15612e 100644 Binary files a/tests/148.bin and b/tests/148.bin differ diff --git a/tests/148.out b/tests/148.out index 3f9f29f..f218bd9 100644 --- a/tests/148.out +++ b/tests/148.out @@ -1,32 +1,37 @@ -REG FFFFFFC099AEC949 -REG 0000000000000000 -REG 000000008E3CFFFF -REG 0000000000000000 -REG 0000000000000000 -REG B9A73047B9800047 -REG 0000000000000000 -REG 7FFE000000000000 -REG 0000000000000000 -REG 05357FFFAB2A0001 -REG 000000000000E000 -REG 0000000000000000 -REG 000000000000551D -REG 0000000000000000 -REG FFFE800054D5FFDE -REG 0000000069CC8609 -REG 0000000000000000 -REG FFFFFFFFFFFFD9E3 -REG 05357FFFABBA0001 -REG 0000000000000000 -REG 5FFFF14D5FFFE04D -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFC000000000 -REG 0000002000000000 -REG 0000000000000070 -REG 0000000002271821 -REG 0000002000000000 -REG 0000000000000000 -REG 00000000808A9080 +GPR0 0000000000000000 +GPR1 90FFFFBD90FFFFBD +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001ABB5 +GPR6 0000000000000000 +GPR7 0000000080000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 6F0000426F000043 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFBD90 +GPR26 6F0000426F000043 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000032561B93 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/149.bin b/tests/149.bin index 7caa0f2..6f745a5 100644 Binary files a/tests/149.bin and b/tests/149.bin differ diff --git a/tests/149.out b/tests/149.out index dd09d06..a08edb1 100644 --- a/tests/149.out +++ b/tests/149.out @@ -1,32 +1,37 @@ -REG 0060000001000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000F000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG FFFFFFE0FFFFFFE0 -REG 0060000000000466 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 389FF9E0383FF3C0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000C00000000000 -REG 0000000000000000 -REG FFFFFFFFFFFEFFFC -REG 0000000000001000 -REG 00000000AF900000 -REG C760061FC7C00C3F -REG 0060000000000000 -REG 000000008824FF21 -REG 389FF9E07E7FF3C0 -REG 0000000000000000 -REG 0000000000000020 -REG 000000001E481F01 +GPR0 0000000000000040 +GPR1 000000000001C020 +GPR2 0000000000000005 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFE3FDF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FCE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000700C +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000004C3B +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000030350950 +LR 0200000002000000 +CTR FFFFFFFFFFFFDCE5 +XER 00000000A005C020 + diff --git a/tests/15.bin b/tests/15.bin index 7587838..f4299d0 100644 Binary files a/tests/15.bin and b/tests/15.bin differ diff --git a/tests/15.out b/tests/15.out index 2c75613..cb103ec 100644 --- a/tests/15.out +++ b/tests/15.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC0000001 -REG 000000000000003B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000008C54 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000008C00 -REG 0000000000000040 -REG 000000000000003B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000010000 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000008 -REG FFFFFFFFFFFFFFE4 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE4 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA16C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001FFFE00 -REG 000000000000001B -REG 0000000089108DA8 +GPR0 000000000000FF00 +GPR1 000000000001C01A +GPR2 000000000000FF00 +GPR3 0000000000000001 +GPR4 0000000000000001 +GPR5 FFFFFFFFFFFFBCCA +GPR6 FFFFFFFFFFFDDD5A +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000020 +GPR21 FFFFFFFFFFFE0501 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFDDD5A +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 000000008001C021 +GPR30 0000000000000000 +GPR31 +CR 000000005F534220 +LR 00000000000048BF +CTR 0000000000000000 +XER 00000000A005C021 + diff --git a/tests/150.bin b/tests/150.bin index 20edb78..f2fdf4f 100644 Binary files a/tests/150.bin and b/tests/150.bin differ diff --git a/tests/150.out b/tests/150.out index eff9eda..747a503 100644 --- a/tests/150.out +++ b/tests/150.out @@ -1,32 +1,37 @@ -REG 000000164A090BBD -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000080000000 -REG 0000000071D00000 -REG 00000000FF740000 -REG 0000000071CFFFFF -REG 0000000000000040 -REG A0D020025DF4DB12 -REG FE00000000000000 -REG FFFFFFFFFFFFFFFF -REG 5F2FDFE758005FE7 -REG 0000000000000020 -REG FFFFFFE97EFF3AFA -REG FFFFFFFFFFFFE1FB -REG 0000000029F43773 -REG 0000000000000221 -REG 5F2FDFF1120A9AE2 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFA -REG FFFFFFE97EFF3AF9 -REG 0000000029F43773 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000029F4BFF7 -REG 00000000FF741977 -REG 0000000110889FF0 +GPR0 00000000FF000000 +GPR1 000000000000003F +GPR2 0000000000000000 +GPR3 0000007FFFFFFF81 +GPR4 00000100FFC00000 +GPR5 0000000000000001 +GPR6 FFFFFFFFFFFFFF81 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000001 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000000235D +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFE040 +GPR15 000000000000003F +GPR16 0000000000000001 +GPR17 0000007FFFFFFF81 +GPR18 FFFFFFFFFFFFFF81 +GPR19 FFFFFFFFFFFFFFFF +GPR20 00000000FFFFFF81 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 7FFFFFFFFFFFFFC0 +GPR24 FFFFFFFFFFFFFFC2 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFE040 +GPR27 0000000000000040 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0015A78C9B800000 +GPR30 0000000000000000 +GPR31 +CR 0000000037909985 +LR 0000000000000008 +CTR 0000000000000000 +XER 000000008000000E + diff --git a/tests/151.bin b/tests/151.bin index 2cfaa7a..2969285 100644 Binary files a/tests/151.bin and b/tests/151.bin differ diff --git a/tests/151.out b/tests/151.out index a17271b..444a4dd 100644 --- a/tests/151.out +++ b/tests/151.out @@ -1,32 +1,37 @@ -REG FFC00000D688A0B3 -REG 0000000000000000 -REG 0000000000000002 -REG 000000000000071C -REG 0000000000000000 -REG 0000000040000304 -REG 700000027D7F6732 -REG FFFFFFFFFFFFFCFB -REG 000000002F74071C -REG 0000000000000000 -REG FFFFFFEFFFFFFFEF -REG 00000000D16B071D -REG FFFFFFFFFFFFFCFB -REG 00000000BBD82480 -REG 000000002CAFF8F6 -REG FFFFFFFFFFFFFCFB -REG 000000002F740000 -REG 0000000000000000 -REG 00000000000051DF -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000802E -REG 0000000000000000 -REG 0000000000000000 -REG 700000027D7FF7B2 -REG 0000000020C80FDF -REG 0000000000000040 -REG FFFFFFFF50040AFA -REG 0000000020C80FE0 -REG 000000006CAFFBF2 -REG 0000000000000000 -REG 0000000081901E81 +GPR0 FFFFFFFFFFFFFFFD +GPR1 FFFFC00000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFE6 +GPR4 0000C1FFFC000000 +GPR5 FFFFC00000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000000C +GPR12 0000000000000000 +GPR13 000000000000003E +GPR14 0000000000000000 +GPR15 FFFFBFFFFFFE3FE0 +GPR16 0000000000000000 +GPR17 00000000FFFFFFFF +GPR18 FFFFFFFFFFFFFFEE +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000000C +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFC0000000000C +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFFFE0 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000015555555 +GPR31 +CR 0000000050995593 +LR 0000000000000000 +CTR 00000000FFFFFFFF +XER 00000000A0040000 + diff --git a/tests/152.bin b/tests/152.bin index fe6b3fd..61d9868 100644 Binary files a/tests/152.bin and b/tests/152.bin differ diff --git a/tests/152.out b/tests/152.out index eb9fafc..6e079a4 100644 --- a/tests/152.out +++ b/tests/152.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC3E40021 -REG FFFFFFFFFFFFFFFC -REG FFFFFFFF3FBC0000 -REG 400000001535E106 -REG 8573E62385600023 -REG 00BAF07200000000 -REG FFFFFFFF3C1BFFFF -REG 0000000000000000 -REG 00000007D1AD813B -REG FFFD496AE63731E9 -REG 0000000054D70019 -REG FFFFFFFF3C1BFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFD55A -REG 0000000054D70019 -REG 00BAF07200000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 400000001535C006 -REG 0000000054D70019 -REG 000000001809D559 -REG FFFFFFFFF0AC0004 -REG 000FFF8000000000 -REG FED17EFF8F51833B -REG 000000007051813B -REG FFF0000000000000 -REG 000000001809FFFC -REG 0000100000000000 -REG 0000000000000040 -REG 00000000180A0000 -REG 0000000110111D01 +GPR0 0000000047000013 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFF000000001AA +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 000000000000000F +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 0000000047010013 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFF00001021215 +GPR16 0000000000000000 +GPR17 0000000053CF7390 +GPR18 000000000000504C +GPR19 00000000B8FFFFED +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000FFFFEFDEDEA +GPR24 00000FFFFEFE3E38 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0001B6BC1722EB38 +GPR28 0000000000000000 +GPR29 00000FFFFFFFFE56 +GPR30 0000000000000000 +GPR31 +CR 00000000F205739B +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C020 + diff --git a/tests/153.bin b/tests/153.bin index 2a549ca..11b6241 100644 Binary files a/tests/153.bin and b/tests/153.bin differ diff --git a/tests/153.out b/tests/153.out index 0ff6ee6..139b678 100644 --- a/tests/153.out +++ b/tests/153.out @@ -1,32 +1,37 @@ -REG 0000000000010FFE -REG FFFFFFFFFFFF0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000028000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0003FFFFFFFF8000 -REG 0000002000000014 -REG 0000000000000000 -REG 00000000011B289B -REG FFFFFFFFFFFFFFE6 -REG 0000000000000000 -REG FFFFFFDFFFFFFFEB -REG 0000000000000001 -REG 0000000000000000 -REG 0003FFFFFFFF8000 -REG 0000000000000000 -REG FFFFFFFFFEE4D765 -REG 0000000D6C00000D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF00FFFF00 -REG 0000000000000040 -REG FFFFFFFFFFFFC6F8 -REG 0000007C00000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000101C88088 +GPR0 FFFFFFFF58BCFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000000002E +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000007 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000081A0000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00FFFFFFFFFFFFFE +GPR16 000000000001C020 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000002 +GPR19 FFFFFFFFFFFFFFFA +GPR20 0000000000000008 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000081A6A08 +GPR24 0000000000002E1A +GPR25 0000000000000038 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000051E55F91 +LR 0000000000000000 +CTR 00000000081A0000 +XER 00000000A0042DD0 + diff --git a/tests/154.bin b/tests/154.bin index c019a46..949ea97 100644 Binary files a/tests/154.bin and b/tests/154.bin differ diff --git a/tests/154.out b/tests/154.out index be249d9..7361d7f 100644 --- a/tests/154.out +++ b/tests/154.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000200000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0380000003000000 -REG 0000000000000001 -REG 80000000007E0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000005973 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 80000000007E0000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000200000 -REG 00000100000072FD -REG 7FFFFFFFFC000000 -REG 0000000000000040 -REG 000000009F000081 +GPR0 FFFFFFFF6AF3F5F7 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 200001C02000003E +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000000044EF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FE0 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 DFFFFE3FDFFFFFC0 +GPR19 000000000001C020 +GPR20 00000000FFFFBBB2 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000002 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE3FE0 +GPR31 +CR 00000000350C55EA +LR 0000000000000000 +CTR 000000000000001F +XER 000000008003FFFF + diff --git a/tests/155.bin b/tests/155.bin index a51e838..e743523 100644 Binary files a/tests/155.bin and b/tests/155.bin differ diff --git a/tests/155.out b/tests/155.out index baa57dd..505b5e5 100644 --- a/tests/155.out +++ b/tests/155.out @@ -1,32 +1,37 @@ -REG FFFFFFFF00FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD5BFFF7F -REG FFFFFFFFFFFF522B -REG FFFF03FF800F037F -REG FFFFFFFFFFFFFF80 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG 0000000003000000 -REG 0000000000007208 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 00000000000038FE -REG FFFFFFFFFFFFC6CC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000080 -REG FFFFFFFFFFFFFF80 -REG 0000000000000000 -REG 080808086C090808 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFDD7 -REG 0000000000000000 -REG 0000000000000000 -REG 000038FACC003934 -REG 000000009E810905 +GPR0 FFFFFFFFFFFFFFFE +GPR1 FFFFEDB3960E1EE4 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0808080808080405 +GPR5 FFFFFFFFFFFFE25B +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFE25B +GPR9 000000000000FF80 +GPR10 007FFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 007FFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000040 +GPR19 FFFFEDB3960E1EE4 +GPR20 0000000000000000 +GPR21 000000000000E010 +GPR22 0000000000001DA4 +GPR23 0000000000001DA4 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000000000DF02 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C03E +GPR30 0000000000000000 +GPR31 +CR 0000000039045490 +LR 000000000000FF80 +CTR 7FFFE3FE7FFFFFC5 +XER 00000000C00BFFDF + diff --git a/tests/156.bin b/tests/156.bin index 1eaf628..b3dc6b1 100644 Binary files a/tests/156.bin and b/tests/156.bin differ diff --git a/tests/156.out b/tests/156.out index 8a50864..5b4d27b 100644 --- a/tests/156.out +++ b/tests/156.out @@ -1,32 +1,37 @@ -REG 000000004292FFE6 -REG 0000000010000000 -REG 0000000000001800 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001C -REG FFFFFFFFFFFFC17D -REG 0000001B0000001B -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000010000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 000040000000ECEB -REG 0000000000000000 -REG FFFFFFCAFFFFFFE7 -REG 0000000000000004 -REG FFFFFFFFF800002D -REG 0000000000000000 -REG FFFFFFE4FFFFFFE5 -REG 0000000000000001 -REG 000039C7B8003FFF -REG 0000000000000040 -REG FFFFFFFFF7FFFFFF -REG 007FE60000000042 -REG FFFFFFFFFFFFFFFB -REG 000000009110869A +GPR0 000000000000001A +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFF7FFDC038 +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000FFFFFFFF +GPR13 0000000000000040 +GPR14 FFFFFFFFFFFFE3FE +GPR15 0000000000000041 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000001C00 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFEF +GPR23 000000000002066C +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000050D99FF9 +LR 0000000000000000 +CTR 000000000000000F +XER 0000000080023FE0 + diff --git a/tests/157.bin b/tests/157.bin index 4b260b0..d739f58 100644 Binary files a/tests/157.bin and b/tests/157.bin differ diff --git a/tests/157.out b/tests/157.out index c0cc483..b4f6dfc 100644 --- a/tests/157.out +++ b/tests/157.out @@ -1,32 +1,37 @@ -REG FFFFFFFFAFFFFFBB -REG 0000000000003D84 -REG 0000000000000004 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 885E5E7C0E4B2F16 -REG 0000000001207782 -REG C000000000000001 -REG 0000000000000000 -REG 07FFFFF800000000 -REG 0000000000000039 -REG 0000000000000016 -REG 00000000012077AB -REG FFD4BFE538420CE0 -REG FFDFFFFFADDD6477 -REG 0000000000000000 -REG 000000012077ABA0 -REG FFFFFFFFFFFFFFFE -REG 002B401AC7BDFA06 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000018F543 -REG 0000000000000020 -REG 00000000012077AF -REG 885E5E7C0E4B673F -REG 002B401AC7BDF33F -REG BFDFFFFFADDD6478 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG 000000000018F543 -REG 0000000088891130 +GPR0 0000000000004CBF +GPR1 00000000A29F444C +GPR2 0008000000000000 +GPR3 FFFFFFFFB963F8FE +GPR4 0000000026AB8AD0 +GPR5 0008000000000000 +GPR6 0007FFFFD9547530 +GPR7 3C5A000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 3C5A000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFB963F8FF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000009972 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFCF97 +GPR20 000000000001C018 +GPR21 FFFFFFFFFFFFF8FF +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000001 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 FFFFFFFFA29F444C +GPR30 FFFFFFFFD9547530 +GPR31 +CR 0000000030908803 +LR 00000000000078B4 +CTR 0000000000000700 +XER 00000000A0000000 + diff --git a/tests/158.bin b/tests/158.bin index 22cc3bc..d5a0bee 100644 Binary files a/tests/158.bin and b/tests/158.bin differ diff --git a/tests/158.out b/tests/158.out index 245b844..b383550 100644 --- a/tests/158.out +++ b/tests/158.out @@ -1,32 +1,37 @@ -REG 0FFFFFFFF6F6BD9D -REG 0000000000000001 -REG FFFFFFFFFFFFCE91 -REG 0000000000000000 -REG 0001FFE000003FFF -REG 0000000000000011 -REG 0000000026318F99 -REG 0000000000000000 -REG 0000000000000029 -REG 000000002631D3DD -REG 000007FFD2000000 -REG 000007FFD2004380 -REG 0000000000000000 -REG 0000000000000006 -REG 000000002631909D -REG 00000000240FFF88 -REG 0000000026318F99 -REG 0C5B964000000000 -REG 0000000000000012 -REG 0000000031800526 -REG EBDE000000000000 -REG FFFFFFFFFFFFFFFF -REG 0C5B9640FFF858A1 -REG 0000000000000000 -REG 00000000FFF8800C -REG F3A469C00000275E -REG 31D3DD2631800526 -REG 00000000AE56FFD2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000881FFF11 +GPR0 0000000000001B2E +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFE0 +GPR6 0000000087810000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FDF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 0000000000000E00 +GPR14 FFFFFFFFFFFFFE3C +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FE0 +GPR17 0000000000000000 +GPR18 0000000000001B0E +GPR19 FFFFFFFFFFFFFDFB +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 000000000001C01F +GPR29 00000000FFFFFFC0 +GPR30 0000000000000000 +GPR31 +CR 0000000039422355 +LR 0000000000000000 +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/159.bin b/tests/159.bin index ee6cc0e..8ab3fa4 100644 Binary files a/tests/159.bin and b/tests/159.bin differ diff --git a/tests/159.out b/tests/159.out index 6963488..6ac9913 100644 --- a/tests/159.out +++ b/tests/159.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF0000F2C -REG 00000000000004A7 -REG 0000000000000000 -REG 000000000000437D -REG 0000000072CF0007 -REG 0000000007C766F9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000F930000000000 -REG 000000000000FDFF -REG 00000000000050C0 -REG 0000000000000018 -REG 0000000000000006 -REG 0000000007C766F9 -REG 0000000000000000 -REG 0000000000000002 -REG 000000200FFFFF59 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000001FFF40 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001D -REG FFFFFFFFFFFFFFE4 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000000050C0 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000007C7C000 -REG 0000000089010008 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 FFFFFFFFB7E4FFFF +GPR10 FFFFFFFFFFFE3FDF +GPR11 FFFFFFFE3FCA0000 +GPR12 0000000000000010 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFCD2A +GPR17 0000000000000000 +GPR18 000000000000003F +GPR19 0000000000000000 +GPR20 000000005F51C020 +GPR21 000000000001BF34 +GPR22 FFFFFFFE3FCA0000 +GPR23 000000200000001C +GPR24 000000000001C01F +GPR25 000000000001C020 +GPR26 000000000001C034 +GPR27 0000000000000100 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFC01DE +GPR30 000000005F518D4A +GPR31 +CR 000000005FF99F5F +LR 0000000000008017 +CTR 0000000000000000 +XER 00000000E00FFFFF + diff --git a/tests/16.bin b/tests/16.bin index f9d74f7..7ac6fe8 100644 Binary files a/tests/16.bin and b/tests/16.bin differ diff --git a/tests/16.out b/tests/16.out index 3fa6d8d..20e53f7 100644 --- a/tests/16.out +++ b/tests/16.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFBA -REG 0000541200005412 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 07FEA9FFFFFFB03F -REG DC0FFFFCDFFF9BFC -REG 0000000000000000 -REG DC0FFFFCC96089A0 -REG FFFFD7FFFFFFFC00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF8D000024C1 -REG 00000000FA5D0000 -REG 0000AA1DE960E9A0 -REG 0000000000000000 -REG 0000000000000000 -REG 07FEAA0000000000 -REG 0000007300000073 -REG 0000000000000040 -REG 000000000000004D -REG 0000000000000000 -REG 000100002A090000 -REG 0000000000000000 -REG 000155E200000000 -REG 0000000000000000 -REG 0000000000001118 -REG 0000AA1D0120E9A0 -REG 07FEAA0000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF80 -REG 0000000041004886 +GPR0 000000000000001B +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C021 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FDF +GPR20 0000000000000000 +GPR21 000000000003060C +GPR22 FFFFFFFF94880000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFF4500 +GPR30 0000000000000000 +GPR31 +CR 0000000030011529 +LR 0000002000000020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/160.bin b/tests/160.bin index 3442dc1..609319d 100644 Binary files a/tests/160.bin and b/tests/160.bin differ diff --git a/tests/160.out b/tests/160.out index 8cc652e..8117185 100644 --- a/tests/160.out +++ b/tests/160.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFEDFC591 -REG FFFBAC6D77C3BE4D -REG 0000008007C00000 -REG 1EFDFDDD84080888 -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFF -REG 7FFFFFFFF8000000 -REG 00000000200014B3 -REG 051411B58800385F -REG 0000000000000000 -REG FFFFFFFF583FC7A1 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000800000000000 -REG E000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFF83FFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFDEFE -REG 0000000000000000 -REG FFFFFFFF5FFFC7A2 -REG 0000007FFFFFFFFF -REG 00045392883C41B2 -REG 0000000000000000 -REG 00000000000069B2 -REG FFFFFFFE8526FFFF -REG FFFFFFFFFFFFE49A -REG FFFFFFFEE9299BDB -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000048101110 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000000001F +GPR7 0000000000001A3A +GPR8 FFFFFFFFFFFFFF00 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000003E000 +GPR13 0000000000000000 +GPR14 0000000000006FB8 +GPR15 0000000000000040 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 0000000099900021 +LR FBFFFFFFFC7F0001 +CTR 0000000000000040 +XER 00000000A004135F + diff --git a/tests/161.bin b/tests/161.bin index 424cd40..429880a 100644 Binary files a/tests/161.bin and b/tests/161.bin differ diff --git a/tests/161.out b/tests/161.out index 310eb69..be09817 100644 --- a/tests/161.out +++ b/tests/161.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFDF31F2 -REG FFFFFFFFFFFFFFFF -REG 00000FFFFE97F840 -REG FFFFFFFFFFFD2FF0 -REG F01BB1A4FEAF7800 -REG 0000000000000021 -REG 0000000000000000 -REG FFFFF00001680598 -REG FFFFF000016807C0 -REG FFFFFFFFFD6566DB -REG 0000000009500002 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 00000FFFFE97F840 -REG 0000000000000F47 -REG FFFFFFFFFFFFFFFF -REG 000000004D820B48 -REG 05BD55C7CB82D4DE -REG FFFFFFFFFFFFFFFF -REG 0020000000000000 -REG 0000000000000F48 -REG 05BD55C837A5D51E -REG 0000000000000000 -REG 0000000000000227 -REG 05BD55C837A5D51E -REG FFFFFFFFFFFDE2B8 -REG FA42AA37C85A2AE2 -REG 0000000000000002 -REG 0000000000000000 -REG 000000008001008B +GPR0 0000000000000004 +GPR1 0000000000000000 +GPR2 000000000EE00001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFF890000 +GPR6 FFFFFFFFFFFFFFF8 +GPR7 FFFFFFFFFF88FFFC +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000770000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0007008000000002 +GPR15 0000000000000000 +GPR16 FFFFFFFFFF890000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000008 +GPR20 FFFFFFFFFF890000 +GPR21 0000000000000001 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C002 +GPR29 0000000000000000 +GPR30 0007008000000000 +GPR31 +CR 0000000039E95550 +LR 0000000000000004 +CTR 0000000000000000 +XER 000000008003FFFE + diff --git a/tests/162.bin b/tests/162.bin index 1fba618..1ebad26 100644 Binary files a/tests/162.bin and b/tests/162.bin differ diff --git a/tests/162.out b/tests/162.out index ec6c41e..b7e4b5a 100644 --- a/tests/162.out +++ b/tests/162.out @@ -1,32 +1,37 @@ -REG 000000007FF8FFFF -REG FFFFFFFFFFFFFFFF -REG 0C7A4000000011DE -REG 0C7A4000000011DE -REG 0000000000000040 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FF8FFFF -REG 000000011CF00000 -REG 00000000000059BF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 000000007FF8FFFF -REG 00000000000032F2 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001CF00000 -REG 00000002CDF80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000027EE -REG FFFFFFFD3207FFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFCD0D -REG 0000000000000000 -REG 0000000000000020 -REG 000000004C881088 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFC000 +GPR2 0000000000000000 +GPR3 0000000000000010 +GPR4 FFFFFFFFFFF80003 +GPR5 0000000000000000 +GPR6 000008867A3FD470 +GPR7 FFFFF00000000000 +GPR8 0000000000000000 +GPR9 000000000001C037 +GPR10 0000000000000000 +GPR11 000000000FFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000010000FFFFFFF +GPR15 FFFFFFFFFFFFFFF3 +GPR16 FFFFFFFFFFFFFFFF +GPR17 CA314FF8CA314FF7 +GPR18 0000000000000000 +GPR19 0000100035D0FFFF +GPR20 0000000035D10000 +GPR21 87FFFFFFFFFFDCC0 +GPR22 87FFFFFFFFFFDCC0 +GPR23 0000000000000022 +GPR24 FFFFFFFFFFFFC000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFF77985C02B90 +GPR29 0000078000000000 +GPR30 0000000090000000 +GPR31 +CR 000000003AFB9009 +LR FFFFFFFFFFFFFFFF +CTR 000000000001C037 +XER 00000000800400AA + diff --git a/tests/163.bin b/tests/163.bin index 7631032..8d1a573 100644 Binary files a/tests/163.bin and b/tests/163.bin differ diff --git a/tests/163.out b/tests/163.out index 4dedab2..55f401e 100644 --- a/tests/163.out +++ b/tests/163.out @@ -1,32 +1,37 @@ -REG 007FFFFFF81FFFDE -REG 0000000000000000 -REG 0000000074800000 -REG 000000000000239A -REG 0000000000000000 -REG 0000000000000000 -REG 0000011CD0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000005D3 -REG 0000000000000000 -REG 0000000048484F80 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000007B7C -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFF007FFFF -REG 0000000000007B7C -REG 0000000000000000 -REG 0000000000003479 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFE00007F -REG 0000011CD0000000 -REG 0000000000000000 -REG 0000000048909F11 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFF9A5E +GPR3 0000000000000000 +GPR4 0000000000003127 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000762E0000 +GPR8 0000000000006978 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFF9A5E +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C028 +GPR24 00000000762E0000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000005FEC +GPR29 0000000000000000 +GPR30 0000000000000009 +GPR31 +CR 0000000053000039 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/164.bin b/tests/164.bin index 75abc99..f33dc60 100644 Binary files a/tests/164.bin and b/tests/164.bin differ diff --git a/tests/164.out b/tests/164.out index d29dac4..4da8dc6 100644 --- a/tests/164.out +++ b/tests/164.out @@ -1,32 +1,37 @@ -REG 000002A1B5EAD148 -REG 000000000000003E -REG BFFFFFFFFFFFFFFF -REG FFFFFFFAFFFFC000 -REG FFFFFFFFFFFFFFFD -REG 7000000078F9F2D5 -REG 0000000000000000 -REG 8FFFFFFF87060D2B -REG FFFFFFFFFFFF0880 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFE27A85802 -REG 0000000000000000 -REG 00000000000001F0 -REG FFFFFFFFFFFFFFF1 -REG FFFFFFFFFFFFFFFA -REG 00000000A0C1001B -REG 000000000000000F -REG 00000000000001FF -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDC -REG 000000000000001B -REG 00000001D4A75032 -REG FFFFFFFF99FFFF80 -REG 7000000078F9F2D5 -REG FFFFFD5E4A15893E -REG FFFFFFFF99FFFF83 -REG FFFFFFFF99FFFF80 -REG 0000000109090011 +GPR0 FFFFFFFFA664D552 +GPR1 0000000000000000 +GPR2 FFFFFFFFFE999342 +GPR3 00000000BA84C021 +GPR4 0000000000000001 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000018 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000000001D +GPR9 FFFFFFFFFFFFFFE2 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 000000000000215D +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 00000000000042BA +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000001666CBC +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFF5 +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 FFFFFFFFFFFFFFDF +GPR27 FFFFFFFFFFFE3FE0 +GPR28 0000000000000000 +GPR29 000000000000215D +GPR30 0000000000000018 +GPR31 +CR 0000000099008498 +LR 0000000000000000 +CTR FFF830ACE40FC3BE +XER 0000000080000400 + diff --git a/tests/165.bin b/tests/165.bin index 750db84..c6b2e35 100644 Binary files a/tests/165.bin and b/tests/165.bin differ diff --git a/tests/165.out b/tests/165.out index 9743289..47b1387 100644 --- a/tests/165.out +++ b/tests/165.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000E587 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040D18E57 -REG 0000000000000000 -REG 0000000000008E56 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA3C2 -REG 0000000040D10001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBF2EFFFE -REG 0000000000000000 -REG 000000000000D8E2 -REG 00000001001E1086 +GPR0 FFFFFFFF4C49638F +GPR1 0000000000006EC7 +GPR2 0000000000000000 +GPR3 000000000001C024 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFC7FC07FFC2C +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFDBB2 +GPR9 FFFFFFFF64EEFE62 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFF75D72 +GPR12 00000000000638F0 +GPR13 FFFFFFFFFFF75D73 +GPR14 0000000E36800C00 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000B3B69C71 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C021 +GPR22 000000000000BD22 +GPR23 6DFE4D956DC04D95 +GPR24 FFFFFFFFFFFFFFFC +GPR25 0000000E36800000 +GPR26 FFFFFFFFFFFFFFF2 +GPR27 00000000000638F0 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFF75D73 +GPR30 000000016CAB6FF2 +GPR31 +CR 000000002B199915 +LR 0000000000000253 +CTR FFFFFC7FC07FFC2C +XER 0000000000000C00 + diff --git a/tests/166.bin b/tests/166.bin index fc730a4..a839c4b 100644 Binary files a/tests/166.bin and b/tests/166.bin differ diff --git a/tests/166.out b/tests/166.out index 87979c7..6ad9dce 100644 --- a/tests/166.out +++ b/tests/166.out @@ -1,32 +1,37 @@ -REG 007FFFFFF7FFEFF7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF9 -REG FFFFFFFFFFFFDFEF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFCC7B -REG 0000000004840000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000800 -REG FFFFFFFFC0A20000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000024 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0001020200010202 -REG FFFFFFFFC0A20000 -REG 0000000000000007 -REG FFFFFFFFFFFFFFD1 -REG FFFFFFFFFFFFB77F -REG 000000004A090088 +GPR0 000000000001C020 +GPR1 0000000000007008 +GPR2 0000000000000000 +GPR3 0000000040000200 +GPR4 0200000002000010 +GPR5 0000000000007018 +GPR6 A2A2A2A2A2A25854 +GPR7 0000000000000000 +GPR8 0000000001FFFFF0 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000007008 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000010 +GPR22 0000000002000010 +GPR23 FFEFFFFFFFF00000 +GPR24 FFFFFFFFFFFFFFF8 +GPR25 0AD309100AD30910 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0200000002000010 +GPR29 0000000034A40001 +GPR30 FFFFFFFFFFFFFFE0 +GPR31 +CR 0000000039B55999 +LR 00000000000036D1 +CTR 000000000001C020 +XER 00000000A007FFFE + diff --git a/tests/167.bin b/tests/167.bin index bd275bf..aee280f 100644 Binary files a/tests/167.bin and b/tests/167.bin differ diff --git a/tests/167.out b/tests/167.out index a30ac26..1a7c921 100644 --- a/tests/167.out +++ b/tests/167.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFC420 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000093110000 -REG 000000000003FFFF -REG 0000000000000000 -REG 0000000000003BDF -REG FFFFFFFFFFFFC3FF -REG 0000000000000000 -REG 000000000003FFFC -REG 0000000000000000 -REG 0000000050990000 -REG 0000003FFEDDC3FF -REG 0000000000000000 -REG 0000000000003BDF -REG FFFFFFFFFFFFFFFF -REG 0000000000060000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001D00 -REG 0000003FFEDDC3FF -REG FFFFFFFFDFFFFFF8 -REG 0000000000003BDF -REG 0000000000000000 -REG 0000000000003BDF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000111EA1101 +GPR0 FFFFFFFFFFFE3FDE +GPR1 0000000000000004 +GPR2 000000000001C021 +GPR3 0000000000000000 +GPR4 00000000A0040001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000307D +GPR17 000000000001C020 +GPR18 00000000A0040000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 000000000000A859 +GPR24 FFFFFFFFFFFFCF81 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000359399A2 +LR 0000000000000000 +CTR FFFFFFFF3BCCFFFF +XER 0000000080000000 + diff --git a/tests/168.bin b/tests/168.bin index f34a1fc..2b12e34 100644 Binary files a/tests/168.bin and b/tests/168.bin differ diff --git a/tests/168.out b/tests/168.out index 555d38a..e153fc4 100644 --- a/tests/168.out +++ b/tests/168.out @@ -1,32 +1,37 @@ -REG 0000000FFFFFAA09 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000167DB6BDF144 -REG FFFFFFFFFFFFFFFF -REG 0000000026F7CB54 -REG 0000000000000000 -REG FFFFE98249424366 -REG 0000000000000008 -REG 00000007FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000034AB -REG 0000000000000000 -REG 00000017FEFF13EC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFDFE -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000000AC -REG 0000000000000016 -REG 0000000000000000 -REG 000000000000004D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF7FFFFAA09 -REG 000000005F080521 +GPR0 002AD6D6B223C54F +GPR1 000000003A27FFE9 +GPR2 FFFFFFFFFFFFFFB5 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C02F +GPR8 000000003FFFFF00 +GPR9 0000000000000000 +GPR10 148A000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFE9 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 FFFD4DD80001C02E +GPR22 0000000000000020 +GPR23 FFFFFFFFC0000100 +GPR24 0000000000000000 +GPR25 0002B227FFFE3FD1 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000016 +GPR29 0001FFFFFFED7FFF +GPR30 0000000000000000 +GPR31 +CR 000000003990DDD1 +LR FFFFFFFFFFFFFFB5 +CTR FFFFFFFFFFFFFFB5 +XER 000000008003FF00 + diff --git a/tests/169.bin b/tests/169.bin index 2c0916d..cbaface 100644 Binary files a/tests/169.bin and b/tests/169.bin differ diff --git a/tests/169.out b/tests/169.out index 08fc32c..3761746 100644 --- a/tests/169.out +++ b/tests/169.out @@ -1,32 +1,37 @@ -REG B7DDDBBDB7DDDBFD -REG 0007FFE7E0938000 -REG 0000000000000000 -REG FFFFFFFFFFFF85E7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE7E09380 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF85E7 -REG 0000000000000000 -REG FFFFFFFFFFFF8807 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000800000008 -REG FFFFFFFFFFFE7E09 -REG 0000000000000000 -REG F7F7F7F7FFFFFFFF -REG 0000000000000020 -REG 0808080800000000 -REG 0000000000000000 -REG FFFFFFFFFFFF85E7 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000007FF8400 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000049091089 +GPR0 0000000000000040 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000001 +GPR4 FFFFFFFFF3B204F2 +GPR5 0000000000000000 +GPR6 FFFFFFFDFBFFFFFF +GPR7 000000000001C028 +GPR8 FFFFFFFFFFFFFFFE +GPR9 000000000007EF80 +GPR10 FFFFFFFFF5555556 +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000FFEF85 +GPR14 000000000000000D +GPR15 000000000001C020 +GPR16 0000000000000040 +GPR17 0000000000008008 +GPR18 FFFFED5400012AC0 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF9E37 +GPR21 000000008003FFFF +GPR22 0000000000011BDF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000204000000 +GPR29 0000000013B13B13 +GPR30 0000000000038050 +GPR31 +CR 0000000059099375 +LR 000000000000000A +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/17.bin b/tests/17.bin index 25aed02..809bd3b 100644 Binary files a/tests/17.bin and b/tests/17.bin differ diff --git a/tests/17.out b/tests/17.out index 466f290..bb73586 100644 --- a/tests/17.out +++ b/tests/17.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFF3AF0D -REG 0000000000000020 -REG FFFFDE78FFFFDE78 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF6B45 -REG 00000000000094BA -REG FFFFFFFFFFFFFFE3 -REG 0000000000000000 -REG 0000000000000000 -REG 003FFFFFFF000000 -REG 0000000000000000 -REG 000000000000611C -REG FFFFFFFFFFFFCC51 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 003FFFFFFEFFFFFF -REG 0000000000000000 -REG 0000000000000031 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004A5D -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003D -REG 0000000000000000 -REG 000000005FFE0103 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000002802D960 +GPR5 FFFFFFC000000000 +GPR6 0000000000000020 +GPR7 FFFFFFFFD7FD269F +GPR8 0000000000000000 +GPR9 0000000020000000 +GPR10 0000003FFFFFFFFF +GPR11 FFFFFFFFFFFFFFED +GPR12 FC0606FFE00000FF +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000002802D960 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 00000003FFFFFFFD +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000500D0220 +LR 0000000002802D96 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/170.bin b/tests/170.bin index 6fcff4f..b3a69f8 100644 Binary files a/tests/170.bin and b/tests/170.bin differ diff --git a/tests/170.out b/tests/170.out index 65d3abe..b541bfd 100644 --- a/tests/170.out +++ b/tests/170.out @@ -1,32 +1,37 @@ -REG 00FFFC00FFC00000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFCBCFC0EFFF -REG 0000000000000000 -REG 000000000000000C -REG 0000000000000004 -REG 000007FFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000F000000 -REG 000000006C7F0000 -REG FFFFFFFFFFFFFFFF -REG 00000234303F1000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000004 -REG 00000234303F1000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FF000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000081101010 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFF512FF011 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 0000000000002953 +GPR10 00000000AED00FEF +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 00000000FEFCC25F +GPR15 00000000000036AF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001831C +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000007D4F0000 +GPR26 0000000000000001 +GPR27 0000000050050FEF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 000000003D000099 +LR 0000000000000001 +CTR 0000000000001C11 +XER 000000008003FFFF + diff --git a/tests/171.bin b/tests/171.bin index 1c0db58..f8cbf60 100644 Binary files a/tests/171.bin and b/tests/171.bin differ diff --git a/tests/171.out b/tests/171.out index 85064a1..57b3cb9 100644 --- a/tests/171.out +++ b/tests/171.out @@ -1,32 +1,37 @@ -REG FFFFF767D30CDDC2 -REG 0000000000000000 -REG 0000000000000000 -REG 0080000000800000 -REG FA0000000001FFFF -REG 00000000000022F4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001B2F0000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000022F4 -REG 0000000000000000 -REG 0000000000000000 -REG 002892B3002892B3 -REG 0000000000000000 -REG 00000001001F281C -REG 00000000000056A8 -REG 0000000000000000 -REG 00000000000022F4 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000000000E -REG 0000000000000000 -REG 05FFB00027FE0000 -REG 0000000000000000 -REG FA004FFFD801FFFF -REG 0000000000000012 -REG 0000000000000000 -REG 000000009E104004 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 000000000000C020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000000C020 +GPR14 000000000000C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFE +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0808080803040808 +GPR27 0000000000000040 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000340799A2 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/172.bin b/tests/172.bin index 377ebb1..a1bf83e 100644 Binary files a/tests/172.bin and b/tests/172.bin differ diff --git a/tests/172.out b/tests/172.out index 020268b..d696c5b 100644 --- a/tests/172.out +++ b/tests/172.out @@ -1,32 +1,37 @@ -REG C0000000E4A80400 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000000000000 -REG 0000000040044C90 -REG 0000000000000000 -REG 000000002E05EF17 -REG 0000000000000000 -REG 0000000040048244 -REG 00000FFFFC200000 -REG 0000000028048244 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000065C70000 -REG 3FFFFFFFFFFFEC56 -REG 000000002E05EF17 -REG 002852CC4E05EF16 -REG C000000000000000 -REG 0000000000000000 -REG 0000000000102018 -REG 3FFFFFFFFFFFFFFF -REG FFFFFFFFBC200000 -REG 000000000013FFFF -REG 000000050A000000 -REG 0000000000000020 -REG FFD7AD33E0000000 -REG 0808080808070404 -REG 0000000000000000 -REG FFFFFFFFFFFC0000 -REG 0000000000000000 -REG 00000FFFFC200000 -REG 0000000050090489 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000000008 +GPR6 FFFFFFFFFFFE3FE1 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFF9EB2 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000010201 +GPR16 F87FFFFFFFFFF5A1 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 F87FFFFFFFFFFF8D +GPR22 FFFFFFFFFFFFFE3F +GPR23 0000000000000020 +GPR24 0080000000000081 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000039820030 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000025820970 +LR 0000000000004153 +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/173.bin b/tests/173.bin index ed5715d..570004b 100644 Binary files a/tests/173.bin and b/tests/173.bin differ diff --git a/tests/173.out b/tests/173.out index 5b0c9b0..a0a9b3f 100644 --- a/tests/173.out +++ b/tests/173.out @@ -1,32 +1,37 @@ -REG 00000000FEFF0E43 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007267 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFE00 -REG 0000000000000000 -REG 000000009E6B0E43 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000001FF -REG 0000000000005AFB -REG FFFFFFFFFFFFFFD8 -REG FFFFFFFFFFFFD66D -REG 0000000000000000 -REG FFFFFFFFBFFFFE00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000034 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFBFFFFE00 -REG 0000000080008001 +GPR0 0000000000000000 +GPR1 07FFFFFFFFFFFFFF +GPR2 0000000000000004 +GPR3 0000000000000001 +GPR4 0000005FA58D7FFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 FFFFFFFFFFFFFFF0 +GPR9 FFFFFFFFFFFF8E5A +GPR10 0000000000000002 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFF9 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000014 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 00000000305459D5 +GPR20 0000000000000B16 +GPR21 FFFF7FFFA58D7FFF +GPR22 0000000000000004 +GPR23 FFFFFFFFFFFDFFFF +GPR24 00000000305459D5 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000007FFFFFEC +GPR31 +CR 00000000505C59D5 +LR 0000000000000003 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/174.bin b/tests/174.bin index 6c7c4a2..5fc8d6d 100644 Binary files a/tests/174.bin and b/tests/174.bin differ diff --git a/tests/174.out b/tests/174.out index 6905882..e1dc581 100644 --- a/tests/174.out +++ b/tests/174.out @@ -1,32 +1,37 @@ -REG 0000000000802981 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000035 -REG FFFFFFFFFFBFD67D -REG 0000000000002983 -REG 0000000000000023 -REG 0000000000000000 -REG 0000000000400000 -REG 0000000000000000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8430 -REG 0000000000000000 -REG 000011E1AD500000 -REG 0000000000000000 -REG 0000000000400000 -REG FFFFFFFFF08C0000 -REG 001FFFFC08807FFF -REG 0000006FDF9903F8 -REG 0000006FDF9903F8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFBFD67D -REG FFFFFFFFFFFFD67D -REG FFFFFFFFE0000000 -REG 0000006FDF992BFA -REG 0000000000000000 -REG 000000011089F7FF +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFE2FDF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 0000000000000010 +GPR10 FFFFFFFFFFFFFC4C +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000000000000 +GPR14 0000000000000400 +GPR15 000000000000F136 +GPR16 0000000000000000 +GPR17 000000007D7E0007 +GPR18 000000003000004F +GPR19 FFFFFFFFFFFFFC4D +GPR20 FFFFFFFFFFFE3FDB +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000004 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 000000003000004F +GPR30 0000000000000000 +GPR31 +CR 000000003000014F +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003E109 + diff --git a/tests/175.bin b/tests/175.bin index 30d159f..f80ea16 100644 Binary files a/tests/175.bin and b/tests/175.bin differ diff --git a/tests/175.out b/tests/175.out index 0a680b9..775c4c8 100644 --- a/tests/175.out +++ b/tests/175.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD836EF74 -REG FFFFFFFFFFFFEF72 -REG FFFFFFFFC00001FF -REG FFFFFFFFFFFFFE00 -REG 000000FFFFFFC340 -REG 0000000500000005 -REG 0000000000000000 -REG 0000000000000000 -REG 020000000000003F -REG FFFFFFFFFFFFEF72 -REG 000000000000B2F9 -REG 00000000000FFDF7 -REG 00001FFFFFFFF7B9 -REG FFFFFFFFFFFFBEEF -REG 000000000000108D -REG FFFFFFFFC00021F7 -REG 0000000000000000 -REG 0000000C00000006 -REG 0000000027C90001 -REG 00D1FF0000003CC0 -REG 0000000000001FF8 -REG 000000FFDF77FF80 -REG 0000000000000000 -REG 0000000000001FF9 -REG 0000000000000001 -REG 0000000000000000 -REG 00D2000000000000 -REG 000000000A1F2400 -REG 0000000000000D57 -REG 0000000000000001 -REG FFFF00FFFFFF0000 -REG 0000000080000108 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000A0040000 +GPR4 0000000003FFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000080000000 +GPR9 FFFFFFFFA0040000 +GPR10 FFFFFFFFFFFFE9D4 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFC000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 00000000393D3F90 +GPR18 000000000001C020 +GPR19 0000000003FFFFFF +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000390D3005 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/176.bin b/tests/176.bin index 5b8d1d1..b321550 100644 Binary files a/tests/176.bin and b/tests/176.bin differ diff --git a/tests/176.out b/tests/176.out index 5ece762..f13b285 100644 --- a/tests/176.out +++ b/tests/176.out @@ -1,32 +1,37 @@ -REG 7000000000000650 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000018 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 900000006C33C826 -REG FFFFFFFFFFE7FFC2 -REG 0000000000000000 -REG 7000000000000650 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000018 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000038 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000038 -REG 1C0000001C0071CF -REG FFFFFFFF7FFFDB96 -REG 0000000000000000 -REG 7000000000000650 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004400001F +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFE4 +GPR2 FFFFFFFFFD095350 +GPR3 FFFFFFFFFFE84A9B +GPR4 0000000000000000 +GPR5 00000000001BCD08 +GPR6 FFFFFFF3FFFFFFF4 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000001B +GPR15 000000000017B565 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000003B +GPR23 000000000000003F +GPR24 000000000000003F +GPR25 0000000000005D2A +GPR26 0000000000020000 +GPR27 0000000C0000000C +GPR28 0000000002F6ACB0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003500F951 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000001 + diff --git a/tests/177.bin b/tests/177.bin index 103dcf3..0373747 100644 Binary files a/tests/177.bin and b/tests/177.bin differ diff --git a/tests/177.out b/tests/177.out index e69e3dd..c4626b0 100644 --- a/tests/177.out +++ b/tests/177.out @@ -1,32 +1,37 @@ -REG FFFFFFFF0E66BB5D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000020 -REG FFFFFFFFFFFFFFF0 -REG 0000000000000000 -REG 0000000000000000 -REG 5F00A60000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFBFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000050190800 +GPR0 000000000000003F +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000007CC0 +GPR5 0000000000002742 +GPR6 000000000001C020 +GPR7 0000000000000001 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000001C00000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 00025FE000000002 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000433066DC +GPR20 FFFDA01FFFF3465F +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFDA01FFFF3465F +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFDA01FFFFFFFFE +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000096A176DF +LR 0000000000000000 +CTR 0000000000067CC0 +XER 00000000E00FFFFF + diff --git a/tests/178.bin b/tests/178.bin index e2b0f3f..c386931 100644 Binary files a/tests/178.bin and b/tests/178.bin differ diff --git a/tests/178.out b/tests/178.out index eef20b7..4f0f0b3 100644 --- a/tests/178.out +++ b/tests/178.out @@ -1,32 +1,37 @@ -REG DFFFFFFFBA000793 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF6AB10000 -REG 0000000002860003 -REG FFFBFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000BFD4 -REG 0000000000000020 -REG 0000000045FFF86C -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFE -REG 0000000045FFF86D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000008A74 -REG 0000000000000000 -REG FFFBFFFFFFFFFFFF -REG 00000000FFFFFFFF -REG 0000000000000003 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFC2F4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFBFFFFFFFFFFFE -REG 0000000100888880 +GPR0 0000E00200000002 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C02E +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE11D2 +GPR9 FFFFFFFFFFFE11D2 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000380 +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 000000000000000F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFE +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000030819998 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000001 +XER 0000000080000005 + diff --git a/tests/179.bin b/tests/179.bin index 8b6ab30..a274a78 100644 Binary files a/tests/179.bin and b/tests/179.bin differ diff --git a/tests/179.out b/tests/179.out index f1fcaf1..3486147 100644 --- a/tests/179.out +++ b/tests/179.out @@ -1,32 +1,37 @@ -REG 0000000800400008 -REG FFFFFFFFFFC05E96 -REG 0000000000780000 -REG FFFFFFFFFFBFFFFC -REG 6334A587EFFF0000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000800 -REG FFFFFFFFFFFFC05E -REG 00000003CF880000 -REG FFFFFFFFFFFFFD85 -REG 00000003CF880007 -REG FFFFFFFFF20EFFFB -REG C3FFFCE7C3F1FCE7 -REG FFFFAC58C0D861FF -REG 0000000000000000 -REG 0000000000000004 -REG FFFFFB0AFFFFFB0A -REG FFFFFFFFFFBFFFFC -REG 000000000000003F -REG 0000000800000008 -REG FFFFFFFFFFFFFD85 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFC00000 -REG FFFFFFFF8915FFC0 -REG FFFFFFFFFFFFFFF9 -REG 0000000000000000 -REG 0000000080009001 +GPR0 000000000000C461 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000018 +GPR4 EDB6DB6000000000 +GPR5 0000000000000000 +GPR6 0000000000048E80 +GPR7 FFFFFC18FFFFFC18 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFE0 +GPR15 00000000FFFFF8FC +GPR16 000000010004877C +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 EDB6DB6000000000 +GPR20 800000000000001D +GPR21 0000000000000000 +GPR22 FFFFFC18FFFFFC19 +GPR23 FFFFFFFFFFFFFFE0 +GPR24 0000000000000000 +GPR25 800000000000001D +GPR26 0000000000000030 +GPR27 0000000000000000 +GPR28 000000000000B578 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000000929020E +LR 000000000001C020 +CTR 0000000000000000 +XER 000000008003FFE0 + diff --git a/tests/18.bin b/tests/18.bin index ba85c62..75f3035 100644 Binary files a/tests/18.bin and b/tests/18.bin differ diff --git a/tests/18.out b/tests/18.out index 55dc6f0..ba75a6b 100644 --- a/tests/18.out +++ b/tests/18.out @@ -1,32 +1,37 @@ -REG 000000007FDBB7B6 -REG 0000000000000001 -REG 0000000000000000 -REG 3FDBBD1B1FDBBCCE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000778 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF887 -REG 0000000040000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFE2180 -REG C0253E8DCF145E61 -REG 0000000000000020 -REG 00000000FFFFFFFF -REG 3FDBBCCE3FDBBCCE -REG FFFFFFFFFFFFFFFF -REG 0000000000000778 -REG FFFFFFFFFFFFFFFF -REG 0000000000000030 -REG 0000000000000000 -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG 0000004CE0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 FFFFFFFFFF800000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFE3FE0 +GPR9 FFFFFFFFFFFE3FE0 +GPR10 0000000000000000 +GPR11 0000000000004FFE +GPR12 000000000001C021 +GPR13 0000000000000000 +GPR14 0000000080001FFE +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF5BA9 +GPR24 00004FFD00004FFD +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFCDB6 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000700 +GPR31 +CR 000000003516595B +LR 0000000000000055 +CTR 0000000003020201 +XER 00000000C0081FFE + diff --git a/tests/180.bin b/tests/180.bin index 4a95fcc..0ff1c9f 100644 Binary files a/tests/180.bin and b/tests/180.bin differ diff --git a/tests/180.out b/tests/180.out index 62a5fdd..4468873 100644 --- a/tests/180.out +++ b/tests/180.out @@ -1,32 +1,37 @@ -REG 002F701FFFFFF530 -REG 002F701FFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG FFEC02FFF3076A34 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000002000000019 -REG 0000000000000000 -REG 0000000000000000 -REG 0013FD000CF895CC -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFD8F794 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF4FFFFFF0 -REG 00000000399CFFFE -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000888891E8 +GPR0 0000000056930001 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000056930001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 00000000FFFE3FE7 +GPR10 000000000F1F0002 +GPR11 000000000F1F0001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 000000010F1D3FE8 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000008 +GPR23 FFFFFFFFA963FFFE +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 FFFFFFFFFFAE3400 +GPR31 +CR 00000000969DC500 +LR 0000000000000000 +CTR FFFFFFFFFFAE3400 +XER 0000000080000000 + diff --git a/tests/181.bin b/tests/181.bin index 467f3d2..c7c4c64 100644 Binary files a/tests/181.bin and b/tests/181.bin differ diff --git a/tests/181.out b/tests/181.out index b9abc5f..bbbf0be 100644 --- a/tests/181.out +++ b/tests/181.out @@ -1,32 +1,37 @@ -REG 000000000000112A -REG 0000000000000000 -REG 0000000000000000 -REG 0000001B0100092B -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFE4FF -REG FFFFFFFFFFFFE4FF -REG 0000001A0000001B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFE5FFFFF900 -REG 00000000079C43AC -REG FFFFFFFEFF000D67 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000000 -REG 000000003614E4FF -REG 0000000000000000 -REG 0000000036150000 -REG FFFFFFFFFFFFF900 -REG 0000000000000000 -REG FFFFFFFFFFFFE4FF -REG 000000000000F7C4 -REG 0000000000000000 -REG 00000000079C9B29 -REG 0000000000000000 -REG 000000FF000000FF -REG FFFFFFE5FFFFFFFF -REG FFE4FF000FFFFFFF -REG 7FE4FF000FFFFFFF -REG 0000000050008008 +GPR0 0000000000000040 +GPR1 000000000001C020 +GPR2 FFFFFFFDFE0003FD +GPR3 0000000000000000 +GPR4 0000000008000000 +GPR5 FFFFFFFFFFF80006 +GPR6 0000000000000000 +GPR7 0000000009000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFF6FFFFFE +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFFFFE +GPR18 000000000000003F +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFC0003 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFE +GPR23 0000000000000020 +GPR24 000000000000001B +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009901D018 +LR 0000000000000001 +CTR 0000000000000020 +XER 00000000C0080000 + diff --git a/tests/182.bin b/tests/182.bin index f5dc0fb..f855a1f 100644 Binary files a/tests/182.bin and b/tests/182.bin differ diff --git a/tests/182.out b/tests/182.out index 9a5046b..3c2d362 100644 --- a/tests/182.out +++ b/tests/182.out @@ -1,32 +1,37 @@ -REG 058345577FB1777D -REG 0100000000000008 -REG 0080415765900084 -REG 0000000000000000 -REG 00FFFFFFFFFFE32C -REG 0100000018440000 -REG 0000000000000000 -REG F01AC2A4F01AC2A4 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000084 -REG 0000000000000800 -REG 3800000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000008 -REG 0000000000000000 -REG 0100000000000000 -REG 0100000000000000 -REG FFFFFFE013A22100 -REG 0000000000000000 -REG F01AC2A4F01AC2A4 -REG FF7FBEA89A6E9EA9 -REG FFFFFFFFFFFE9F2E -REG 052BA4881A69B764 -REG 058345577FB1777C -REG 0000000000000000 -REG FBD45B77E596489C -REG 0000000000007C2B -REG 0000000000000020 -REG EF1AC2A4F01AC2A4 -REG 000000005E906892 +GPR0 FFFF684BDA128285 +GPR1 000000000001C020 +GPR2 0000000000800001 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFF8D9A +GPR5 0000000000015DBF +GPR6 0000000000000000 +GPR7 0000000000800000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000018EB9 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFEA23F +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000015DBF +GPR22 FFFFFFFFFFFEA240 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000014 +GPR26 0000000000000000 +GPR27 0000000000015DBF +GPR28 0000000000015FBF +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 000000003058C440 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A007DA12 + diff --git a/tests/183.bin b/tests/183.bin index 69d935b..32f3b10 100644 Binary files a/tests/183.bin and b/tests/183.bin differ diff --git a/tests/183.out b/tests/183.out index b915a50..df7de4a 100644 --- a/tests/183.out +++ b/tests/183.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFF715 -REG 0000000000000000 -REG 0000000000000000 -REG 00007BC1FE10F800 -REG 0000000000003C1D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFF843E01EF07FF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000076F5 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000001 -REG 0000001000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041000004 +GPR0 000000000000003F +GPR1 000000000001C020 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000062E9FFDD +GPR6 FFFFFFFFFFFF9601 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFC0 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFDB70537 +GPR13 0000000000000002 +GPR14 0000000000000000 +GPR15 00000000000069FE +GPR16 0000000000000001 +GPR17 000000000001C020 +GPR18 0000000062E9FAC9 +GPR19 0000000000000000 +GPR20 000000000248FAC9 +GPR21 FFFFFFFFFFFE3FE0 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000400 +GPR26 0000000062E9FAC9 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000937E0831 +LR 0000000080000000 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/184.bin b/tests/184.bin index cb9d9f4..be21e31 100644 Binary files a/tests/184.bin and b/tests/184.bin differ diff --git a/tests/184.out b/tests/184.out index b9814de..9a0a31e 100644 --- a/tests/184.out +++ b/tests/184.out @@ -1,32 +1,37 @@ -REG 58F40FC6F99727FF -REG 0000000000000000 -REG 0000000000006C25 -REG 7FFBF0390668D972 -REG FFFFFFFFFFFFB37A -REG 0001C000000031DC -REG 0000000000006C25 -REG A70BF03906689637 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000006E000000 -REG 0000000000000040 -REG FFFFFFFFFFFFB37A -REG 0000000000000DAF -REG 7FFBF0390668D972 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000005 -REG 7FFBF0390668D977 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG A70BF0390668D800 -REG 0000000000000000 -REG 58F40FC6F9972800 -REG 0001C000000031DC -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000888E4001 +GPR0 FFFFFFFF807F0001 +GPR1 0000000000010000 +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000217C +GPR6 0000000000000000 +GPR7 00000000601FF222 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000090000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000005B640302 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFDE83 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 00000000601FF22E +GPR23 FFFFFFFFFFFFDE83 +GPR24 00000000000059FE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000007F7F3F9E +GPR29 0000000000000001 +GPR30 000000000001C020 +GPR31 +CR 0000000027101505 +LR 0000000000000000 +CTR 0000000000000044 +XER 0000000000000302 + diff --git a/tests/185.bin b/tests/185.bin index b90e914..4892012 100644 Binary files a/tests/185.bin and b/tests/185.bin differ diff --git a/tests/185.out b/tests/185.out index c957558..eb41be8 100644 --- a/tests/185.out +++ b/tests/185.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFDE -REG 000000002084048E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG EB963FFFAC06311E -REG 0000000000000000 -REG FE9FFFFFBFFFF120 -REG 1309C00013F9C000 -REG 0000000000000000 -REG 0000000000000000 -REG 8821012388000023 -REG 00000000000018A0 -REG 0000000000000000 -REG 1309C00013F9C001 -REG FE9FFFFFBFFFE94A -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFB3D9 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFB3D8 -REG 0000000000000000 -REG 0000000000000000 -REG FE9FFFFFBFFFF120 -REG FFFFFFFC00000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005FFFE991 +GPR0 FFFF1FF0642766DB +GPR1 0000000000003936 +GPR2 0000000000000020 +GPR3 FFFFFFFFFFFFFFFE +GPR4 00000000FF8FFE60 +GPR5 FFFFFFFCEF8FE081 +GPR6 FFFFFFFF007001A0 +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000001B7C +GPR11 0000000000000001 +GPR12 000000000001C008 +GPR13 7FFFD71B7FFFD71B +GPR14 0000000000000005 +GPR15 0000000310700400 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFAE37 +GPR19 00000000FF8FFE5E +GPR20 FFFFFFFFCFDFF66F +GPR21 0000000000000003 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000000000003 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000000000051C8 +GPR31 +CR 0000000050200992 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/186.bin b/tests/186.bin index 29a3895..a1746b1 100644 Binary files a/tests/186.bin and b/tests/186.bin differ diff --git a/tests/186.out b/tests/186.out index 6b7b060..f5fe75b 100644 --- a/tests/186.out +++ b/tests/186.out @@ -1,32 +1,37 @@ -REG FFFFFFFF8322FFFF -REG FFFFFFFFFFFFBDC7 -REG FFFFFFFFC1FFFFFF -REG 0000000000000000 -REG FF9FC69AFF9FC69A -REG 0000000000000001 -REG 0000000000000000 -REG 00000000000FFFE0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000002000000 -REG 0000000000000000 -REG 0000000048030000 -REG 0000000000000000 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000002000000 -REG FFFFFFFFFFFF9820 -REG 0000000000002000 -REG FFFFFFFFFFFFFFFF -REG 0000001E0000001E -REG 0000000000000000 -REG 00000000000FFFE0 -REG 0F80000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0F80000000000000 -REG 0000000081001110 +GPR0 000000000001C218 +GPR1 FFFFFFFFFFFFFDDF +GPR2 000000000001A53B +GPR3 000000000001C021 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000000008C9 +GPR9 FFFFFFFFFFFFF800 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 000000000001A53B +GPR13 A1A53BE2A1000002 +GPR14 0000000000000003 +GPR15 000000000001C020 +GPR16 000000000001C020 +GPR17 0000000000000033 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFC9FF7 +GPR20 0000000000000040 +GPR21 0000000000003804 +GPR22 FFFFFFFFFFFF559C +GPR23 0000000000007FFC +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFE6018 +GPR26 000000000000D46C +GPR27 0000000000010201 +GPR28 FFFFFFFFFFFE6018 +GPR29 000000000001C038 +GPR30 0000000000000000 +GPR31 +CR 000000005B6FC33F +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080023FC6 + diff --git a/tests/187.bin b/tests/187.bin index bb1b12b..de40f62 100644 Binary files a/tests/187.bin and b/tests/187.bin differ diff --git a/tests/187.out b/tests/187.out index 8f95ee6..0570385 100644 --- a/tests/187.out +++ b/tests/187.out @@ -1,32 +1,37 @@ -REG 0005FFFE00000021 -REG FFFFFFFFFFFF8009 -REG 13FFFF0013F2FF00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000100000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000006BFB -REG 0000000000000000 -REG 0000000061DA0000 -REG 0000000000000000 -REG FFFFFFFFCBE20000 -REG 0000002000000009 -REG 0000000000000000 -REG 0000000000000000 -REG BE20000FFFE00000 -REG 0000000000007EB1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG BE20000FF9EF0004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000061DA0000 -REG 0000000028808842 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000091011084 +GPR0 FFFFFFFFFFFFBC1A +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000001 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 FFFFFFFFC37F42A1 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 00000000A5270000 +GPR12 0000000000000000 +GPR13 000000003C80BD5F +GPR14 00000003FFFFFDA0 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 FFFFFFFFC0000026 +GPR20 000000000001C002 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 000000003C80BD5F +GPR24 FFFFFFFFFFFFFFF0 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C002 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 0000000051094005 +LR 0000000000000000 +CTR 0000000000000001 +XER 00000000C00968C6 + diff --git a/tests/188.bin b/tests/188.bin index a045d29..4042eb0 100644 Binary files a/tests/188.bin and b/tests/188.bin differ diff --git a/tests/188.out b/tests/188.out index ce4d795..f9e803f 100644 --- a/tests/188.out +++ b/tests/188.out @@ -1,32 +1,37 @@ -REG FFF0000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFCC000000 -REG 0000000000000000 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000010000000 -REG FFFFFFFFBBFFFFFF -REG 0000000000000000 -REG 000000000000B960 -REG FFF17C5FFFFFFFC0 -REG 00000000000001A0 -REG FFF17C5FFFFFFFC0 -REG 3F943B453F943B45 -REG 0000000000000000 -REG 00000000B73FFFFC -REG 0000100000000000 -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFF48C00003 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0FFFFFFF80000000 -REG 0000000089110911 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 00000000FFFEFD78 +GPR6 0000000029C9C022 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000000FF800 +GPR12 0000000000000000 +GPR13 0000000000000380 +GPR14 0000000000000001 +GPR15 00000000FFFF70CE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C022 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000029C9C022 +GPR26 0000000000000380 +GPR27 0000000000000020 +GPR28 FFFFFFFFFF0007FF +GPR29 7FFFFFFFFFFFA1C6 +GPR30 0000000000000000 +GPR31 +CR 00000000399D4D55 +LR FFFFF8FF80000004 +CTR 0000000000000001 +XER 0000000080000000 + diff --git a/tests/189.bin b/tests/189.bin index 71e6e5d..f7e3bdd 100644 Binary files a/tests/189.bin and b/tests/189.bin differ diff --git a/tests/189.out b/tests/189.out index e270d66..5a95a1d 100644 --- a/tests/189.out +++ b/tests/189.out @@ -1,32 +1,37 @@ -REG 000000000000005D -REG FFFFFFFFFFFFFFFF -REG 0000000055C84E75 -REG 0000000000004B1C -REG 0000000000000000 -REG 000000013FBF0141 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004B0A0000 -REG 00000000FAE30000 -REG F7F7F7F7D7F7DF3E -REG 000000000000003B -REG 00000B4055C84E75 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000030000000000 -REG 0644B6220644B622 -REG 0000000000000000 -REG 000000000000055C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF140AFEBF -REG FFFFFFFFFFFFFEBF -REG 0000000000000000 -REG 0000000000000000 -REG 08080808280829D9 -REG 000000000000025B -REG 0000000101000200 +GPR0 000000000001C021 +GPR1 00000000DD3328D3 +GPR2 020000010201C021 +GPR3 00000000479CDD20 +GPR4 000000000001E8D3 +GPR5 00000000000028F3 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 00000000000076D8 +GPR10 00000000A007FFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFF8927 +GPR13 FDFFFFFEFDFE3FDE +GPR14 FFFFFFFFFFFFFFD8 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000000028F3 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFDD20 +GPR22 0000000000000000 +GPR23 0000000099590F92 +GPR24 020000010201C021 +GPR25 0000000000000010 +GPR26 00000000A007FFFF +GPR27 0000000000000000 +GPR28 00000000000076D8 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000055590F92 +LR FFFFFFFFFFFFFFFF +CTR 00000000FFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/19.bin b/tests/19.bin index 208228b..f106f28 100644 Binary files a/tests/19.bin and b/tests/19.bin differ diff --git a/tests/19.out b/tests/19.out index a2ee31a..469d61c 100644 --- a/tests/19.out +++ b/tests/19.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFF3F -REG 0000000000000000 -REG 0000000000000001 -REG 00000000FFFFFFFC -REG 0000000000000001 -REG 0000000000000020 -REG FFFFFFFFFFFFFF01 -REG 0000000000040000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 007FFFFFFE000000 -REG FFFFFFFFFFFFFFDE -REG FFFFFFFFFFFFBF0F -REG 0000000000000000 -REG 000000000000FFFF -REG 0000000000000000 -REG 00000000007BFFFF -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000000FF -REG FFFFFFFFFFFFFFE3 -REG 000000002C4B3733 -REG 000000002CC79303 -REG 00000000000060DB -REG FFFFFFFFFFFFFFFD -REG 0000000000000022 -REG FFFFFFFFFFFF9F45 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000100910808 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000002 +GPR2 0000000000000000 +GPR3 1001E03FB0040003 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000002 +GPR6 0380000002000000 +GPR7 FFFFFFFFFBFFFFFF +GPR8 1001C02D30040003 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFC0000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000007000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 FFFFFFFFCFFBFFFD +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFD +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFCFFBFFFD +GPR26 1000E01690000002 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFCFFBFFFE +GPR29 0000E01680000001 +GPR30 FFFFFFFC00000000 +GPR31 +CR 000000009604A25A +LR 0000000000000000 +CTR 0000000000017F8E +XER 00000000A0040000 + diff --git a/tests/190.bin b/tests/190.bin index 600e8bd..f5041bb 100644 Binary files a/tests/190.bin and b/tests/190.bin differ diff --git a/tests/190.out b/tests/190.out index 098360d..1dbc521 100644 --- a/tests/190.out +++ b/tests/190.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF249F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000001FF4 -REG 0000000000000013 -REG 0000000000000000 -REG 000000000000BAEF -REG 0000000000000000 -REG FFFFFFFFD396003A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFED -REG 0000000000000000 -REG 000000000000003A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 000000009E001A00 +GPR0 FFFFFFFFFFEBBFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000010020 +GPR4 0000000000051000 +GPR5 000000000000000F +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFC674 +GPR12 0000000000000020 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000040260000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 FFFFFFFFFFFAF000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000009A051173 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003A059573 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000020 + diff --git a/tests/191.bin b/tests/191.bin index 823212d..c8e83ba 100644 Binary files a/tests/191.bin and b/tests/191.bin differ diff --git a/tests/191.out b/tests/191.out index 8ad60a7..8b705dc 100644 --- a/tests/191.out +++ b/tests/191.out @@ -1,32 +1,37 @@ -REG 000000002D467800 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000BB98 -REG 0000000000000000 -REG FFFFFFFFFFFF8B08 -REG FFFFFFFFFFFFB303 -REG 0000000000000020 -REG 0078030000780300 -REG 0C000000000041E1 -REG FFFFFFFFFFFFFFE4 -REG 0000000000000000 -REG FFFFFFFE000001F4 -REG 000000000000003A -REG F3FFFFFFFFFFFE1F -REG 0387FCFFFF800000 -REG FFFFFFFFEB28D35E -REG 00000000CC800010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000014D72CA1 -REG 0000000000000000 -REG 0C000000000041F9 -REG 0000000000007FDE -REG 00000000FFFFFFE4 -REG 0000000000000018 -REG 000000009F090801 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000310A487C0 +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0001C03E0001C03E +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000000002D +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C03E +GPR14 0000000000000000 +GPR15 000000000000002D +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0400000000000000 +GPR19 FFFFFFFFFFFE3FE0 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFED26 +GPR24 00000000000000DF +GPR25 0000000000000000 +GPR26 0400000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000026 +GPR29 0000000000000000 +GPR30 01C0200001C02000 +GPR31 +CR 0000000059181910 +LR 0000000000000006 +CTR 00000000B6560000 +XER 0000000000000026 + diff --git a/tests/192.bin b/tests/192.bin index a2469be..4e7b94b 100644 Binary files a/tests/192.bin and b/tests/192.bin differ diff --git a/tests/192.out b/tests/192.out index bfcae54..ec113fe 100644 --- a/tests/192.out +++ b/tests/192.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000002040E000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFCC55 -REG 00FFFFFF80000003 -REG 0000000000000000 -REG 000000008040F489 -REG 0000000000000000 -REG 0000000000006759 -REG 8003FFFFFFFFFFFE -REG FFFFFFFFECDE0000 -REG FFFFFFFFFFFFFF98 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG F800000000000000 -REG F000000000000000 -REG 0000000000006942 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFDF7FFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 7FFC0000000064C9 -REG 0000000000000000 -REG 000000010081E913 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFB +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFE0 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000003E1FFFF +GPR8 0000000000000004 +GPR9 0000000000000000 +GPR10 000000000000001F +GPR11 000000000001C020 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 000000000000000E +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 FFFFFFFFFFFFDB17 +GPR18 0000000000000000 +GPR19 000000000000001D +GPR20 FFFFFFFFFFFFFFFF +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000003 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000000099B0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039D10517 +LR 000000000000000F +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/193.bin b/tests/193.bin index 22cf48f..7052df4 100644 Binary files a/tests/193.bin and b/tests/193.bin differ diff --git a/tests/193.out b/tests/193.out index 4f74e73..0162d98 100644 --- a/tests/193.out +++ b/tests/193.out @@ -1,32 +1,37 @@ -REG 000000009B131D00 -REG 0000000000000000 -REG 0000001E0000001C -REG FFFFFFFFFFFF3B88 -REG 000000000000BC1E -REG 0000000000000000 -REG 00000000233C001C -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C478 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFF3B88 -REG FDB2980000000000 -REG 0000000000000000 -REG 000000100000001B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000BC1E -REG 003FC90000000000 -REG FFC0000000000000 -REG 0000000000002FE7 -REG 000000FFFFFFFF00 -REG FFFFFFFF64ED39D3 -REG 000003009B126122 -REG FFFFFFFFFFFF3B88 -REG FFFFFFFFFFFFFFFA -REG 0072091600720916 -REG 0000000000002FE7 -REG 0000000000000006 -REG FFFFFFFFFFFFA781 -REG 000000005D11A9F8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 001FFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFD884 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 000000000000B628 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030100079 +LR 0000000000000000 +CTR 60E01000000007FB +XER 00000000C0080000 + diff --git a/tests/194.bin b/tests/194.bin index d0a6f76..509979f 100644 Binary files a/tests/194.bin and b/tests/194.bin differ diff --git a/tests/194.out b/tests/194.out index 9b2d53d..6308c56 100644 --- a/tests/194.out +++ b/tests/194.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0C00000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG DFFFFFFFE0000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG DFFFFFFFE3700000 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000001626 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFFFFF -REG 0000000000000000 -REG FFFFFFFEFFFFFFFE -REG 00000000000045A3 -REG 0000000000000000 -REG 0000000001E9FE9E +GPR0 FFFFFFFFFFFF5374 +GPR1 0000000000000000 +GPR2 0000000000080000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000070080000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFF5373 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 000000000808FFF3 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000780000 +GPR24 FFFFFFFFF10C0000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000070080000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000399598D8 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/195.bin b/tests/195.bin index 312428e..1f0a011 100644 Binary files a/tests/195.bin and b/tests/195.bin differ diff --git a/tests/195.out b/tests/195.out index fac5adf..648a6bb 100644 --- a/tests/195.out +++ b/tests/195.out @@ -1,32 +1,37 @@ -REG 00007FE4D04700F3 -REG 00000000400005F7 -REG 0000000000000013 -REG 032898E6032898E6 -REG 0000000000000004 -REG 000000000000D086 -REG 00000000077A0013 -REG FFFFED99D03FED9A -REG 0000001A00000013 -REG 0000000000000014 -REG 0000000000000000 -REG 00007FFEB03900E0 -REG 00000000011AEA7A -REG FFFFED99D03FB2CE -REG 000000000000FF00 -REG 0000000007F2FF90 -REG 00000000000007E1 -REG 00001267EF10ED9A -REG FFFFFFE5FFFFFFFE -REG 000000000000FF1A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC0D10000 -REG 00000FCA00000FC2 -REG 000007FFEEF6800E -REG FFFFED99D03FB2CE -REG 00000000011AEA7A -REG 000000000000003B -REG FFFFED99D03FB4EE -REG FFFFFFFFFFFFFFF3 -REG 0000000000000000 -REG FFFFFFFFFFFF2FF9 -REG 000000005E000D35 +GPR0 0000000000010000 +GPR1 0000000000000001 +GPR2 00000D195E83EB61 +GPR3 00000001C847E011 +GPR4 000000000000C020 +GPR5 0000000000000040 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 FFFFFFFFFFFF0000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 00000000000071BE +GPR18 000000000000FFFF +GPR19 2953A6220186D2B4 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 000000000000C020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 0000000000000001 +GPR30 0000000000000001 +GPR31 +CR 0000000054D848D4 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C021 + diff --git a/tests/196.bin b/tests/196.bin index 948112a..b8e1ec5 100644 Binary files a/tests/196.bin and b/tests/196.bin differ diff --git a/tests/196.out b/tests/196.out index 9242248..c23df1c 100644 --- a/tests/196.out +++ b/tests/196.out @@ -1,32 +1,37 @@ -REG 000000200000403E -REG 0000000000000002 -REG FFFFFFFFFFFFFFE5 -REG FFEFF7F7F1C0FFC0 -REG 0000000000000040 -REG 0000000000000000 -REG 001008080E3F0000 -REG 0000000000000000 -REG 0000000002000000 -REG 001008080E3F0040 -REG FFFFFFFFFFFFFFE4 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000040 -REG 001008080E3F0000 -REG FFEFF7F7F1C10002 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000071F -REG 001008080E3F0040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050100910 +GPR0 FFFFFFFFFFFE6B10 +GPR1 FFFFFFFFFFFFAB30 +GPR2 FFFFFFFFC0005800 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000240C00000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 FFFFFFFFFFFFAB30 +GPR9 0000000000000000 +GPR10 00000000FFFFA800 +GPR11 FFFFFFFFFFFF8878 +GPR12 0000000000000003 +GPR13 0000000000000000 +GPR14 000000003102C032 +GPR15 0000000000000000 +GPR16 FFFFFFFF3FBFFFFF +GPR17 980003FFFFFFFFD5 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000003 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 000000000385805F +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 00000000FFFF0792 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000C0400000 +GPR30 000000000001C020 +GPR31 +CR 000000003102C032 +LR 00000000FFFF0792 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/197.bin b/tests/197.bin index c41fab4..929a9c7 100644 Binary files a/tests/197.bin and b/tests/197.bin differ diff --git a/tests/197.out b/tests/197.out index 1bb1b74..613251d 100644 --- a/tests/197.out +++ b/tests/197.out @@ -1,32 +1,37 @@ -REG 00400000E0000039 -REG FFFFFFFFBFFE03E7 -REG 04E5601304E56013 -REG 0000000000000020 -REG FFFFFFFF1FFFFFFF -REG 00000000272B0000 -REG 000000002000A96D -REG FFFFFFFFFFFFFFFF -REG 00000000E07B62C3 -REG 00272B0000000000 -REG 0000000000004000 -REG 000000000000C000 -REG 0000000003000000 -REG FFFFFFFF1FFFFFFF -REG 00000000E0000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 003FFFFFFFFFFFFF -REG 0000000000000040 -REG 00000000017AC243 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001D612180 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000006647 -REG FFFFFFFFFFFFFFFD -REG 0000000081F00100 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFD57A +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000003 +GPR6 00000000000079D1 +GPR7 0000000000000000 +GPR8 00000000FFFF0050 +GPR9 00000000500B00B5 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000002 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000004000 +GPR16 0000000000000002 +GPR17 000000000001C00B +GPR18 0000000000000000 +GPR19 0000000000042800 +GPR20 0000000000000000 +GPR21 0000000000000003 +GPR22 000000000001C02A +GPR23 FFFFFFFFFFFBD7FF +GPR24 00000000010A0000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE3FD4 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFF0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000900B00F5 +LR 000000000001C020 +CTR 000000000001C020 +XER 00000000C0080000 + diff --git a/tests/198.bin b/tests/198.bin index dd1bfd0..c416519 100644 Binary files a/tests/198.bin and b/tests/198.bin differ diff --git a/tests/198.out b/tests/198.out index 0a0a13b..1a28f96 100644 --- a/tests/198.out +++ b/tests/198.out @@ -1,32 +1,37 @@ -REG 0000000034F8E0FF -REG 0000000000001F93 -REG 000000008F0F04F0 -REG 0000000000000000 -REG FFFFFFFFFFF91F93 -REG 2000000820000008 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFF5AFA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000041 -REG 0556F13C21DD7C04 -REG 0000000000000020 -REG 0000000000000401 -REG FFFFFFFFFFF80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000FFFF5AFA -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFD4D2 -REG 0000000024F8E0FE -REG FFFF000000000000 -REG 00000000FFFF5AFA -REG 0000000024F8E0FE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF4000 -REG 0000000024F8E0FE -REG 000000005E1E09E0 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000080000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFCE76 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000A0040000 +GPR12 FFFFFFFFFFFFC01F +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0808080808080807 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035FF5020 +LR 0000000000FFF5E8 +CTR FFFFFFFF80000001 +XER 0000000080000000 + diff --git a/tests/199.bin b/tests/199.bin index 7d0d86b..ef87a40 100644 Binary files a/tests/199.bin and b/tests/199.bin differ diff --git a/tests/199.out b/tests/199.out index 4046d33..87edcdf 100644 --- a/tests/199.out +++ b/tests/199.out @@ -1,32 +1,37 @@ -REG 0000000000000020 -REG 0000000000000000 -REG 7FFFFFFFF0000000 -REG 0000000000004DB7 -REG 0000000000000000 -REG 01025A4801004B88 -REG 0000000000007A45 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF85BB -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG D240080000000012 -REG 0000002000000000 -REG 0000000000000000 -REG 0000000000000023 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF91A74BC0 -REG 0000000000000000 -REG FFFFFFFFFBE7FFFF -REG 0007FFEE3E7FFFFF -REG 7FFFFFFFF0000000 -REG 0000000000000000 -REG 0000000003C00000 -REG 0000000011000008 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFEFFFFF7 -REG 0000000000000000 -REG 0000000091F09109 +GPR0 1EF7BDF0F7BDEF7B +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 CF44000000004636 +GPR6 0000000000078421 +GPR7 0000000090000000 +GPR8 0000000000000020 +GPR9 00000008C6C1E880 +GPR10 FFFFFFF9E8800000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000000000000 +GPR14 CF44000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 FFFFFFFFADA58421 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFB9CA +GPR23 0842108DA84210C4 +GPR24 FFFFFFF676B5AD34 +GPR25 0000000000000000 +GPR26 CF4400002FD60000 +GPR27 108421084210841F +GPR28 00000000000067A2 +GPR29 00000000FFFFFFA3 +GPR30 0000000000000000 +GPR31 +CR 0000000020030CFD +LR 0000000090000000 +CTR FFFFFFFFFFFFFF00 +XER 0000000000000000 + diff --git a/tests/2.bin b/tests/2.bin index dc42752..271e11c 100644 Binary files a/tests/2.bin and b/tests/2.bin differ diff --git a/tests/2.out b/tests/2.out index 6640ba6..a054b84 100644 --- a/tests/2.out +++ b/tests/2.out @@ -1,32 +1,37 @@ -REG 000000090000003F -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG FFFFFFFFFFFF0000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG FFFFF40480000008 -REG 0000000000000000 -REG 0000000900000009 -REG 00FFFFFF000019BC -REG 000000003FFFFFBF -REG 0000000000180000 -REG FFFFFFF70017FFF6 -REG FFFFFFFFFFFFF9C0 -REG 0000000000000001 -REG 700000000092A0C6 -REG 0000000000000000 -REG 0000000000000038 -REG 000000000000003F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9ABA -REG 00FFFFFFE0CD19BC -REG 0000000000000000 -REG 8FFFFFFFFF6D5F38 -REG 0000000029530002 -REG FFFFFFFFE0000000 -REG 8FFFFFFFFF6D5FDE -REG 000000000000003F -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFE0000001 -REG 0000000089E05E00 +GPR0 0000000000007CF4 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000000002 +GPR5 FFFFFFFF00005FA3 +GPR6 FFFFF8000001C01F +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000002 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 000000000001C01F +GPR14 0000000000000400 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 1FFFF40B9F000001 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 000000000000001C +GPR22 0000000000008A22 +GPR23 0000080000000000 +GPR24 0000000000000000 +GPR25 0000000000000014 +GPR26 1FFFF40B9F000001 +GPR27 000000000000E3A2 +GPR28 0000000000000000 +GPR29 00000000FFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000005043137D +LR FEF8003FFFF89C79 +CTR FFFFFFFFFFFE3FE0 +XER 00000000A0040000 + diff --git a/tests/20.bin b/tests/20.bin index 29dad44..684d336 100644 Binary files a/tests/20.bin and b/tests/20.bin differ diff --git a/tests/20.out b/tests/20.out index 4edbc08..d566139 100644 --- a/tests/20.out +++ b/tests/20.out @@ -1,32 +1,37 @@ -REG A915FFFFFFFF1139 -REG FFFFFFFFFFF52C4C -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 00000000481BEEC2 -REG 0000000000000240 -REG 0000000000000000 -REG FFFFFFFFFFFFC6F6 -REG 0000000000077615 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000087E8 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001A -REG FFFFFFFFFFFFAD36 -REG FFFFFFFFFFFFFFDF -REG FFFFFE9400003F43 -REG FFFFFE9400003F70 -REG 0000000000000021 -REG 000007EA58980000 -REG 0000000000000000 -REG FFFFFFFFFFE000C2 -REG 0000016C0000016C -REG 0000000000000001 -REG FFEFF20000000000 -REG FFFFFFFF77FF91F2 -REG 00000000000775E5 -REG FFFFFFFF77FFBFCC -REG 0000000000000000 -REG FFFFFFFFFFFFC6F6 -REG 0000000108009000 +GPR0 FEFF048C00000020 +GPR1 0000000000000040 +GPR2 0000000000000020 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFF00FFFE61 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000DD4E +GPR22 0000000000000000 +GPR23 000000003F370000 +GPR24 0000000000000000 +GPR25 000000003F370000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFCF592802A6 +GPR29 0008000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000340900D0 +LR 0000000079060001 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/200.bin b/tests/200.bin index 78812d4..3d9df0e 100644 Binary files a/tests/200.bin and b/tests/200.bin differ diff --git a/tests/200.out b/tests/200.out index 84095f5..4b9967e 100644 --- a/tests/200.out +++ b/tests/200.out @@ -1,32 +1,37 @@ -REG 0006000000006393 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000400 -REG FFFFFFFFDB00001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFF9FFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFDF350000 -REG 0000000000000000 -REG 00000000000071E4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040082081 -REG 0000000040082081 -REG 0000000000000001 -REG 0000000000005227 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000100101 +GPR0 0000000000000000 +GPR1 6CFBF868F7E6EBFD +GPR2 0000000000000000 +GPR3 0000000017000000 +GPR4 80000000000075FD +GPR5 FEC0000000002A08 +GPR6 000000000002AA80 +GPR7 0000000000000004 +GPR8 0000000000000014 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 0000000000000C7E +GPR12 000000000000000F +GPR13 0000000000001D7A +GPR14 0000000000000000 +GPR15 0000000017000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000017 +GPR19 0000000000000005 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C014 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 000000000001C030 +GPR26 0140000000000000 +GPR27 0000000000000007 +GPR28 0000000000000007 +GPR29 0140000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000056E094FD +LR 0000000000000000 +CTR 6CFBF868F7E6EBFB +XER 0000000080000000 + diff --git a/tests/201.bin b/tests/201.bin index 1aae84c..6b2632c 100644 Binary files a/tests/201.bin and b/tests/201.bin differ diff --git a/tests/201.out b/tests/201.out index aadbde3..04027d6 100644 --- a/tests/201.out +++ b/tests/201.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFEA0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG AA00000000505BA7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000001296 -REG 0000000000000000 -REG FFFFFFFFE0505BA7 -REG 0000000000000000 -REG 0000000040090100 +GPR0 0000000080010540 +GPR1 0000000000000000 +GPR2 00000000A0045554 +GPR3 0000000000000054 +GPR4 0000000000000000 +GPR5 FFFFFFFFFC7F80BE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFE00038 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFC7F80A8 +GPR14 0000000003807F40 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFE0BC8FFFE3FF +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FDF +GPR20 000000000001C024 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFE8 +GPR24 0000000001800000 +GPR25 0000000000000000 +GPR26 0000000005000000 +GPR27 0000010000000000 +GPR28 000000000001C034 +GPR29 FFFFFFFFFFFFFFE0 +GPR30 0000000000000000 +GPR31 +CR 0000000035004D25 +LR 0000000000000000 +CTR 0000000000005554 +XER 00000000E00C5554 + diff --git a/tests/202.bin b/tests/202.bin index 1380c60..7ff4a13 100644 Binary files a/tests/202.bin and b/tests/202.bin differ diff --git a/tests/202.out b/tests/202.out index 906d048..fadf2d5 100644 --- a/tests/202.out +++ b/tests/202.out @@ -1,32 +1,37 @@ -REG FFFFFFC0000365AA -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFEFD05AA -REG 000000000001A000 -REG 0000000001000000 -REG 0000000040000000 -REG 0000000000000003 -REG 0000000000007FFF -REG 000000000000C7F6 -REG 01FFFFFFFFFFB490 -REG FFFFB393FFFFB393 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000020000000B -REG FE00000000004B6F -REG 0000000000000019 -REG 0000000000000043 -REG 0000000000000000 -REG 000000000000B489 -REG FFFFFFFFFFFFFE14 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001000000 -REG 00000000FFFFFF80 -REG FFFFFFFFFFFFFFFF -REG FFFFFFC000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFC000000000 -REG 0000000000019FFF -REG 000000005FFFE81E +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000007FD50001 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000098809919 +GPR18 0000000038899999 +GPR19 0000000000000020 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000007FD50001 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000055899B89 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/203.bin b/tests/203.bin index 7dd451b..debc373 100644 Binary files a/tests/203.bin and b/tests/203.bin differ diff --git a/tests/203.out b/tests/203.out index 15c8966..4be1814 100644 --- a/tests/203.out +++ b/tests/203.out @@ -1,32 +1,37 @@ -REG 000000000000166C -REG FFFFFEF7F7F87650 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE989 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG A013FFFFA013FFFF -REG 0000000000186CF0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD9423A1B -REG 0000001500000015 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000001080807BE5A -REG 00000000F4360000 -REG 00000000F41D932F -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG FFFFFFFDFFFFEFFF -REG 000001FFFFFFFE00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000001677 -REG FFFFFFFFD9428000 -REG FFFFFFFF0BCA3CF7 -REG 0000000048080800 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 1ACA46D018000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000001 +GPR8 1ACA46D018000000 +GPR9 0000000000000000 +GPR10 000000000001C00C +GPR11 1ACA46D018000000 +GPR12 00000000FFFFFFFE +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFD +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 1ACA46D01801C00C +GPR20 FFC0000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 E535B92FE7FFFFFF +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 948DA03000000055 +GPR31 +CR 00000000307040B0 +LR 0000000000000000 +CTR E535B92FE7FFFFFF +XER 00000000A0040040 + diff --git a/tests/204.bin b/tests/204.bin index e7f1e31..349d777 100644 Binary files a/tests/204.bin and b/tests/204.bin differ diff --git a/tests/204.out b/tests/204.out index 20dd134..603281f 100644 --- a/tests/204.out +++ b/tests/204.out @@ -1,32 +1,37 @@ -REG 45803FF4B8C2B621 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG FFFFFFF600003623 -REG 0000000000000000 -REG 8BFFF964CAC38E9C -REG 00000013FFFFE4DC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000140000000B -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFF5FFFFFFFE -REG 45803FFFFE409CA4 -REG 0000000000000009 -REG 0000000000000000 -REG 0000000A00000001 -REG 0000000000000000 -REG 0000000000000000 -REG 8BFFF95A8BFFF809 -REG 45803FFD473D7FF6 -REG 000000000000372E -REG 0000000A00000006 -REG 000000008089E081 +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000055392405 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000055392404 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 000000005FFC0000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003D392405 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/205.bin b/tests/205.bin index 267e756..33d47b6 100644 Binary files a/tests/205.bin and b/tests/205.bin differ diff --git a/tests/205.out b/tests/205.out index cc8943e..cc83f1e 100644 --- a/tests/205.out +++ b/tests/205.out @@ -1,32 +1,37 @@ -REG 43B8DA6F74621458 -REG 00000000AD400000 -REG 0000000000000040 -REG 000880000F960200 -REG 0000000000000013 -REG 0000000000000001 -REG ACB93010ACAF3010 -REG 000000000000000B -REG 0000000000000000 -REG 5207000000000000 -REG BC8725903B2A1458 -REG FFFFFFFFC9808565 -REG 4378DA6FC4D65650 -REG 06030004060304F8 -REG DA1C25625BF4D01E -REG 0000000000000001 -REG 0BCE87D00BCE87D0 -REG 051D7C8000000000 -REG FAE2838000000000 -REG 25E3DA9D85712FE1 -REG 22C60753B0000005 -REG EB8A00A3EB6A1306 -REG 14956DBB14006DBB -REG 001F2C0400000000 -REG 0603000406030503 -REG 000000000F000000 -REG 0000000000000000 -REG DA1C2562D5CED01E -REG 000000001DF80000 -REG DA1C2562D7CED01E -REG 000000008F24DF4E -REG 000000009E49BE89 +GPR0 FFFFFFFFFFFFFFC0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000005F52 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFF00000A3 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFFFFFFFFDF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000014 +GPR12 00005F5200000002 +GPR13 FFFFFFFFFFFFFFC0 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000005F52 +GPR30 0000000000000000 +GPR31 +CR 000000009FB10500 +LR FFFFFFFFFFFDE186 +CTR FFFFFFFFA3A00000 +XER 00000000A0040000 + diff --git a/tests/206.bin b/tests/206.bin index 14f85b7..f958553 100644 Binary files a/tests/206.bin and b/tests/206.bin differ diff --git a/tests/206.out b/tests/206.out index f16241f..6041c5e 100644 --- a/tests/206.out +++ b/tests/206.out @@ -1,32 +1,37 @@ -REG 00000000149BAE96 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFCE2B47E93A0F2 -REG 0002010000000001 -REG 0C12FFD980000000 -REG AC12FFD9A526FF59 -REG FFFFFFFFD55AFFFF -REG 0000000000000000 -REG BFFFFFFF8FFF003F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000001B -REG 0000000000007B90 -REG 0000000000000020 -REG 0402080504030804 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFE8C8 -REG FFFFFFFFFFFFFFFF -REG 88A286E1A16C5F0E -REG 0002010000000001 -REG 0000000000000000 -REG 0000000000000003 -REG 00031D4B816BE49D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF8590 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007B90 -REG 0000000096483105 +GPR0 0000000000006E1D +GPR1 FFFFFFFFFFFFE142 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFC263DA000 +GPR7 0000000000000000 +GPR8 00000000003FFC00 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000007AF80 +GPR12 0000000000000021 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00000710948EC000 +GPR16 000000005B1CFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000075A94000 +GPR20 0000000000000000 +GPR21 0000000000001EBE +GPR22 000000005B1CFFFF +GPR23 00000000007AF800 +GPR24 0000000000000000 +GPR25 0000000030000000 +GPR26 FFFFFFFFC283E142 +GPR27 0000000000000001 +GPR28 00000710948EC000 +GPR29 0000000000006E0A +GPR30 0000000000000000 +GPR31 +CR 000000003F055793 +LR 00000000003FFC00 +CTR 00000000FFFFFFFF +XER 000000008003FFFF + diff --git a/tests/207.bin b/tests/207.bin index d7ea9cd..d0f48f3 100644 Binary files a/tests/207.bin and b/tests/207.bin differ diff --git a/tests/207.out b/tests/207.out index 1ef68fb..cd3c3cf 100644 --- a/tests/207.out +++ b/tests/207.out @@ -1,32 +1,37 @@ -REG FBFFFFFFF36AA6FD -REG 3FFFFFFFFF63F3FF -REG 0000000000000000 -REG 0000000000000000 -REG 3FFFFFE23FE10827 -REG 0000000004000000 -REG FFFFFFFFFFFFD8FC -REG 0000000000000000 -REG 3FFFFFE23FE0FFE2 -REG 0000000000000000 -REG 0608080406030804 -REG FFFFFFFFFFFFFFFF -REG 3FFFFFE23FE0FFE2 -REG 0000000000000004 -REG FBFFFFFF79FFB279 -REG 0000000100000021 -REG FF000000FD0000FF -REG 000000000000001E -REG 0000000000006729 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000000 -REG 0000000047A80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFE2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000047B9D8FC -REG 0000000000000000 -REG 0000000089089B08 +GPR0 FFFFFFFFFFFFFFFE +GPR1 FFFFFFFFFFE9C52F +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0001C0320001C022 +GPR5 0000000000163AD0 +GPR6 0000000000000000 +GPR7 0000000000163AD1 +GPR8 0000000000000000 +GPR9 0000000000000800 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000163ACF +GPR15 0000000000000001 +GPR16 77FFF8FF37FFF900 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFD5C8 +GPR20 FFFFFFFFFFFFFFD0 +GPR21 0000000000000000 +GPR22 FFFE3FCDFFFE401D +GPR23 FFFE3FCDFFFE3FDE +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000163AD0 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C004 +GPR30 0000000000000000 +GPR31 +CR 0000000050569061 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/208.bin b/tests/208.bin index 97a1164..ec3c968 100644 Binary files a/tests/208.bin and b/tests/208.bin differ diff --git a/tests/208.out b/tests/208.out index 461ff2c..3954e11 100644 --- a/tests/208.out +++ b/tests/208.out @@ -1,32 +1,37 @@ -REG 9C7FD40000023C7D -REG FFFFFFFFE411D27C -REG 0000000000000DED -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000010000 -REG 000000001BEEE334 -REG 0000000000021185 -REG 0000000000000001 -REG 0000000000000000 -REG 7FFEF73D7FFFF739 -REG 0000000000000000 -REG 0000000000000200 -REG 000000007FFFBCE2 -REG FFFFFFFFFFFF8081 -REG 0000000024008081 -REG 7FFEF73DFFFFF739 -REG 0000000000000000 -REG 800108C2800008C2 -REG FF800001FF800001 -REG FFFFFFFFFFFC823A -REG 0000000000000000 -REG 0707070507080704 -REG FFFFFFFFF000003F -REG 0000000000000003 -REG 000000001BEE2D83 -REG 0000000080000330 -REG 000000001BEE3D24 -REG 0000000080000000 -REG FFFFFFFFFFFFFF81 -REG 0000000048010102 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFF8 +GPR6 0000000000000000 +GPR7 00000001C01FF000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 0000000000073800 +GPR14 0000000000000000 +GPR15 0000000038F35B9E +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 000000000000001F +GPR22 00000000000001CE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFC70CA461 +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030F153BE +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040012 + diff --git a/tests/209.bin b/tests/209.bin index dc80b8d..1fc6c24 100644 Binary files a/tests/209.bin and b/tests/209.bin differ diff --git a/tests/209.out b/tests/209.out index 391f126..c5ae1da 100644 --- a/tests/209.out +++ b/tests/209.out @@ -1,32 +1,37 @@ -REG FFFBFFFFFFFFFFFE -REG 0000000000000020 -REG FFFFFFFFBFFFFFCD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG C0000027C0000027 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 2000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 2000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000009F00 -REG 0000000000000000 -REG 0000000000001ED1 -REG 0000000000000000 -REG 000000004BF50000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000007B0D -REG 0000000000000000 -REG 0000000000000000 -REG 0004000000000001 -REG 0000000085100809 +GPR0 0000060000000620 +GPR1 000000000001C01C +GPR2 FFFFFFFFFFFFC490 +GPR3 0000000000000000 +GPR4 00000000FFFFFF9B +GPR5 FFFFFFFFFFFFFFE0 +GPR6 FFFFFF9BFFFFFF9B +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000300 +GPR12 0000000000000300 +GPR13 000000000001CE9E +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF9EB3 +GPR21 000E48400E48400E +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000006451B2F700 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 00000000217090FD +GPR31 +CR 000000000070000D +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/21.bin b/tests/21.bin index 9b1ccff..3d5451b 100644 Binary files a/tests/21.bin and b/tests/21.bin differ diff --git a/tests/21.out b/tests/21.out index a5117bf..bcf7000 100644 --- a/tests/21.out +++ b/tests/21.out @@ -1,32 +1,37 @@ -REG FFFFFFFE7FFEF357 -REG 0000000000000000 -REG 0000000000000006 -REG FFFFFFFFC01F7F80 -REG FFFFFFFFFC000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC01F7F7F -REG 0000000000200000 -REG 0000000180010504 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000001F800000000 -REG 0000000000007FC0 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000080 -REG 1FFFBEBF1FF0001F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 000000000000976C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040080000 +GPR0 0000000094830000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000002000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000070 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFF7 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFE3FE9 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000002000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039515B17 +LR 0000000000038040 +CTR 00000000708F03FF +XER 00000000C00BFFFF + diff --git a/tests/210.bin b/tests/210.bin index b6a464d..749f2ad 100644 Binary files a/tests/210.bin and b/tests/210.bin differ diff --git a/tests/210.out b/tests/210.out index 3153684..fd8a373 100644 --- a/tests/210.out +++ b/tests/210.out @@ -1,32 +1,37 @@ -REG 0000000061000180 -REG 000000001FFFFFFF -REG 000000003FFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000003F -REG 0000000780007CC0 -REG 0000000780007CC0 -REG 0000000000000000 -REG FFFFFFF9FFFFFFB9 -REG FFFFFFFFFFFFFFBF -REG 0000000006100018 -REG 0000000000000000 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000020 -REG FFFFFFFFFFFFFFBF -REG 000000003FFFFFFF -REG 0000000780007CC0 -REG 0000000000000000 -REG FFFFFFFBFFFFFFFB -REG 0000000061000180 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFDFFC0003DFF -REG FFFFFFFFC0000040 -REG 0000000000000000 -REG 00000000BC860180 -REG 0000000091FFFFF0 +GPR0 FFFFFFFFFFFFCDFF +GPR1 FFFFFFFFFFFE3FDF +GPR2 0000000C00000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 FFFFFFFFFFFE3FE0 +GPR5 0000100800000000 +GPR6 000000000001C020 +GPR7 000000000000000C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000010 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000380400000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000090091530 +LR 0000000000000000 +CTR FFFFFFFFFFFFC816 +XER 00000000E00C0000 + diff --git a/tests/211.bin b/tests/211.bin index ab77b82..347bb17 100644 Binary files a/tests/211.bin and b/tests/211.bin differ diff --git a/tests/211.out b/tests/211.out index 11ef078..270812e 100644 --- a/tests/211.out +++ b/tests/211.out @@ -1,32 +1,37 @@ -REG FFFFFFFF7EACC708 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000010000 -REG 01D77F7000000000 -REG 00001E04C6CBC827 -REG 00000000143C098D -REG BEC0000000000000 -REG 0000000000000008 -REG 01D77F7800000000 -REG 0000000000000001 -REG 0000000000000000 -REG 005A6800005A6800 -REG 000003FFFFFFE400 -REG 49F6616CF0FBEFEF -REG 0000000010101009 -REG FFFFE1FB393437D8 -REG 0000000000000000 -REG 000000000000001F -REG 0000000000000000 -REG FFFBE1FB39340000 -REG 0000000000000B4D -REG FFFFFFF5E1FB3900 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000A -REG 0000000000000A02 -REG FFFFFFFFFBD40019 -REG FFFFFBFFFFFFBB5E -REG FFFFFFFFFFFFF4B3 -REG 0000000000000002 -REG 0000000049108080 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 000000000000FFFF +GPR3 0000000000000000 +GPR4 C7E00102C0E00102 +GPR5 000000000C860000 +GPR6 000000000000FFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000043220000 +GPR10 000000000000BEED +GPR11 000000000001C020 +GPR12 0000000000000015 +GPR13 0000000000000482 +GPR14 FFFFFF0000000000 +GPR15 0000000000000C55 +GPR16 000000000000007F +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFEA +GPR19 FFFFFFFFF8000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFB1F80040 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFF00FFFFFF +GPR28 0000000030000000 +GPR29 0000000000000000 +GPR30 000000000000FFFF +GPR31 +CR 00000000384B55BC +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C03E + diff --git a/tests/212.bin b/tests/212.bin index 778a377..854aada 100644 Binary files a/tests/212.bin and b/tests/212.bin differ diff --git a/tests/212.out b/tests/212.out index d5518e0..adaf14b 100644 --- a/tests/212.out +++ b/tests/212.out @@ -1,32 +1,37 @@ -REG 9980000000007EED -REG 0000000100000000 -REG 867FFFFFF0FFFD1F -REG 000000000000003D -REG 000000004F940000 -REG FFFFFFFFF0409FFF -REG 0000000000000000 -REG 000000008F242828 -REG 0000000600000006 -REG FFFFFFFFFFFFFD5E -REG 000000000000000C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF0FFFD5D -REG 0000000000000000 -REG 00000000AF5E0000 -REG FFFFFFFFFFFFFFFF -REG 867FFFFFF0FFFD1F -REG 0000000000000000 -REG 867FFFFFF0FFFD1F -REG 0000000000000000 -REG 0000000000000000 -REG 000000008F448828 -REG 000000000F000000 -REG 220A23D100000001 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 798000000000003F -REG E000000000007EF3 -REG 0000000000000000 -REG 000000005E505050 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFDF +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000003687 +GPR6 0000000000000040 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000135 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C008 +GPR29 4000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009DD17813 +LR FFFFFFFFFFFFFFE8 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/213.bin b/tests/213.bin index d0c2877..587ddf2 100644 Binary files a/tests/213.bin and b/tests/213.bin differ diff --git a/tests/213.out b/tests/213.out index d22aced..d77353e 100644 --- a/tests/213.out +++ b/tests/213.out @@ -1,32 +1,37 @@ -REG 0C7FFFFFFFFBDC4A -REG FFFF8270841479AA -REG 0000000000002184 -REG FFFFFFFFFFFFDE7C -REG 0000000000000000 -REG FFC1383FE0000000 -REG FFFFFFFFFFFFED31 -REG 0000000000000000 -REG 0000000084848F44 -REG FFFFFFFFFFFF992E -REG FFBF82707FCE5FA9 -REG 00007D8F803175DA -REG FFFFFFFFFFFFED31 -REG 0000000000000020 -REG FFFF8270841479AA -REG 0000000000000000 -REG FFBF82707FCE5FA9 -REG 0000000000000000 -REG FFFF82707FCE5FA9 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000011 -REG FFFF81E17F9D7F86 -REG FFFFFFFF84848F44 -REG 0000000084848F44 -REG 0000000000000011 -REG 0000000084848F44 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFBDC5 -REG FFFFFFFF7FFFFFFF -REG 0000000000002184 -REG 0000000089091E88 +GPR0 00FFFFFF0001C020 +GPR1 01FFFFFDFFFFFFF9 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 FFFFFFFFFFFFFFF2 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFEF80107FF +GPR9 0000000000000001 +GPR10 000000000000000F +GPR11 0020000000000000 +GPR12 0000000000004000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000EDB6DB5F +GPR20 0000000000000000 +GPR21 1000000010700000 +GPR22 000000000001C020 +GPR23 FFFFFFFFFFFFFFF8 +GPR24 000000000000000E +GPR25 FFFFFFFFFFFE3FDF +GPR26 FFDFFFFFFFDF8001 +GPR27 0000002000000020 +GPR28 00000000EDB6DB6E +GPR29 0000000000000000 +GPR30 0000000000000031 +GPR31 +CR 0000000031999443 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFF9 + diff --git a/tests/214.bin b/tests/214.bin index 716edd7..2c78ea3 100644 Binary files a/tests/214.bin and b/tests/214.bin differ diff --git a/tests/214.out b/tests/214.out index 62507d5..8f7a355 100644 --- a/tests/214.out +++ b/tests/214.out @@ -1,32 +1,37 @@ -REG 80012E0FDC420162 -REG 0000000044006580 -REG 000000002047FBF7 -REG 0000000000000000 -REG 000000008400657F -REG 00000000265F0000 -REG 000000002047FBF7 -REG 0000000000000000 -REG 0007C02A58000400 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004040001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000053C30001 -REG 00000000000004B0 -REG 0000000000000008 -REG 0000000000000000 -REG FFFFFFFFFFFFFFB0 -REG 000000000A840000 -REG 000000000A840000 -REG 0000000000000000 -REG C73FB276FEC39FE9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000004040002 -REG 0000000000000001 -REG 0000000000000048 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000084006580 -REG 000000004800C900 +GPR0 FFFFFFFFFFFFFC3F +GPR1 FFFFFFFFF6E30000 +GPR2 0000000000000033 +GPR3 00000000C700123E +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFF6E2FFFF +GPR6 FFFFFFFFFFFF4DDE +GPR7 000000000000B221 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000005 +GPR13 0000000000000000 +GPR14 000000000000003F +GPR15 0000000000000000 +GPR16 000000000000B220 +GPR17 0000002800000000 +GPR18 0000000000000040 +GPR19 E000000000000000 +GPR20 000000000000337E +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFE +GPR23 E000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000369CD552 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/215.bin b/tests/215.bin index e7546de..ffe9d0c 100644 Binary files a/tests/215.bin and b/tests/215.bin differ diff --git a/tests/215.out b/tests/215.out index 4782ff6..0e2784b 100644 --- a/tests/215.out +++ b/tests/215.out @@ -1,32 +1,37 @@ -REG F2EE00FFF2EE63B3 -REG F80000800000907F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 4B6EF40000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000001D2DBBD -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG FFFFFFFF8798FF00 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFBD -REG 00000000000000FF -REG 0000000000000000 -REG 00000000000000FF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000000000634C -REG FFFFFFFF7C07FFFE -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000001D2DBBD -REG 0000000000000000 -REG 0000007870D4C900 -REG FFFFFFFF8798FF00 -REG 00000000DE9E0000 -REG 0000000000000000 -REG 000000011DFC0000 +GPR0 000000000001C020 +GPR1 000000000001C039 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 9FFFFDD79E000017 +GPR6 FFFFFFFFFFD45454 +GPR7 FF8E9D3665FFFF55 +GPR8 0000000000000000 +GPR9 FFFFFFFFE2FFFFC0 +GPR10 007162C99A0000AA +GPR11 FFFFFFFFE2FFFFC0 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000002000000016 +GPR15 FFC863855D990845 +GPR16 FF8E9D566601BFA7 +GPR17 00000000FF9EE731 +GPR18 0000000000000000 +GPR19 FFFFFFFFE2FFFFC1 +GPR20 FFC863855D990845 +GPR21 000000000000000F +GPR22 000000001D000015 +GPR23 007162C99A0000AA +GPR24 FFFFFFFFFFFFFFFF +GPR25 007162C999FE406F +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000000F +GPR29 0000000000000000 +GPR30 0000000000003800 +GPR31 +CR 0000000039055984 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C038 + diff --git a/tests/216.bin b/tests/216.bin index be9ca91..93b2f73 100644 Binary files a/tests/216.bin and b/tests/216.bin differ diff --git a/tests/216.out b/tests/216.out index b4acff8..2ad211b 100644 --- a/tests/216.out +++ b/tests/216.out @@ -1,32 +1,37 @@ -REG 0000006F80004061 -REG 0000000300078000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFF77A6062A -REG FFFFFFFFFFB6062A -REG 0000002007FFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFE229 -REG 0000000000000000 -REG 0000000000000000 -REG 000042C402840040 -REG 0000000000000200 -REG 0000000000000004 -REG 0000221620142002 -REG 000000000000E229 -REG 0000000000000000 -REG 0000000000000000 -REG 6C0C540000000000 -REG 0000000000000000 -REG 0808080808070503 -REG F7F7F7F700205A3C -REG 0000000000000000 -REG 00000000F7D9A4C3 -REG 0000000000000000 -REG 0000000000004061 -REG FFFFFFFFFFFFBFA1 -REG 0000004000000000 -REG 0000000000000000 -REG 0000000040011011 +GPR0 C000000000000000 +GPR1 0000000000000040 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000000010C2 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 3FFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000032040F54 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/217.bin b/tests/217.bin index 201ee63..e0c5417 100644 Binary files a/tests/217.bin and b/tests/217.bin differ diff --git a/tests/217.out b/tests/217.out index 37bd2dc..2fddffa 100644 --- a/tests/217.out +++ b/tests/217.out @@ -1,32 +1,37 @@ -REG 0808083D92C70A09 -REG 0000000000000000 -REG 0000000000000040 -REG 0389D00003800000 -REG 0000000000000000 -REG F7F7F7F7F7F7DA18 -REG 0000000000000000 -REG 0000000008000000 -REG FFFFFFFFFFFFE218 -REG FFFFFFFFFFFFFFBF -REG FFFFFFFFFFFFF564 -REG 0000000000000020 -REG 0000000000000000 -REG 0808080808080800 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFEF080000 -REG 0000000008000000 -REG 0000000000000000 -REG 0404000000000000 -REG 000000000000000F -REG 0000000000000000 -REG 0404000000000000 -REG AC642000A8642000 -REG 000000006D330000 -REG FFFFFFFFFFFFE218 -REG FFFFFFFFFFFFFFFF -REG 0000000000000032 -REG 0000000000000000 -REG 000000000E276EB2 -REG 0000000050910891 +GPR0 FFFFFFFFFFFFFFDE +GPR1 0000100000001000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFDE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000047E9D5DB +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000000001 +GPR14 0000000047E9D5DC +GPR15 00000000E00C3A5D +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000100 +GPR20 0000000047E814BB +GPR21 FFFFFFFFFFFFFFF7 +GPR22 0000000000000002 +GPR23 0000000000000000 +GPR24 000000004F4D0000 +GPR25 000000000001C120 +GPR26 0000000000000020 +GPR27 01FFFFFFFFFFFFFF +GPR28 000000004F4EC020 +GPR29 FFFFFFFFFFFFFFEC +GPR30 FF00000000000000 +GPR31 +CR 0000000039985490 +LR 0000000047EA0002 +CTR 01FFFFFFFFFFFFFF +XER 00000000A0043A5D + diff --git a/tests/218.bin b/tests/218.bin index 0169012..727ce1b 100644 Binary files a/tests/218.bin and b/tests/218.bin differ diff --git a/tests/218.out b/tests/218.out index 07c466a..bf32607 100644 --- a/tests/218.out +++ b/tests/218.out @@ -1,32 +1,37 @@ -REG FFFFFFFFE8080808 -REG 0000000000000000 -REG 00FFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000008DDC0000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG C7D83FFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000000 -REG 00003FFC24C0003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000001B6A0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000000D -REG 000000007F800000 -REG 0007802000078000 -REG 0000000020000000 -REG 0007802000078000 -REG 0001FFFFC0000001 -REG 0000000000000000 -REG 000000008000005A +GPR0 0000000003FFF800 +GPR1 0000000000000000 +GPR2 00000000000007F0 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 000000002CE6EDC0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 00000000001FEFFE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000030 +GPR31 +CR 0000000035004500 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/219.bin b/tests/219.bin index 93617bc..a03e72b 100644 Binary files a/tests/219.bin and b/tests/219.bin differ diff --git a/tests/219.out b/tests/219.out index be2efcb..9de2356 100644 --- a/tests/219.out +++ b/tests/219.out @@ -1,32 +1,37 @@ -REG 000000000000AF28 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 000000000000005A -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000000AF32 -REG 000000000000AF12 -REG FFFFFFFFFFFFFFBF -REG 0000000077C90000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001FFFFFFF -REG 0000000020000000 -REG 00000000B8FE35E8 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00015E6400015E60 -REG 0000000000000000 -REG 0000000000000000 -REG FF80000EC3A2FFF6 -REG 0000000004F70000 -REG 00000000900F8C6A +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 0000000000000002 +GPR3 000000000F06ED38 +GPR4 00000000000161B2 +GPR5 F7F8F8F7F8FFFBF6 +GPR6 0000000000000000 +GPR7 000000000783769C +GPR8 0000000000000000 +GPR9 4000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000002 +GPR12 000000000001C020 +GPR13 0000000000000002 +GPR14 0000000000008006 +GPR15 00000000390D0FD0 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 000000000001C00C +GPR20 FFFFFFFFFFFE3FE0 +GPR21 000000000001C01F +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFC020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000003FFFFF +GPR29 000000000000B5A1 +GPR30 0000000000007008 +GPR31 +CR 00000000990D0FD0 +LR FFFFFFFF07FE4A96 +CTR 0000000000000000 +XER 00000000A0057FDF + diff --git a/tests/22.bin b/tests/22.bin index b4fe984..d15c28a 100644 Binary files a/tests/22.bin and b/tests/22.bin differ diff --git a/tests/22.out b/tests/22.out index 5b5c4c3..391e37b 100644 --- a/tests/22.out +++ b/tests/22.out @@ -1,32 +1,37 @@ -REG FD641220FFFFFFE8 -REG 0000000000000000 -REG 0000000000000001 -REG 02A0000000000000 -REG 0000000000000000 -REG 0000000000006A04 -REG 0000000000000000 -REG 00000000000072B3 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000020000 -REG FFFFFFFFFFFFF111 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000000078E5 -REG 0000000000000000 -REG FFFFFFFFFFFFD87A -REG FFFFFFFFFFFFFFFF -REG 0000000000370000 -REG 0000000000004DEA -REG 111FFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 02A0000000000000 -REG 0000000081108108 +GPR0 000000001F720001 +GPR1 0000000000004015 +GPR2 000000001F720000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000007400 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFF00 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000001F720000 +GPR11 FFFFFFFFFFFFFFFC +GPR12 0000000000000000 +GPR13 00000000000073C7 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000003E +GPR17 FFFFFFFFFFF6FFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000002 +GPR21 0000000000000000 +GPR22 000000000000002B +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 0000000000000000 +GPR26 00000000923935F0 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000001005 +GPR30 000000000001C020 +GPR31 +CR 0000000038008502 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A007EFE3 + diff --git a/tests/220.bin b/tests/220.bin index dc0c038..e83883a 100644 Binary files a/tests/220.bin and b/tests/220.bin differ diff --git a/tests/220.out b/tests/220.out index 5938977..3756b15 100644 --- a/tests/220.out +++ b/tests/220.out @@ -1,32 +1,37 @@ -REG FFFFDFDF571C8F0D -REG 0000000000000000 -REG 00002020A8E3CB24 -REG 0000000000000000 -REG 000000000000000E -REG FFFFDFDF7FFFBE30 -REG FFFFDFDF571C37DC -REG FFFFFFFFFFFFFFFF -REG 00000000000F9FFD -REG 00002020A8E3CB25 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000002000000 -REG 0000000001000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000007BFF5DD7 -REG 0000000048E74408 -REG FFFFFFFFFFFFFFF1 -REG 0000001C9E000000 -REG 0000000000002E58 -REG FFFFDFDF571C34DC -REG FFFFDFDF3E78BE30 -REG FFFFFFFFD71BBBF8 -REG 000000000000007C -REG 0000000000000000 -REG F820FFFFFE79FFFF -REG FFFFFFFFFFF06001 -REG 0000000000000000 -REG 0E2BFEFC5EFC000E -REG 00000001110E8890 +GPR0 00000000000001FF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000030955009 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000010000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 7200000240000002 +GPR16 0000000015FA0000 +GPR17 0000000000000000 +GPR18 0000000000000272 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 720000023FFFD0FF +GPR23 03FFFFFFFFFFFFFF +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000000CCED +GPR31 +CR 0000000035955009 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFC0 + diff --git a/tests/221.bin b/tests/221.bin index 15a4825..b623e2b 100644 Binary files a/tests/221.bin and b/tests/221.bin differ diff --git a/tests/221.out b/tests/221.out index 6bb4c7f..8be2967 100644 --- a/tests/221.out +++ b/tests/221.out @@ -1,32 +1,37 @@ -REG 0000000002065574 -REG FFFFFFFFFFFFAA8B -REG 0000000000000009 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000060001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFF00FF00 -REG 0000000000000000 -REG 0000000000000000 -REG FF93800000000000 -REG 000000000000000E -REG 0000000000000000 -REG FFFFFFFFFFFDFFFE -REG 0000000000000000 -REG 000000000002563C -REG FFFFFFFFFF00FF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFDA9CD -REG 0000000010ED0000 -REG 0000000011EC0100 -REG 0000000000FF0100 -REG 0000000000000000 -REG FFFFFFFFFF00FF00 -REG 0000000000000000 -REG 0000000000060000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000101101100 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFEF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000001700000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0808080808080804 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000001700000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0808080808080804 +GPR16 0000000000000000 +GPR17 0000000000000005 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFE8FFFFFFFF +GPR21 0000000000000005 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000001700000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFEC3F +GPR29 00000000A27F0000 +GPR30 FFFFFFFFFFFFFFE8 +GPR31 +CR 00000000351BB4C3 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/222.bin b/tests/222.bin index e0e6258..073427b 100644 Binary files a/tests/222.bin and b/tests/222.bin differ diff --git a/tests/222.out b/tests/222.out index fae7046..0bca11f 100644 --- a/tests/222.out +++ b/tests/222.out @@ -1,32 +1,37 @@ -REG 00013048E8F27500 -REG FFFFFFFF255B0020 -REG 0000000000000000 -REG 00000000001B0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFE5 -REG 0801000000000400 -REG 0000000000000000 -REG 0000002000000009 -REG FFFFFFFFFFFFFFC5 -REG FFFFFFFFFFFFFFC5 -REG FFFFFFFFFF3BDFFD -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF4892 -REG 0000000000000621 -REG 0001304817168AFF -REG 000000000000000B -REG 00000000DAA4FFC5 -REG FFFFFFFFFFE4FFFF -REG 0000000000000000 -REG FFFFFFFF828EFFFF -REG 0000000000005000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005FFFFF00 +GPR0 00000000001DC198 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFCE42 +GPR3 00000000001DC198 +GPR4 0000000000000000 +GPR5 FFFFFC8A49189E7C +GPR6 00000000001DC196 +GPR7 FFFFFFFC00000CCD +GPR8 000000000000002B +GPR9 0000002C0000002C +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFBEC2 +GPR12 000000000000FFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000303 +GPR17 FFFFFFFFFFFFFFFE +GPR18 FFFFFFFFFFE33BFB +GPR19 0000000000000000 +GPR20 000000000000002D +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0019E91B2B86C15A +GPR26 000000001B2E8350 +GPR27 FFFFFFFFFFFFFFFE +GPR28 FFFFFFFBFFFFFFFB +GPR29 0000002C0000002C +GPR30 0000000000000000 +GPR31 +CR 000000003D073F51 +LR 00000000FFFFFE00 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/223.bin b/tests/223.bin index 66630bb..4eb79fb 100644 Binary files a/tests/223.bin and b/tests/223.bin differ diff --git a/tests/223.out b/tests/223.out index 6cb3e16..de426d2 100644 --- a/tests/223.out +++ b/tests/223.out @@ -1,32 +1,37 @@ -REG 00000000A966007D -REG 000000000028FC7D -REG 00000000A966005A -REG FFFFFFFFFFFF5CFE -REG 000000000000A301 -REG FFFFFFFFFFFFFF81 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG FFFFFFFFFFFFFFF8 -REG 0000000000000003 -REG 0000000000000000 -REG 00000000000001FA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000002900000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 000000000000007E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A9660000 -REG 0000000020000000 -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFD47B3FFF -REG 0000000100886088 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000080000000 +GPR7 0000000000000001 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000001C036 +GPR10 0000000000000000 +GPR11 FFFFFFFF000151A5 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000000151A7 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 FFFFFFFE00000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000002 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000001F +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 0000000039D519F8 +LR 0000000000000000 +CTR 0000000000000001 +XER 00000000E00C0000 + diff --git a/tests/224.bin b/tests/224.bin index 64dd228..46b894c 100644 Binary files a/tests/224.bin and b/tests/224.bin differ diff --git a/tests/224.out b/tests/224.out index 38aecd9..6a903f1 100644 --- a/tests/224.out +++ b/tests/224.out @@ -1,32 +1,37 @@ -REG 0005002502BD5BF1 -REG 0000000000000001 -REG FFFFFFFAF800003A -REG 7FFFFFFF7FE03FFF -REG 0000002000000000 -REG FFFFFFFFFFFFFFFA -REG 0000000000028000 -REG 0000000000FFFFFF -REG FFFFFFEBFFFFFFFB -REG 0002800000000FF8 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF7F -REG FFFFFFFFFFFFFFFA -REG 0000000000028000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000080 -REG 000000007ABFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFF79E -REG 80000000801FC080 -REG 0000000000000005 -REG FFFAFFFFC0000000 -REG 0000000000003FFF -REG FFFFFFFFFFFFFFFA -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFD7F -REG 0000000000003FFE -REG 00002D0800000000 -REG FFFFFFFFE14FFF53 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000090108808 +GPR0 00000000001AD982 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000FFFFF6AA +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000FBA +GPR13 0000000000000000 +GPR14 FFFFFFFF00000956 +GPR15 0000000000000001 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFC00000001F +GPR19 0000000000000000 +GPR20 0093B3650093B365 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 D5400000001FFFFE +GPR24 0000000024ECD95A +GPR25 0000000000000000 +GPR26 0000000000000FBA +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 000000000000002F +GPR31 +CR 000000004000C420 +LR C7FBFFFFC000003F +CTR 0000000000009B9E +XER 0000000000000000 + diff --git a/tests/225.bin b/tests/225.bin index 5a4b686..ca83b03 100644 Binary files a/tests/225.bin and b/tests/225.bin differ diff --git a/tests/225.out b/tests/225.out index a141723..53e4925 100644 --- a/tests/225.out +++ b/tests/225.out @@ -1,32 +1,37 @@ -REG A57FFFE4FFE2FFF2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000400024F8 -REG 0000000000000000 -REG 0000000000000018 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000DC0000000000 -REG 000000000000001B -REG 000000000000297E -REG A57FFFFFFFE32004 -REG FFFFFFFFFFFFC3FC -REG 0000000000000000 -REG FFFFFFFFFF880000 -REG 00000000400024F8 -REG 0000000000000000 -REG 00000000408049F1 +GPR0 0000000000000000 +GPR1 000000000001C01E +GPR2 FF0100006FFFFAD9 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0007FFFFFFFC0000 +GPR6 FF0100006FFFFADB +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00FEFFFF90000526 +GPR14 0000000000005243 +GPR15 0000000000000000 +GPR16 FFFFFFFF7FFFFFFF +GPR17 00FEFFFF90000525 +GPR18 000000000000022C +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFF7FFFFFFF +GPR27 0000000030000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000030000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030085949 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/226.bin b/tests/226.bin index ac6fd8a..2e608ed 100644 Binary files a/tests/226.bin and b/tests/226.bin differ diff --git a/tests/226.out b/tests/226.out index bfb295a..986ac0b 100644 --- a/tests/226.out +++ b/tests/226.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFF0FDFF8 -REG 0000000000000000 -REG FFFFFCF957FFB4F8 -REG FFFEFFC7FF000001 -REG 0000000000121831 -REG 0000000000000000 -REG 093D1F8000002007 -REG FFFFFCF957FF5D2F -REG FFFFFFFFFFFFFFFF -REG 5D2F57FF5D0017FF -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFEDE7CF -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A800A2D0 -REG 0000000000000000 -REG 00000000FFFFFFFE -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 00000E0000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000005AFF -REG 00000306A800A2D0 -REG FFFFFFFFFFFFDFF8 -REG 00000000FFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000111E9F118 +GPR0 0000000000000019 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 01330FFE0000001E +GPR13 01330FFE0000001D +GPR14 0000000000000000 +GPR15 000000000001C004 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFC1 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFC0 +GPR22 FFFFFFFFFFFFFF82 +GPR23 0000000000040101 +GPR24 0000000000000040 +GPR25 FFFFFFFFFFFFFFAA +GPR26 0000000000000055 +GPR27 000000000001C01F +GPR28 0000000000000055 +GPR29 086D905447A34ACC +GPR30 0000000000000000 +GPR31 +CR 0000000089800050 +LR 0000000000000000 +CTR 0000000000000040 +XER 0000000020040055 + diff --git a/tests/227.bin b/tests/227.bin index abe756f..6d7e508 100644 Binary files a/tests/227.bin and b/tests/227.bin differ diff --git a/tests/227.out b/tests/227.out index 1533a20..ad784be 100644 --- a/tests/227.out +++ b/tests/227.out @@ -1,32 +1,37 @@ -REG 000000008080A7C3 -REG 0000000000000000 -REG 00002E1515159E9B -REG 0000000000000000 -REG 00400000C4C50DA3 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0040000000000DA3 -REG FFFFFBEFFF8006CB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 020E0C0000000200 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFD1EAEAEA6164 -REG 0000041000000004 -REG 0000000101009101 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFF08080806 +GPR4 FFFFFFFFE562FF48 +GPR5 0000000000000000 +GPR6 000000000000000B +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFF837FFFFE +GPR12 0000000000000001 +GPR13 8F9D00B91A9D00B8 +GPR14 0000000000000000 +GPR15 8000000000000000 +GPR16 FFFFC00000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 8F9D00B91A9D00B8 +GPR23 0000000000000001 +GPR24 FFFFFFFFFFFFFFF6 +GPR25 0000000000000000 +GPR26 F06EB407F06EB007 +GPR27 FFFFFFFFFFFFFFF5 +GPR28 0000000000000000 +GPR29 0808080808080808 +GPR30 0000000000000000 +GPR31 +CR 0000000039E0F0D5 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFF5 +XER 000000008000982E + diff --git a/tests/228.bin b/tests/228.bin index 34af810..db1729d 100644 Binary files a/tests/228.bin and b/tests/228.bin differ diff --git a/tests/228.out b/tests/228.out index fb71840..be2424f 100644 --- a/tests/228.out +++ b/tests/228.out @@ -1,32 +1,37 @@ -REG BFF000000955560A -REG 0000000000000000 -REG 00000000FFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000B7EF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFF940 -REG FFFFFFFFFFFFFFFF -REG BAD0000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000020084FEF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001400000 -REG 0000000040909FDE +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000004E55 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFAF48A +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000003 +GPR20 0000000000000000 +GPR21 FFFFFFFFFF000000 +GPR22 00000000000025C6 +GPR23 0000000000000000 +GPR24 000000000001C01F +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000080D52C75 +LR 000000000000000C +CTR FFFFFFFFFC000000 +XER 0000000000000000 + diff --git a/tests/229.bin b/tests/229.bin index 4f48524..1e71beb 100644 Binary files a/tests/229.bin and b/tests/229.bin differ diff --git a/tests/229.out b/tests/229.out index 74698c5..71b362f 100644 --- a/tests/229.out +++ b/tests/229.out @@ -1,32 +1,37 @@ -REG 00FFFFFF4B5E9000 -REG 000000005EEB0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000007CB1 -REG FFFFFFFFC0000000 -REG 0000000000007CB1 -REG 0000000000007CB1 -REG 0000000000007CB1 -REG 00FFFFFF4B5E9000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFC74E -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9064 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000001000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FFFFFFF -REG 0000000000000000 -REG 00FFFFFF4B5EFCB1 -REG 0000000000007CB1 -REG FFFFFFFFA114FFFF -REG 0000000048009009 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFF0 +GPR8 0000000000000000 +GPR9 F2E9C2CA82E782AF +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFF0 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000005 +GPR17 000000000000001F +GPR18 E5D3859505CB851E +GPR19 F2E9C2CA82E782AF +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 FFFFFFF0FFFF8FF0 +GPR23 0000000000248FAD +GPR24 0000000000000000 +GPR25 000000008003FFFD +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFF2A6 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059E1959B +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFD + diff --git a/tests/23.bin b/tests/23.bin index 7ba8d1a..fd647db 100644 Binary files a/tests/23.bin and b/tests/23.bin differ diff --git a/tests/23.out b/tests/23.out index 675ed87..6f0d587 100644 --- a/tests/23.out +++ b/tests/23.out @@ -1,32 +1,37 @@ -REG FFFEFFF3FFFEFFF3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG FFFC00001A150000 -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000800000 -REG 0000002000000020 -REG FFFC000000010000 -REG 0000000000000000 -REG 0002FD1840F9A237 -REG 0002FD1840F8FFFF -REG FFFFFFFFFFFF14CC -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000028F8FFFF -REG 0003FFFFFFFF0000 -REG 000003FFFFFFFFFF -REG 0000000000020000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG FFFC000000010000 -REG 0000000000000000 -REG 000428F8FFFF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000030 -REG 0000000000000000 -REG 0000000111100111 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000923EB260F911 +GPR3 FFFFFFFFFFF472B7 +GPR4 00000000000B8D48 +GPR5 00000000000001FF +GPR6 0000000000000000 +GPR7 0000015142C01000 +GPR8 0000000000000000 +GPR9 0000000000006800 +GPR10 0000000000000040 +GPR11 000000000000B540 +GPR12 0000015142C01001 +GPR13 00000000FFFFFFFF +GPR14 4000000000000000 +GPR15 0000000000000000 +GPR16 00000063D6267CE8 +GPR17 0000000000005AA0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000006800 +GPR21 0000000000000177 +GPR22 0000000000000000 +GPR23 0000000000000008 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 FFFFFEAEBD3FEFFF +GPR29 0000000000000003 +GPR30 0000000000000000 +GPR31 +CR 000000005909D101 +LR 0000000000000000 +CTR 0000000000000003 +XER 0000000080000000 + diff --git a/tests/230.bin b/tests/230.bin index 79813e6..5e419e2 100644 Binary files a/tests/230.bin and b/tests/230.bin differ diff --git a/tests/230.out b/tests/230.out index ff47505..2d2fcf3 100644 --- a/tests/230.out +++ b/tests/230.out @@ -1,32 +1,37 @@ -REG FFFFFFFF090CFFE4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000002B -REG 000000002034BFFB -REG FFFFFFFFFFFFFFFF -REG 000000000000002B -REG 0000000000F6F300 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC440FF42 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000DE2 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFBF6CBE3B -REG 00000000F6F30020 -REG FFFFFFFFFFFFFFD8 -REG 0000000015800000 -REG 0000000000000028 -REG 00000000007FFFFF -REG 0000000000000000 -REG 3BBF6CBE3BBF00BE -REG 000000002034BFFB -REG 0000000000000000 -REG 000000004034BFFB -REG 000000000000003A -REG FFFFFFFFFFFFFFE6 -REG 0000000000000061 -REG 0000000015800000 -REG 0000000000000000 -REG 0000000104697FF7 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFF00 +GPR4 0000000000000000 +GPR5 00000000B12A0000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000005 +GPR9 0000000000000020 +GPR10 FFFFFFFFFFFF96C4 +GPR11 0000000000000015 +GPR12 C555580000000000 +GPR13 00000000FFF8AAAB +GPR14 3AAAA7FFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFD +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFDF +GPR20 FFFFFFFFFFFFFFE9 +GPR21 FFFFFFFFFFFF96C4 +GPR22 6AAAAAAAAAAAAAAA +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFF96C4 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000016 +GPR29 000000009E040F00 +GPR30 FFFFFFFFFFFFFFDF +GPR31 +CR 000000005E040F02 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C00B0001 + diff --git a/tests/231.bin b/tests/231.bin index 81a3046..18dd80a 100644 Binary files a/tests/231.bin and b/tests/231.bin differ diff --git a/tests/231.out b/tests/231.out index e2a55ae..5b07e4b 100644 --- a/tests/231.out +++ b/tests/231.out @@ -1,32 +1,37 @@ -REG 0000000004008061 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG FFFFFFFFFFFFFE85 -REG FFFFFFFFDBDFCE0C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0A60619C0A60619C -REG FFFFFFFFFFFFFFFF -REG 00000000000000C0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005E8CFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000010042400 -REG FFFFFFFFFFFF00FF -REG 0000000000008000 -REG 0DD5D37C0DD5D77B -REG 1E3232201E323DD1 -REG 0000000000008000 -REG FFFFFA1734000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG FC0FFFFFC0000000 -REG 0DD5D37C0DD5D77A -REG 0000000000000006 -REG 000000009E001002 +GPR0 00000000FFFFFFFE +GPR1 D70FFFFFD70FFFF9 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 FFFFFFFFC13D0000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000FFFFFFFE +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000003EC30000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000003FFFFF8 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 000000000001C02C +GPR29 00000000A0040000 +GPR30 FFFFFFFFAE1FFFFF +GPR31 +CR 0000000034549005 +LR 0000000000000040 +CTR 000000003EC30000 +XER 0000000080000000 + diff --git a/tests/232.bin b/tests/232.bin index e822c71..6f41a8d 100644 Binary files a/tests/232.bin and b/tests/232.bin differ diff --git a/tests/232.out b/tests/232.out index 4cb3a6b..f602b84 100644 --- a/tests/232.out +++ b/tests/232.out @@ -1,32 +1,37 @@ -REG 0002003FBE1DEE3F -REG FFFFFFFFE1E0001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFE1E0001F -REG 0000000000000000 -REG FFFFFFFFE1E0001F -REG 0000003FBFFFEE3F -REG FFFFFFFFE1E0001F -REG 0000000000000040 -REG FFFFFFFFEE1FFFE1 -REG 0470100000000000 -REG FFFFFFDFFFFFFFDF -REG 0000000000000000 -REG FFFFFFBF00000000 -REG 0000000000000000 -REG 0000000000000004 -REG FFFDFFFFFE1DDD26 -REG FFFFFFBF000085E5 -REG FFFFFFFFFFFFC0BE -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000003F81 -REG 0000000000003F81 -REG 0000000000000000 -REG 0000000000000040 -REG 000000001E204701 -REG FFFFFFFFE1F6001F -REG 0000000000000000 -REG 000000005E89E05E +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000097B425393D +GPR5 0000000000000000 +GPR6 0000000000000002 +GPR7 000000000001C020 +GPR8 FFFFFFFFFFFFDF38 +GPR9 00000000A60C0000 +GPR10 00000000C0000000 +GPR11 0000000000000000 +GPR12 00000097B4253947 +GPR13 0000000000000040 +GPR14 0000000000000014 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFF8FF8FFFF8FF8 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000093D +GPR25 FFFFFFFFFFFFFFB8 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFF3FFF +GPR30 000000000001C005 +GPR31 +CR 0000000031999553 +LR 00000000C0000000 +CTR 000000006EE01BB7 +XER 0000000080000000 + diff --git a/tests/233.bin b/tests/233.bin index 18b085e..92fd1b1 100644 Binary files a/tests/233.bin and b/tests/233.bin differ diff --git a/tests/233.out b/tests/233.out index 21bc160..192b31d 100644 --- a/tests/233.out +++ b/tests/233.out @@ -1,32 +1,37 @@ -REG 0000000080027F3C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000008 -REG 000000000000003C -REG 0000000000000000 -REG FFFFFFFFFFFFE3F3 -REG 0000000000000000 -REG FFFFFFFCFFFFFFFC -REG 0000000080020000 -REG FFDE00007FE1FFFF -REG FFFFFFFFFFFFE3F3 -REG FFFFFFFFE0003FFF -REG FFFFFFF8FFFFFFE9 -REG 0000000000000008 -REG EBFC000000000000 -REG 0000000000000000 -REG 000000001FFFC000 -REG 0000000044000000 -REG 0000000000000008 -REG 0000000000000011 -REG 0000000080020000 -REG 000000008001BF3C -REG 000000000000001C -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003B -REG 0000000000000000 -REG EBFC000000000000 -REG 0000000000000000 -REG FFFFFFFF7EFEFFFF -REG 0000000040448011 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0AB7F5840AB7F584 +GPR11 000000000000263E +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 00000000000000FF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFF00 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000034620000 +GPR31 +CR 0000000030050090 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040040 + diff --git a/tests/234.bin b/tests/234.bin index 9135db0..1160354 100644 Binary files a/tests/234.bin and b/tests/234.bin differ diff --git a/tests/234.out b/tests/234.out index bab4532..6017291 100644 --- a/tests/234.out +++ b/tests/234.out @@ -1,32 +1,37 @@ -REG 001FCA124D64411E -REG FFFFF723FFFEAF73 -REG FFE04001DD891B5A -REG 0000000000000000 -REG 0000000000000068 -REG 0000000000000000 -REG 000000FC000000FC -REG FFFFFF03FFFFDA9B -REG 0000000000000000 -REG FFE0400000001B65 -REG FFE0400062785CFC -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 000000FC00002DC5 -REG FFFFFFFFC0000008 -REG 0000000000000000 -REG FFFFFF03FFFFFF03 -REG 0000000000000000 -REG 000000003FFFFFF8 -REG 000000003FFFFFF8 -REG FFE0400100001B5A -REG 0000000000000068 -REG FFFFF723FFFEAF73 -REG 03F00000B7175800 -REG FFE0400062780B68 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFC0FF000000 -REG 0000003F00FFFFFF -REG 000000062785CFC0 -REG 0000000100011E99 +GPR0 0000000030F19805 +GPR1 00000000FFFFFFD0 +GPR2 000000000006C000 +GPR3 1DC3100000000000 +GPR4 3FDF10007FFFFFFE +GPR5 0000000000000000 +GPR6 00000000C0080040 +GPR7 0000000000000020 +GPR8 0000000000000040 +GPR9 FFFC3EFFFF000000 +GPR10 FFFFFFFFFFFFDEC6 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000003C0FFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000061E32354 +GPR19 0000000000001C00 +GPR20 0000000000000000 +GPR21 FFFFFFFFFC3EF6C3 +GPR22 FFFFFFFFFC3EFFFF +GPR23 30F191AA30F191AA +GPR24 E23CF00090F19805 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFDEC6 +GPR28 0000000030F19805 +GPR29 0000000001000000 +GPR30 FFFFAAE72C5C7FC0 +GPR31 +CR 0000000030E30055 +LR 0000000000000001 +CTR FFFFFFFFFFFFDEC6 +XER 0000000080000000 + diff --git a/tests/235.bin b/tests/235.bin index 8abf26c..90cee2e 100644 Binary files a/tests/235.bin and b/tests/235.bin differ diff --git a/tests/235.out b/tests/235.out index 895aaaa..0bdb3e2 100644 --- a/tests/235.out +++ b/tests/235.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFF9 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000001EC9 -REG 0000000000000AD1 -REG 0000000000000040 -REG 000000000000174F -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000001EC8 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000001749 -REG 0008000000000000 -REG E357FFFFEBF778A4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC1 -REG 0000000000000000 -REG E357FFFFEBF79D43 -REG 00000000F0AB0000 -REG 1CA80000140862BD -REG 0000000000000000 -REG FFFFFFFFFC000862 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG 000000000007FFFF -REG 0000000000000000 -REG FFFFFFFFFC000862 -REG 000000009F1F109E +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 C0000003C0000003 +GPR4 0000000000000000 +GPR5 00000007D0000320 +GPR6 FFFFFFFFFFFFFFBF +GPR7 0000000000000000 +GPR8 0000000024000000 +GPR9 00000078C4AB0078 +GPR10 0000000000000078 +GPR11 0000000000064000 +GPR12 FFFFFFFFC00C0837 +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000133013 +GPR18 FFFFFFFFFFFE3FDF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000133333 +GPR22 0000000000000000 +GPR23 0000000000000019 +GPR24 0000007800000078 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000000F +GPR28 000000000000001F +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000054005519 +LR 0000000000000000 +CTR 0000000024000000 +XER 00000000C0080000 + diff --git a/tests/236.bin b/tests/236.bin index ed1ad5b..f84b991 100644 Binary files a/tests/236.bin and b/tests/236.bin differ diff --git a/tests/236.out b/tests/236.out index 93295d5..f59f7d8 100644 --- a/tests/236.out +++ b/tests/236.out @@ -1,32 +1,37 @@ -REG 800000000000C634 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFFFFFFFFF -REG 000000000000FFF5 -REG 0000000000000000 -REG 0000000000000806 -REG 7FFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFFFFF93C -REG 0000000000000000 -REG 80000000000039C0 -REG 0000000000000000 -REG 0000000000001200 -REG 000000000000FFF4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000463E -REG 0000000000000000 -REG FFFFFFFFFFFFC63E -REG 000000000000FFF5 -REG FFFFFFFFFFFF7729 -REG 8000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040900901 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 000000000000D668 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000080000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFD4B3FFFF +GPR12 0000000000000020 +GPR13 FFFFFFFFD4B40000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFD +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFB43596800000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000091000034 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFDF +GPR31 +CR 0000000031005034 +LR 0000000000000000 +CTR 000000005A54562A +XER 00000000C0080000 + diff --git a/tests/237.bin b/tests/237.bin index 66bd30e..e48cad3 100644 Binary files a/tests/237.bin and b/tests/237.bin differ diff --git a/tests/237.out b/tests/237.out index 91ef17a..c3d426b 100644 --- a/tests/237.out +++ b/tests/237.out @@ -1,32 +1,37 @@ -REG 01000000000082C4 -REG FFFFFFFFFFFFE139 -REG 000000000000E3F1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFDFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000045B0E139 -REG 01000000000005B8 -REG 0000000000000031 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDD4B2400 -REG 0000000020000000 -REG 010000000000D5CC -REG 0000000000000000 -REG 0000000022BAE284 -REG 0000000000000302 -REG FFFFFFFFE6E4534E -REG 0526B7B92CCAC000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 004F75259A5DF720 -REG 0100000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100081000 +GPR0 000000009003804D +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000613F71C70080 +GPR3 0000000000000000 +GPR4 0000613F71C70080 +GPR5 0000000000000000 +GPR6 0000000300000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFC8727467 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000613F71C70080 +GPR12 0000000000000006 +GPR13 000000000001C020 +GPR14 000000000001C038 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 FFFFFFFFC871FFF9 +GPR19 0000000000000002 +GPR20 FFFFFFFFFFFE3FDF +GPR21 00000000378E0004 +GPR22 000000000000001F +GPR23 FFFFFFFFFFFE3FDE +GPR24 0000000000000020 +GPR25 000000000000CA9A +GPR26 000000000071C700 +GPR27 FFFFFFFFFFFE3FDE +GPR28 0000000000000006 +GPR29 FFFFFFFFFFFC7FB4 +GPR30 00000000378D8B98 +GPR31 +CR 0000000030000005 +LR 0000000000000002 +CTR 0000000000000040 +XER 00000000E00C0000 + diff --git a/tests/238.bin b/tests/238.bin index 1dd9288..946a6bc 100644 Binary files a/tests/238.bin and b/tests/238.bin differ diff --git a/tests/238.out b/tests/238.out index a8abaf5..e70894b 100644 --- a/tests/238.out +++ b/tests/238.out @@ -1,32 +1,37 @@ -REG F4C7D7F7DC05649B -REG FFFFFFDFFFFFFFD3 -REG FFFFFFFFFFFFFFA7 -REG 0000000000006312 -REG 03FCB00003FCB000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFFFA3 -REG 3FFFF41000006E10 -REG 7FFFFFFFFFFFFFF4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 000000033000003A -REG F7F7F7F7F9FA9B7B -REG 0000000000000000 -REG 0000FF00FC000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFF83 -REG FFFFFFFFFFFFFFA3 -REG 0000000100000000 -REG C00000EF03FF91EF -REG 0000000000000000 -REG F2C0000000000000 -REG 0000FF00FC000000 -REG 000000013FCB0000 -REG 0000000000000020 -REG 000000000000000C -REG 0000000100108804 +GPR0 FFFFFFFFFFFFFFFF +GPR1 00000FFFFFFFFFFE +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000004000 +GPR4 0000000000000000 +GPR5 0000000000100000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 1000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000100000 +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 0000000000000A00 +GPR18 0000000000000040 +GPR19 0000001F0000001F +GPR20 0000000000000050 +GPR21 00000FFFFFFFFFFE +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000050 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFF5FF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050098025 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/239.bin b/tests/239.bin index 31d9e72..fd825d3 100644 Binary files a/tests/239.bin and b/tests/239.bin differ diff --git a/tests/239.out b/tests/239.out index 13632e7..b2fe4a9 100644 --- a/tests/239.out +++ b/tests/239.out @@ -1,32 +1,37 @@ -REG 0000000000000840 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF800000103A5 -REG 5000052C5105852C -REG 0000000000000000 -REG 0000000068FA574D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000840 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000840 -REG 0000000000000840 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000007FFFFFEFC5B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF9705A8B2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041FE891F +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000010202 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000080000 +GPR7 00000050FFFE31CD +GPR8 0000000000000000 +GPR9 00000050FFFE31CD +GPR10 0000000000000000 +GPR11 000000007E100000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFDE +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 000000000001C018 +GPR21 FFFEDB7D626D034E +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005050AAC7 +LR 00000050FFFE31CC +CTR 0000000000008000 +XER 00000000C0080000 + diff --git a/tests/24.bin b/tests/24.bin index 1649ce2..ec5080d 100644 Binary files a/tests/24.bin and b/tests/24.bin differ diff --git a/tests/24.out b/tests/24.out index 10a6763..1af9ffa 100644 --- a/tests/24.out +++ b/tests/24.out @@ -1,32 +1,37 @@ -REG 0000000001FB926B -REG FFFFFFFFE2F80006 -REG 001389901D17FFFB -REG 00000000007FC000 -REG FFFFFFFFFFFFFFE3 -REG FFFFFFFFA9740000 -REG 0000000000000000 -REG 000000000000009C -REG 001389901D180009 -REG 0000000000000001 -REG 0000000000000000 -REG 07FE445007FC0010 -REG 0000000100000001 -REG 0000000008000000 -REG 000000001D003E30 -REG 00000000007FC000 -REG 0000000000000000 -REG 0000000100000001 -REG 4200000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000000E -REG 0000000000000000 -REG FFFFFFFFE2F80006 -REG 0000000000000000 -REG FFFFFFC7FFFC7FC7 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000041000001 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 00000000200C0000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFA0E7 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000006618 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFD591 +GPR24 0000000000000700 +GPR25 FFFFFFFFFFFF99E7 +GPR26 0000000000000700 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039957E30 +LR 0000000000000700 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/240.bin b/tests/240.bin index 1715a48..59f536d 100644 Binary files a/tests/240.bin and b/tests/240.bin differ diff --git a/tests/240.out b/tests/240.out index b9a4466..53ed155 100644 --- a/tests/240.out +++ b/tests/240.out @@ -1,32 +1,37 @@ -REG EFFFFFFFF9417ABD -REG EFFFFFFFF3516AFD -REG 0000001C0000001C -REG 0000007FFFCD45AB -REG 0003800000000007 -REG FFFFFFFFFFFFFE5F -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000011E3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000044408884 -REG 0000000000001A7E -REG 000000000000000C -REG 00000000000001A4 -REG FFFFFFFFFFFFEE28 -REG 0000000003000000 -REG FFFFFFFFFFFFFFEB -REG FFFFFFFFFFD8F871 -REG FFFE0D7519F05FD3 -REG 0000000000000000 -REG 0001F28AE60FB47C -REG FFFFFFFFFFFFFFFF -REG 00000000FFF56C1F -REG FFFFFFFFAB60FAEF -REG 0000007FFFCD45AB -REG 00000000000E6657 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFE3FFFFFFE4 -REG 000000000000000F -REG 0000000088811108 +GPR0 0000000000000000 +GPR1 0000000000007657 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFD2A1 +GPR4 0000000000000000 +GPR5 0808080808080808 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 0000000000000002 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000050000000 +GPR17 0000000000000001 +GPR18 200000002908D2A2 +GPR19 FFFFFFFFFFFF89A9 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF89A8 +GPR22 0000000000000000 +GPR23 0000000000002D5E +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000008 +GPR29 FFFFFFFFFFFFE3B8 +GPR30 0000000000000000 +GPR31 +CR 0000000055088150 +LR 0000000000000000 +CTR 000000000000001F +XER 00000000A007FFFF + diff --git a/tests/241.bin b/tests/241.bin index 4d64c5b..21014d1 100644 Binary files a/tests/241.bin and b/tests/241.bin differ diff --git a/tests/241.out b/tests/241.out index bd48976..9541b87 100644 --- a/tests/241.out +++ b/tests/241.out @@ -1,32 +1,37 @@ -REG FFFFFFEB023FFFE0 -REG 0000000000000000 -REG 000000001FC00000 -REG 0000000000000000 -REG FF0000000000511A -REG 00FFFFFFFFFFAEE6 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG C0000000000FFFF0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG 000000000BFDFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000FFFF0 -REG 0000000000008008 -REG 0000000000000000 -REG 000000000007FFE0 -REG FF0000000000511A -REG FFC000008000003F -REG 0000000000008007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000FFFF0 -REG FFFFFFFFFFFFFFFF -REG 0000000040110900 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 BC0200000001C002 +GPR4 0000000000000000 +GPR5 0000000000007DFF +GPR6 0000000031010107 +GPR7 000000008D540002 +GPR8 0000000000000000 +GPR9 FFFFFFF7FFF0FFF7 +GPR10 0000000000000000 +GPR11 00000000000055C8 +GPR12 000000000000001C +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFD744000 +GPR15 0000000000000000 +GPR16 FFFFFFFF8D540002 +GPR17 000000000000003D +GPR18 000000003FFFFFFF +GPR19 8000145DFFFFDFFF +GPR20 7FFFEBA200000000 +GPR21 000000008D540002 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 7FFFEBA200000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFF90 +GPR31 +CR 0000000030013102 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/242.bin b/tests/242.bin index 561fc68..9e7fb6c 100644 Binary files a/tests/242.bin and b/tests/242.bin differ diff --git a/tests/242.out b/tests/242.out index c0710e4..09ae385 100644 --- a/tests/242.out +++ b/tests/242.out @@ -1,32 +1,37 @@ -REG 0000000000001BDE -REG 0000000000000000 -REG 0000000000009122 -REG 0000000001A65668 -REG 0000000000000000 -REG 01FFFFFFFFFF4F95 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000003A -REG 0200000000006990 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFC6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002B90 -REG 01FFFFFFFFFFFD13 -REG 01A64C0001A65668 -REG FFFFFFFFFFFFFFF8 -REG 01A64C0001A65668 -REG FFFFFFFFFFFFFFC0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 01A64C0001A65668 -REG 0000000000000039 -REG 0000000000002BB9 -REG 0000000000000000 -REG 0000000040480101 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000002914 +GPR3 000000000001C020 +GPR4 0000000000000006 +GPR5 0000000000000040 +GPR6 0000000000000002 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 FFFFFFFFFFFF8571 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C010 +GPR28 0000000020042914 +GPR29 000000000001C032 +GPR30 0000000000000000 +GPR31 +CR 000000004F088849 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000020042914 + diff --git a/tests/243.bin b/tests/243.bin index 475375c..20123b8 100644 Binary files a/tests/243.bin and b/tests/243.bin differ diff --git a/tests/243.out b/tests/243.out index 76202df..128c992 100644 --- a/tests/243.out +++ b/tests/243.out @@ -1,32 +1,37 @@ -REG 0000000024F426A1 -REG 000000000000003B -REG FFFFFFFFFFFFFFFF -REG 0000000046E10000 -REG 0000000000000000 -REG 000000200000001B -REG 00000000000006D6 -REG FFFFFFFFE9B64800 -REG 0000000000001600 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000006D7 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000657 -REG 0000000000000657 -REG 0000000000000015 -REG 9200007FFFFFFFF3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000006D7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000007 -REG 0000000000000000 -REG 00000000AED50000 -REG 0000000000000020 -REG 00000000000006D7 -REG 0000000049E84110 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000000003C +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 00000000000000D4 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000493000D3 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000000014 +GPR15 0000000000000010 +GPR16 0000000000000000 +GPR17 0000000024CE0001 +GPR18 0000000000000000 +GPR19 00000000000000D3 +GPR20 0000000000000000 +GPR21 000000000001C016 +GPR22 00000000C931C0F3 +GPR23 0000400000000000 +GPR24 0000000000000018 +GPR25 0000000024CE0001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003090A090 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C020 + diff --git a/tests/244.bin b/tests/244.bin index 0743c07..d445977 100644 Binary files a/tests/244.bin and b/tests/244.bin differ diff --git a/tests/244.out b/tests/244.out index 2fc70ee..692205f 100644 --- a/tests/244.out +++ b/tests/244.out @@ -1,32 +1,37 @@ -REG 0000000097FFA7CA -REG 0000000000000008 -REG 0000000024002448 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFDBFFDBA0 -REG 0000000000004800 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000E1D00000 -REG FFFFFFFFFFFFFFFF -REG 0000000000240000 -REG 0000000024002447 -REG 0000000000000000 -REG 0000000000000041 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000041 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG EE00003FFFF6FFF6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000048008910 +GPR0 0000000000000003 +GPR1 0000000000000000 +GPR2 0000000000000034 +GPR3 0000000000000000 +GPR4 0000000400000004 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 FFFFF807FFFFFFEC +GPR12 00000000A005C021 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000033 +GPR18 0000000000000542 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000009A74616 +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFFFFD +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFF807FFFFFFF8 +GPR31 +CR 0000000030095F09 +LR 0000000000000000 +CTR FFFFF807FFFFFFF8 +XER 00000000A005C021 + diff --git a/tests/245.bin b/tests/245.bin index 4da69c6..33d79a3 100644 Binary files a/tests/245.bin and b/tests/245.bin differ diff --git a/tests/245.out b/tests/245.out index edcd8ae..7ed7fe0 100644 --- a/tests/245.out +++ b/tests/245.out @@ -1,32 +1,37 @@ -REG FFFF80003FFFFFE1 -REG 7800000040000000 -REG 0000000001E40000 -REG FFFFFFFFC0000001 -REG 0000000000000000 -REG 3C0039103C003910 -REG 0000000000050000 -REG 000005001CF1AA12 -REG 1508000715080007 -REG 0000000000000001 -REG 15080006F6260006 -REG FFFFFFFFC0000000 -REG 0000000040003CDE -REG FFFFFFFFFFFFC000 -REG FFFFFFFFF0000000 -REG FFFFFFFFC0000000 -REG 00003CDE00003CDE -REG FFFFFFFFFFFF8322 -REG FFFFFFFFC0000000 -REG 0000000000000000 -REG 0000000040000000 -REG 000000000000001E -REG 0000000000000000 -REG B5BB888002000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003CDE -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF0 -REG 0000000080090041 +GPR0 0000000000004E00 +GPR1 FFFFFFFFFFFFB203 +GPR2 0000000001FFFF80 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFEF +GPR5 0000000000000004 +GPR6 0000000000000000 +GPR7 000000000000004E +GPR8 0000000000000000 +GPR9 0808040108080401 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000003F +GPR13 000000000056C540 +GPR14 000000000003FFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 00000000002B62A0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000FFFFEE36 +GPR22 0000000000000000 +GPR23 0000000017F8207A +GPR24 0000002700000007 +GPR25 000000000000004E +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000006000BA4E +GPR29 FFFFFFFFFFFFFFEE +GPR30 0000000000000000 +GPR31 +CR 00000000302B0201 +LR 0000000000000018 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/246.bin b/tests/246.bin index 85fe5b2..46100c3 100644 Binary files a/tests/246.bin and b/tests/246.bin differ diff --git a/tests/246.out b/tests/246.out index 91df28f..faf11b3 100644 --- a/tests/246.out +++ b/tests/246.out @@ -1,32 +1,37 @@ -REG 9EBD37DA88A23C86 -REG 0000000000000C06 -REG 0000000000000000 -REG FFFFFFFFFFFFF3FA -REG FFFFFFFFFFFFFFFF -REG 00000B0900000B09 -REG FFFFFFFFFFFFF77F -REG FFFFFFDFFFEF6A88 -REG FFFFFEE03EFFFD78 -REG FFFFFFFFEB7F0000 -REG FFF7A900EFDE3EC0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000B0800000B08 -REG 0000001FFFFF8000 -REG 88088000000005DD -REG FFFFFFFFCAED0000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFEE02AF96160 -REG 721E9578727AA2A8 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000C06 -REG 0000000000000000 -REG 00000020721E9578 -REG FFEFD041EB6F2FBE -REG 00000017E4236D87 -REG F3FA00000002D168 -REG 0000000109110888 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFD90B +GPR2 000000000001C020 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000005234 +GPR6 0000000000000001 +GPR7 000000000001C018 +GPR8 00000000003FFFFF +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000080000000 +GPR12 0000000000000000 +GPR13 0000000000000002 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFF000000 +GPR20 0000000000000001 +GPR21 000000000000BE30 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFF9B181870 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050390040 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/247.bin b/tests/247.bin index a369277..0a0f371 100644 Binary files a/tests/247.bin and b/tests/247.bin differ diff --git a/tests/247.out b/tests/247.out index 4708183..4f66a40 100644 --- a/tests/247.out +++ b/tests/247.out @@ -1,32 +1,37 @@ -REG FFFFFA90DE37FA90 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG BFFFFFFFFFFF96BC -REG 00000000000100C1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 0000056F0000056F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000068AB -REG 005AF00000000040 -REG 0000000000000000 -REG FFFFFFFFFFFF8F8E -REG FFFFFA90FFFFFA90 -REG 0000000000000000 -REG 000000011FE08804 +GPR0 0000000000000001 +GPR1 00000000000164AD +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000001000 +GPR6 0000000011111112 +GPR7 0000000000000000 +GPR8 000000000001C010 +GPR9 00000000000000B5 +GPR10 0000000011111111 +GPR11 0000000000001110 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000036D78 +GPR15 FFFFFFFFFFFFFFFD +GPR16 0000000000100000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000011111112 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000078 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 0000000001000000 +GPR30 000000000000000F +GPR31 +CR 000000005900CD50 +LR 0000000000000006 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/248.bin b/tests/248.bin index 66c3672..bb8dd8a 100644 Binary files a/tests/248.bin and b/tests/248.bin differ diff --git a/tests/248.out b/tests/248.out index 202d873..45a41d2 100644 --- a/tests/248.out +++ b/tests/248.out @@ -1,32 +1,37 @@ -REG FFFFEFFFFFFF6716 -REG 000011F7000011F7 -REG 0000007FFFFC55BF -REG 003FFFFFFFF3A380 -REG FFFFFFFF00FFFFFF -REG 00000000000000C8 -REG 0000000000000038 -REG FFFFF651322D5C7E -REG 00000000000018F0 -REG 000000005D3D18F0 -REG FFFFF651777D5C7E -REG FFFFFFFFFFFFFF65 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFF6052A21 -REG FFFFFFF900000006 -REG FFFFFFFFF6052A21 -REG 000000008FC00000 -REG FFFFFFFFFFFFE747 -REG 00000006FFFFE729 -REG 000C5C8000000C80 -REG 0000000000006740 -REG 0000000000000000 -REG FFFFFFFFFFFF9910 -REG 00FFFFDA00FFFFDA -REG 0000000000000000 -REG 0000000000F50000 -REG FFC00000000C5C7F -REG FFFFFFFFFFFFFE33 -REG 0000000000000001 -REG 03FFFFE000000000 -REG 0000000000000000 -REG 000000009F1E89B0 +GPR0 00000000000009D8 +GPR1 000000000000DE30 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000015DB3 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 000000000000683C +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFE40 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFF2 +GPR14 FFFAC7FFFFFAC800 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFF2 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFAFFFFFFF +GPR24 000000004FFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000050000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFAC7FFFFFAC800 +GPR31 +CR 000000003511CD90 +LR 0000000000000000 +CTR 0000000E00000001 +XER 00000000A005C028 + diff --git a/tests/249.bin b/tests/249.bin index d5c39b9..6eec6f6 100644 Binary files a/tests/249.bin and b/tests/249.bin differ diff --git a/tests/249.out b/tests/249.out index f0ec75b..40a4a38 100644 --- a/tests/249.out +++ b/tests/249.out @@ -1,32 +1,37 @@ -REG 8C98FF0180800021 -REG 0000000000001C96 -REG 000000001067FFF7 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG 7490775574907755 -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000001 -REG D724002AA3A3AF0D -REG 0000000000000000 -REG 3FFFFFFF19300003 -REG 0000000040004428 -REG 0000000000000001 -REG 0000000000001964 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000013 -REG FFFEFFFFFFFF8915 -REG 0000000000200000 -REG FFFF000000000000 -REG FFFF010000000000 -REG 0000000000001C95 -REG F3DB437DB75A77C2 -REG 0000000074907756 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF8C980040 -REG 0000000074907756 -REG 00000000890009E0 +GPR0 0000000000000001 +GPR1 000000000001C020 +GPR2 00000000000000CD +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000001 +GPR5 0000000007C00000 +GPR6 000000000001C01F +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 000000008000001B +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 BFFF7F2080E084E7 +GPR16 0000000000000000 +GPR17 FFFFFFFFDF6E167F +GPR18 FFFFFFFFFFFFFFFF +GPR19 000000002091E981 +GPR20 000000000001C01F +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFD6BE +GPR23 0000000000000000 +GPR24 0000003340000000 +GPR25 0000000000000000 +GPR26 BFFF7F2080E084E6 +GPR27 FFFFFFFFDF6FD6BE +GPR28 FFFFFFFFFFFFD6BE +GPR29 0000000000000000 +GPR30 BFFF7F2080E084E7 +GPR31 +CR 0000000090932941 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A004001B + diff --git a/tests/25.bin b/tests/25.bin index ce838cf..6a3f932 100644 Binary files a/tests/25.bin and b/tests/25.bin differ diff --git a/tests/25.out b/tests/25.out index fea384d..d784c5b 100644 --- a/tests/25.out +++ b/tests/25.out @@ -1,32 +1,37 @@ -REG FFFFFFFF0E487002 -REG 000233A378A08F89 -REG FFFFFFFFFFFFFFFF -REG E000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFE7DA -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF78AF8F88 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000BE486827 -REG 0000000000000001 -REG 0000000000006E99 -REG 08FE800000000000 -REG 0000000002000000 -REG FFFFFFFFFFFF1060 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFCFB4000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005317 -REG FFFFFFF6FFFFFFF6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000002000000 -REG FFFFFFFFFFFFE7DA -REG 000000008FE82F08 -REG 000000010FDEE0F0 +GPR0 000000000000001D +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000001A +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 00000000FFFFFD3A +GPR8 000000000001C03C +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000FFFFFD3A +GPR12 FFFFFFFFFFFFC03C +GPR13 000000000000001D +GPR14 000000000001C020 +GPR15 000000000001C03C +GPR16 000000000001C03C +GPR17 FFFFFFFF000002C5 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFE1 +GPR20 FFFFFFFFFFFE3FDF +GPR21 FFFFFFFFFFFFFFE1 +GPR22 00000000FFFFFD39 +GPR23 000000000001C020 +GPR24 000000000001C03C +GPR25 0000000000000001 +GPR26 000000000000001D +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000055389499 +LR FFFFFFFFFFFFFFFB +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/250.bin b/tests/250.bin index 5dd74c8..a65685b 100644 Binary files a/tests/250.bin and b/tests/250.bin differ diff --git a/tests/250.out b/tests/250.out index b721422..4032d97 100644 --- a/tests/250.out +++ b/tests/250.out @@ -1,32 +1,37 @@ -REG BFFFDFFFFFFFFDE1 -REG FFFF800000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFDDE -REG 0000002000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA108 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000004000000 -REG FFFFFFFFFFFF9D7F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000049FFE890 +GPR0 FFFFFFFFE725BDBB +GPR1 0000000000000000 +GPR2 FFFFFFDD127FCEB7 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000000083A7 +GPR6 000000000000CC66 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000012E04 +GPR9 0000000000000040 +GPR10 000000000000CC67 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000018DA4040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF93BE +GPR22 0000000000000000 +GPR23 000000000000CCA7 +GPR24 92A8AC80007FFFF3 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000018DA4264 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFE7255159 +GPR31 +CR 000000005000DF0A +LR FFFFFFFFFFFF93BE +CTR FFFFFFFFF6FFCE79 +XER 000000008000002E + diff --git a/tests/251.bin b/tests/251.bin index 20ff16c..7402afe 100644 Binary files a/tests/251.bin and b/tests/251.bin differ diff --git a/tests/251.out b/tests/251.out index e183aad..b81719d 100644 --- a/tests/251.out +++ b/tests/251.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFF000801 -REG FFFFFFFFFFFFFFFF -REG 0000000004028384 -REG FFFFFFFFFFFF897E -REG 0000000000000000 -REG 00000000FFFFFFFF -REG FFFFFFFFFFFFB1D4 -REG 0000000000000001 -REG 0000000000005900 -REG FFFFFFFFFFFF86F0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF86EF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040080000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002A00 -REG 0004000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000800 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000080100000 -REG 0000000000000000 -REG 0808080808050708 -REG FFFFFFFFFFFFFFFF -REG 0000000100109091 +GPR0 7FBE000000007FFC +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000002 +GPR4 0000000000000040 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000006 +GPR7 000000000000F00E +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000001 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFE +GPR13 000000007FBE0000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFE8 +GPR17 000000000001C01F +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 FFFFFFFFFFFFFFA3 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035F515A5 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFDF + diff --git a/tests/252.bin b/tests/252.bin index a447e64..5f16bc9 100644 Binary files a/tests/252.bin and b/tests/252.bin differ diff --git a/tests/252.out b/tests/252.out index eae111b..3dd4f28 100644 --- a/tests/252.out +++ b/tests/252.out @@ -1,32 +1,37 @@ -REG 800001FF27FD3F3E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000003F3E -REG FFFFFFFFFFFFFF8C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000100000 -REG FFFFFFFFFC0007FF -REG 0000000000003F3E -REG 0000000000000000 -REG 0000000000003062 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080800008 -REG FFFFFFFFFFEFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF03C03FFF03 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000FFFFF -REG FFFFFE00D802FFFF -REG 0000000000003F3E -REG 0000000064420000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000080800008 -REG 0000000101008011 +GPR0 EC7501700003F170 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFC0000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFE7 +GPR16 0000000000000018 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 0000000000000018 +GPR23 00000000FFFFFFE6 +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFE8 +GPR31 +CR 0000000056F00050 +LR 0000000000000000 +CTR 00000000FFFFFFFF +XER 0000000080000000 + diff --git a/tests/253.bin b/tests/253.bin index fb771db..7fb7629 100644 Binary files a/tests/253.bin and b/tests/253.bin differ diff --git a/tests/253.out b/tests/253.out index ed80dda..51e8a57 100644 --- a/tests/253.out +++ b/tests/253.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFCF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 028F00070A3C005C -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 010180C0000000C0 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG FFFFFFFFFFFFC000 -REG 0000000000000000 -REG 0000000000800002 -REG 0000000000000040 -REG 0000000000000001 -REG FFFFFFFFDFFFFF7F -REG 0000000000000000 -REG 010180C00000D8D9 -REG 0000000020000080 -REG 0000000000000000 -REG FFFFFFFFFFFFC000 -REG 0000000000000040 -REG 0000000000000000 -REG 028F00070A3A48D4 -REG 0000000020000080 -REG 0000000000004000 -REG 0000000000000000 -REG 0000000020000080 -REG 0000000040000100 +GPR0 0000000000000000 +GPR1 0000000000000014 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 000000000001C040 +GPR11 000000000001C040 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000020 +GPR16 0000000033B00000 +GPR17 0000000000000000 +GPR18 0000000310A80800 +GPR19 0000020000000000 +GPR20 003FFFFF00000000 +GPR21 0000000000000000 +GPR22 0000000000000014 +GPR23 FFFFFFFFFFFFFFF8 +GPR24 FFFFFFFFFFFFFFF8 +GPR25 0008000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFAF8C +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000039917902 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/254.bin b/tests/254.bin index fa31594..145cf9c 100644 Binary files a/tests/254.bin and b/tests/254.bin differ diff --git a/tests/254.out b/tests/254.out index 41a7a14..0a42981 100644 --- a/tests/254.out +++ b/tests/254.out @@ -1,32 +1,37 @@ -REG FFFFFFFBFFFE587F -REG 0000000000001ADF -REG 0000000000000000 -REG 0000000000000002 -REG 0000000003FE27BF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG F7AD85A9FFD5ADE5 -REG 00000000404424D2 -REG 0000000003FF8000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000002 -REG 000000005006FFFF -REG 000000000000D6F8 -REG 0000000000000000 -REG 0000000040000000 -REG 000000005006FFFF -REG 0000000000000000 -REG 000000005006FFBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003FE27BF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000800909A4 +GPR0 0000000000000005 +GPR1 0000000000000040 +GPR2 0000003F0000003F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 000000000001C014 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000005009 +GPR11 0000000000001802 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000000332D7 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000001048 +GPR20 000000008C19C120 +GPR21 FFFFFFFFFFFFFFFE +GPR22 FFFFFFFFFFFFAFF7 +GPR23 000000005319300D +GPR24 0000000000000000 +GPR25 0000000000000002 +GPR26 000000000002101D +GPR27 FFFFFFFFFFFFAFF7 +GPR28 0000000000000000 +GPR29 0000000000000003 +GPR30 0000000000000001 +GPR31 +CR 000000005319500D +LR 000000000000995D +CTR E00000000000B939 +XER 0000000080005BB6 + diff --git a/tests/255.bin b/tests/255.bin index c1fd938..afc783e 100644 Binary files a/tests/255.bin and b/tests/255.bin differ diff --git a/tests/255.out b/tests/255.out index 2cf0de9..83eeffb 100644 --- a/tests/255.out +++ b/tests/255.out @@ -1,32 +1,37 @@ -REG 7FFFCB357DFFD5D8 -REG FFFFFFFFFFFF9669 -REG 0000000000000004 -REG 0000000000000000 -REG 000000000000000D -REG 000000000000EFB1 -REG 0000000046180004 -REG 000000000000EFB0 -REG 0000000000000000 -REG 38000000504BFFFF -REG FFFFFFFFFFFF76DF -REG 0000000000000000 -REG C7FFFFFFAFB40000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000008021 -REG FFFFFFFF6B0CFFFF -REG 0000000000000000 -REG 0000004000000000 -REG 0000000000000004 -REG 000000001FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000002000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000802 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000805 +GPR0 000000007FFFBC28 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFFFFFFFFFF +GPR9 00000000DB829B3F +GPR10 0000002000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000005000 +GPR15 00000000000000A9 +GPR16 0000000000000000 +GPR17 FFFFD800FFFFD800 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000020000034F6 +GPR21 0000000000000000 +GPR22 0548000000000000 +GPR23 0000000000000002 +GPR24 0000000000000000 +GPR25 00000020000034F6 +GPR26 00000000FFFFFE00 +GPR27 0000000000000001 +GPR28 FFFFFFFFFFFEC37C +GPR29 0000000000000000 +GPR30 000000000001C005 +GPR31 +CR 0000000059065991 +LR FFFFFFE949F4C400 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/256.bin b/tests/256.bin index bbe661a..f24afd4 100644 Binary files a/tests/256.bin and b/tests/256.bin differ diff --git a/tests/256.out b/tests/256.out index 27b30d5..00d545e 100644 --- a/tests/256.out +++ b/tests/256.out @@ -1,32 +1,37 @@ -REG 8000001422E00000 -REG 0000000000000800 -REG 000000000001C614 -REG 005CA3FFF1EE9CE6 -REG 0000000000011EE8 -REG FFFFFF00000007F7 -REG 0000000000000000 -REG 000000007FFEE117 -REG FFFFE5A580000000 -REG 0000000000010000 -REG 0000000000000000 -REG 80000000FFE00000 -REG 2783000008000319 -REG 000000000083EA7D -REG 0000000000000000 -REG FFA35C18DF117FBF -REG D97DFFFFF7FFFFFF -REG 0000000000000000 -REG 000000000001FF7E -REG 0000000000000005 -REG 0000000100000001 -REG D82CFFFFFFFFFC00 -REG 0000000000000000 -REG 00BB7CC0C2C7EDED -REG 0000000000000004 -REG 7FFFFFFFDD1FFFFF -REG 00000000000000A0 -REG A1917FFFA1918000 -REG E6EEC3E7FFFFC000 -REG 0000E0000000E000 -REG FFFFFFFFFFFFFFFC -REG 000000008011C080 +GPR0 FFEDB829B3E0DDA7 +GPR1 FFFFFFFFFFFE3FD1 +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 000000000000000F +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000030 +GPR8 0000000000000001 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 000000000000000D +GPR12 00000000000034B4 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 00003C0000003C00 +GPR17 0000000000000040 +GPR18 000000000001C020 +GPR19 000000000000000F +GPR20 0000000000000040 +GPR21 0000000009000000 +GPR22 FFEDB829B3E0DDB5 +GPR23 0000000000000000 +GPR24 000000000000000F +GPR25 FFFF000000000001 +GPR26 0000FFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000601DA8 +GPR30 0000000039F98059 +GPR31 +CR 0000000099819019 +LR FFFEEA80800319D9 +CTR FFFFFFFFFFFFFFEF +XER 0000000080000000 + diff --git a/tests/257.bin b/tests/257.bin index d8a87c4..3a8e8dc 100644 Binary files a/tests/257.bin and b/tests/257.bin differ diff --git a/tests/257.out b/tests/257.out index 54a0e4e..f089dc0 100644 --- a/tests/257.out +++ b/tests/257.out @@ -1,32 +1,37 @@ -REG 08080DFFF061FF08 -REG FFFFFFFFFFDF0DC3 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000FFDC0000 -REG F7F7FDFF0020FEFF -REG 800000000007FFFC -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG F81DFFFFF800FFFF -REG 0808080808070303 -REG F81DFFFF7800FFFF -REG 0000000000000000 -REG 00000000000000FF -REG FFFFFFFFFFFFFFFF -REG FFFFF00000000000 -REG 0000000000000000 -REG 00000000FFDC0000 -REG FFFFFFFFFFDF2935 -REG FFFFFFFFFFDF2934 -REG 0000000040000000 -REG F7F7FDFFF061FF37 -REG 800000003FF80004 -REG 0000000000000001 -REG FDFF0020FEFF0003 -REG 0000000000000000 -REG 000000005644AD33 -REG 0000000000000100 -REG FFFFFFFFFFFFFFFF -REG 101004001F3B5BE6 -REG 080802000F9DADF3 -REG 0000000100008110 +GPR0 000000003DC10000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 0000000000000C11 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFBB06 +GPR18 FFFFFFFFFFFFFFFF +GPR19 000000000001C020 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFBB06 +GPR26 0000000000000000 +GPR27 000000003DC00000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035855991 +LR 0000000000000000 +CTR 00000000003803FE +XER 0000000080037B6B + diff --git a/tests/258.bin b/tests/258.bin index 868417f..0216f26 100644 Binary files a/tests/258.bin and b/tests/258.bin differ diff --git a/tests/258.out b/tests/258.out index 5659fde..1d3d392 100644 --- a/tests/258.out +++ b/tests/258.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000010 -REG 0000000000000000 -REG 0000200027130000 -REG 001FDF0000000000 -REG FFFFFFFFFFFFFFFF -REG 8000000080000038 -REG 47FFF1BA4600003A -REG FFFFFFA551FFFFA5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 8000002D8000002D -REG 0000000000000000 -REG 8000002500000025 -REG 0000000000000001 -REG 0000000000000000 -REG FFFF4AA3FFFF4A03 -REG FFFFFFFFFFFFFFFF -REG 000000000000000E -REG 0000000000000005 -REG 000000000001C003 -REG 0000000000000000 -REG 8000000080000038 -REG 00000000000C3749 -REG 0000000000400000 -REG 0000000000012800 -REG 0000000080000000 -REG FFFFFFFFFFFE3748 -REG 0000000100800010 +GPR0 FFFDDF4B907C915E +GPR1 0000014000000000 +GPR2 0000000041869F1B +GPR3 0000000000000040 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 000000000001C014 +GPR7 0000000000000000 +GPR8 00000000000081F3 +GPR9 FFFFFFFFFFFE46B8 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 00000000EB430000 +GPR13 FFFFFFFFE001E126 +GPR14 0000000000000000 +GPR15 00000000FF6FBDDF +GPR16 0000000000103E66 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000021888041 +GPR21 000000000001C020 +GPR22 0000000000000001 +GPR23 000000000000003F +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 000220B46F82D7E6 +GPR30 0000000000000000 +GPR31 +CR 0000000041888081 +LR 0000000000000020 +CTR 0000000800904227 +XER 0000000000004227 + diff --git a/tests/259.bin b/tests/259.bin index afa8867..465692e 100644 Binary files a/tests/259.bin and b/tests/259.bin differ diff --git a/tests/259.out b/tests/259.out index 42bfb7f..11854e9 100644 --- a/tests/259.out +++ b/tests/259.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFF7 -REG 0000000000001000 -REG 00000000007FEA1D -REG 0000000000000020 -REG 00000000007FFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00FFDFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000095C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF00FFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000023F9 -REG 00000000512E0010 +GPR0 0000000000000000 +GPR1 0000000000038080 +GPR2 0000000082570020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C030 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0808080808080808 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFE3FE0 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 03FFF90003E00000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 000000000001C020 +GPR22 0000000000000005 +GPR23 000000000001C020 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 FFFFFFFFFFFE3FE0 +GPR29 0000000000000000 +GPR30 000000005EDFFFC1 +GPR31 +CR 0000000035500500 +LR FFFFFFFFFFFFFFFF +CTR FFFE3FDFFFFE3FFF +XER 00000000C00BD412 + diff --git a/tests/26.bin b/tests/26.bin index f5e71fe..e6407a9 100644 Binary files a/tests/26.bin and b/tests/26.bin differ diff --git a/tests/26.out b/tests/26.out index 64a93e8..8cff064 100644 --- a/tests/26.out +++ b/tests/26.out @@ -1,32 +1,37 @@ -REG 00000000000076BA -REG 001AE800003EEBB2 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFEE34 -REG 00000000000076BA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 6BA00000FBAEC85C -REG FFFFFFFFFFFFFFFF -REG 00000000000007E0 -REG 00000000AEBF97DF -REG 00000000000076BA -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFC25F820 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000003DA07E0 -REG FFEFFFFFFFFFFFFA -REG FFFFFFFFFFFF8946 -REG 001AE800003EEBB2 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 00000000000001B1 -REG 000000000000001B -REG 00000000AEBF97DF -REG 0000000000000000 -REG FFF945FFFFF04510 -REG 0000000080889091 +GPR0 0000000000000000 +GPR1 FFFFFFFF5FFBFFC5 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFDF +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 FFFFFFED62D7BA00 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000039000409 +GPR13 0000000000000002 +GPR14 000000000000E794 +GPR15 0000000000000000 +GPR16 000000000001C03C +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFF6A +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE996C +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000001 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039000409 +LR FFFFFFFFFFFFFFFE +CTR 0000000000000000 +XER 00000000A004003A + diff --git a/tests/260.bin b/tests/260.bin index 6162457..c7e9959 100644 Binary files a/tests/260.bin and b/tests/260.bin differ diff --git a/tests/260.out b/tests/260.out index ca95e25..fb7786e 100644 --- a/tests/260.out +++ b/tests/260.out @@ -1,32 +1,37 @@ -REG 047C480808084BAD -REG 0000000000000040 -REG FFFFFFFFFFE134E4 -REG 000000001F791BAA -REG FFFC000000000000 -REG 0071B80000005BAA -REG FFFFFFFFFFFFFFE4 -REG 10DC8ABC10D08AB0 -REG FFFFFFFFFFFFE450 -REG 0000000000000012 -REG 0000000000000000 -REG 000000001F791BAA -REG 0000000000001000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 000000000B42C550 -REG 000000000000001B -REG FFFFFFFFE09EEF5F -REG 0000000000000000 -REG FFFFFFFFE09EEF5F -REG 10DC8ABC10D08AB0 -REG 0C74400000006018 -REG 0000000000000000 -REG 0000000000000000 -REG 00000016EA800000 -REG FFFFFFFFE086E456 -REG 000000000000000C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000001F791BAA -REG 0000000000000000 -REG 0000000100810001 +GPR0 0000000000000000 +GPR1 0000000030000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0808080801020405 +GPR5 0000000000000000 +GPR6 0000000080A1E060 +GPR7 0000000000000001 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000080A06DE0 +GPR12 000000000001C020 +GPR13 0000000004000001 +GPR14 0000000000000000 +GPR15 0000000080A1E060 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFE028781 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000010000 +GPR27 0000000080A02040 +GPR28 FFFFFFFFFFFF1FFF +GPR29 0000000000000001 +GPR30 0000000000019DA4 +GPR31 +CR 0000000030999988 +LR 0000000000000020 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/261.bin b/tests/261.bin index 22e242c..7f96129 100644 Binary files a/tests/261.bin and b/tests/261.bin differ diff --git a/tests/261.out b/tests/261.out index e66f318..e2f4f57 100644 --- a/tests/261.out +++ b/tests/261.out @@ -1,32 +1,37 @@ -REG FC37FFFFFF87FFFF -REG 0000000000000000 -REG 0000000000000A10 -REG 000000000000000A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000441 -REG 00000000269E0000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000400 -REG FC37FFFFFFFF9E8F -REG FFFFFFFFBFFBBC00 -REG 0000000040044400 -REG 0000000000000000 -REG 03FFF0FFFF87FFFF -REG 0000000000000A00 -REG 0000000000000000 -REG 0000000000000000 -REG FC000F0000780000 -REG 00000000007FFF00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000001FFFC001 -REG 0000000000000010 -REG 00361B5900000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000006 -REG 0000000088080800 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000040 +GPR3 FFFFFFFFFFFFFFFF +GPR4 00000000000C7377 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFF23FE0202 +GPR8 0000000000000000 +GPR9 0000000000000005 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 00000000000023A4 +GPR13 0000000000000000 +GPR14 000000000000A0C8 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000007EFFF42 +GPR20 000000000001C024 +GPR21 00000000FFFF00FF +GPR22 00000023B605FEFA +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000000000FE +GPR28 0002000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFF23FFC222 +GPR31 +CR 0000000032000380 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C020 + diff --git a/tests/262.bin b/tests/262.bin index 5ef2d9e..be0065e 100644 Binary files a/tests/262.bin and b/tests/262.bin differ diff --git a/tests/262.out b/tests/262.out index 6d31449..19941ff 100644 --- a/tests/262.out +++ b/tests/262.out @@ -1,32 +1,37 @@ -REG 00007A6C00007A68 -REG FFFFFFFFFFFFFBFD -REG 000000000000002D -REG 0000000000000000 -REG 07FFFFFF93DCFFBE -REG 00000000E303002D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004000002C -REG 0000000000000000 -REG 000000006C230040 -REG 0000010000000000 -REG FFFFFFFFFFFFFFF6 -REG 0000000040C54040 -REG 00001F8000000000 -REG 000000000000003B -REG 000000000000002D -REG 00007A6C00007A6C -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003B -REG FFFFFFFFFFFFFFFD -REG F800000000000001 -REG 0000000000000040 -REG FFFFFFFFBFFFFFD3 -REG F800000000000000 -REG 0000000000000000 -REG 0000000000004040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000049E1E1F9 +GPR0 0000400015500000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFF0000FE +GPR3 00000000FFFFFFFF +GPR4 00000005E9819564 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000C00000000 +GPR8 000000000001C00C +GPR9 000000000001C00C +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFEC +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 000000002B4CFFFD +GPR15 0000000000000000 +GPR16 0000000000000014 +GPR17 00000000FFEEFFFD +GPR18 0000000030968802 +GPR19 000000000001C00C +GPR20 FFFFFFFFC3C7C00C +GPR21 0001BFEE0001BFEE +GPR22 FFFFFFFFFFFFFFFF +GPR23 0020BFFF02000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000000011E +GPR27 0000000000000000 +GPR28 000000184B440100 +GPR29 0010000000000000 +GPR30 0000000000000013 +GPR31 +CR 0000000055B68803 +LR 000000000000011E +CTR 000000002B4CFFFD +XER 00000000C00BFFFF + diff --git a/tests/263.bin b/tests/263.bin index 832b905..495b97b 100644 Binary files a/tests/263.bin and b/tests/263.bin differ diff --git a/tests/263.out b/tests/263.out index bef97cc..2c39d75 100644 --- a/tests/263.out +++ b/tests/263.out @@ -1,32 +1,37 @@ -REG FFFAE30EB533EEC8 -REG FFFFFFFCC040001F -REG 080808089E7E50A9 -REG 0000000046B3000F -REG 080808089E7E50AB -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000075C40 -REG 00000000967650A8 -REG 0000000000000000 -REG FFFFFFFFFFFF9639 -REG 0000000000000000 -REG 0808080808080807 -REG 0000000000000000 -REG 000000002226FBD9 -REG 9FDFFFF0006E075F -REG 0000000000000000 -REG 000000000000000F -REG 080808089E7E50A9 -REG FE000001FE000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFF9D8C0 -REG 0000000000006022 -REG FFFFFFFFFF0FFDF9 -REG FFFEBF3F9FFFE000 -REG 9FE00EDB5431A445 -REG 00000000DCC575C4 -REG 00000000998AFF1D +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 00000000A0040000 +GPR19 FFFFFFFF80006B74 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000A0040000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000040 +GPR28 000000007FFF9480 +GPR29 0000000000000020 +GPR30 0000000035F59030 +GPR31 +CR 0000000035F59030 +LR 0000000000000001 +CTR 000000000FFFFFE4 +XER 00000000C0080000 + diff --git a/tests/264.bin b/tests/264.bin index 478d086..ef911d0 100644 Binary files a/tests/264.bin and b/tests/264.bin differ diff --git a/tests/264.out b/tests/264.out index ce0ce58..a46277d 100644 --- a/tests/264.out +++ b/tests/264.out @@ -1,32 +1,37 @@ -REG FFFFFE80A36EFFFF -REG 0000000000000000 -REG FFFFFFFFA36EFFFF -REG 0000000000000000 -REG FFFE000000000000 -REG 000A599983FFA7FF -REG 0000000000000040 -REG 000A599983FFB2DB -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000011BB8000003F -REG 000A599983FFB2DB -REG 0000000029A1FFFF -REG 0000000000000000 -REG 0000000000003805 -REG 0000000000000020 -REG 0000000000000040 -REG 000011BB7FFF2C9A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFF87FBE -REG FFFFFFFFFFFFFFFF -REG 0000000100044801 +GPR0 3000000030000000 +GPR1 3000000030000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000300D95CD +GPR6 0000000000000040 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000001EA49 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000FFFFFFFF +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000C8C10000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000300D95CD +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 000000000000001B +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050009000 +LR 0000000000000140 +CTR 0000000000000000 +XER 0000000080010690 + diff --git a/tests/265.bin b/tests/265.bin index 245144c..6be3571 100644 Binary files a/tests/265.bin and b/tests/265.bin differ diff --git a/tests/265.out b/tests/265.out index 918714f..5b8c17b 100644 --- a/tests/265.out +++ b/tests/265.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFEC -REG 0000000000000000 -REG 000D800000000036 -REG 000D800000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFF27FFFFFFFC99F -REG 00000000083F0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000003F -REG 000D800000000036 -REG 000000000000003A -REG 0000000000000001 -REG FFFFFFFFFFFFFFC9 -REG 000D800000000036 -REG 000D8000083F0036 -REG 0000000044040800 -REG 000D800000000036 -REG 000D800000000000 -REG 00000000083F0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000031AA -REG 7FFFFFFFFFFFFFC9 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFFFFFFFE000 -REG 01B8000001A00000 -REG 0000000000000603 -REG 0000000088081001 +GPR0 FFFFFFFF8FF7FFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000020 +GPR5 FFFFFFFFFFFFC01C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFA380 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FEFFFFFF00EC0100 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFA380 +GPR25 0000000000000000 +GPR26 0000000000000014 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00009241F5E75A59 +GPR30 0000000000000000 +GPR31 +CR 000000003890C51B +LR FFFFFFFFFFFFA380 +CTR 00009241F5E75A59 +XER 00000000C0080001 + diff --git a/tests/266.bin b/tests/266.bin index d615421..4137e7e 100644 Binary files a/tests/266.bin and b/tests/266.bin differ diff --git a/tests/266.out b/tests/266.out index 809b219..0c64c47 100644 --- a/tests/266.out +++ b/tests/266.out @@ -1,32 +1,37 @@ -REG FFFFFFE9BFFFFFFF -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000001600000016 -REG FFFFFFFFFFFFB870 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000118 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000BAA40 -REG 0000000000000000 -REG 000000000000D746 -REG 0000000000000017 -REG 000000000000003F -REG 0000000000000040 -REG 0000000000003514 -REG 0000000000000000 -REG 000000000000003F -REG 0000000041041104 +GPR0 0000000000003677 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 00000000B7C90000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000001F +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000001A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000FFFF6DC2 +GPR19 0000000008421084 +GPR20 000000000001C01F +GPR21 000000000001C020 +GPR22 FFFFFFFF4838C020 +GPR23 000000000000CBDF +GPR24 0000000000000000 +GPR25 00000000FFFF6DC2 +GPR26 000000000000002F +GPR27 0000000000007CBF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000031B514B1 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/267.bin b/tests/267.bin index 64b9009..be69e37 100644 Binary files a/tests/267.bin and b/tests/267.bin differ diff --git a/tests/267.out b/tests/267.out index 57dc4da..01291f8 100644 --- a/tests/267.out +++ b/tests/267.out @@ -1,32 +1,37 @@ -REG FEFFDEAAEFFFA981 -REG 3FF7F1003EF787B6 -REG 3FF7F1003EF7D055 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003DDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE32B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 003C000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000018D4ABAA4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050800800 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 03EE7FDFFFFF7FDF +GPR4 0000000000000000 +GPR5 000000000001C024 +GPR6 0000000000000000 +GPR7 0000000100000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000900000 +GPR13 FFFFFFFFFFFE4004 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000001FF80000 +GPR17 0000000000000000 +GPR18 000000000001C01C +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000000003FF +GPR25 FFFFFFFFFFFFFC00 +GPR26 FFFFFFFF00002FD0 +GPR27 0000000100000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFE009C020 +GPR31 +CR 0000000034949735 +LR 0000000000000000 +CTR FFFFFFFFC008001B +XER 00000000E00C001C + diff --git a/tests/268.bin b/tests/268.bin index 9d08096..b99f498 100644 Binary files a/tests/268.bin and b/tests/268.bin differ diff --git a/tests/268.out b/tests/268.out index e29888b..6a9414b 100644 --- a/tests/268.out +++ b/tests/268.out @@ -1,32 +1,37 @@ -REG 002095FFFE3BFFFF -REG 0000000020000020 -REG 0000000000000000 -REG 0000000000000028 -REG 000000000000003B -REG FFFFFFFFFFFFFFF8 -REG 0000000000000000 -REG 0000000048C60010 -REG 0000000008000000 -REG 0000000000000000 -REG 000000000BE4F1F0 -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFFFFFFFF1EF -REG 0000000000000000 -REG 0000000000001E41 -REG 0000000000000020 -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFF4400000 -REG 00000000507C0010 -REG 0000000000000020 -REG FFFFFFFFFFFFA69E -REG 00000000507BA6AE -REG 00001FFFFFFFD34F -REG 0000000000000000 -REG 0000000000000001 -REG 00001FFFFF7FD74F -REG 0000000038440000 -REG FFFFFFFF86970000 -REG FFFFFC001FFFFFF9 -REG 00001FFFC7BBD34E -REG 0000000090091489 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 FF800000007FFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFF00 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 FFFFFFFFFFFF99CC +GPR12 0000000000000140 +GPR13 0000000000000000 +GPR14 0000000000006634 +GPR15 00000000005B7053 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 000000000000B6F1 +GPR19 0001F82400019FFF +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFF00 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0001F824000125EA +GPR28 0000000000000000 +GPR29 0000000000000003 +GPR30 0000000000000001 +GPR31 +CR 000000005BFFF154 +LR 0000000000000000 +CTR 000000000001C020 +XER 000000008003F825 + diff --git a/tests/269.bin b/tests/269.bin index 566e66d..032da88 100644 Binary files a/tests/269.bin and b/tests/269.bin differ diff --git a/tests/269.out b/tests/269.out index dad5e22..b047f96 100644 --- a/tests/269.out +++ b/tests/269.out @@ -1,32 +1,37 @@ -REG FFFFFC0DA7307FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9D71 -REG 0000000000000000 -REG FFFFFFFFFFFFA8F4 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000024 -REG 0000000000000020 -REG 000003F2C0008000 -REG 0000000000000480 -REG 0000000000000000 -REG 0000000000000000 -REG 000003F2C0008000 -REG 00000000408F4F04 -REG 0000000000000000 -REG 0000000000000024 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF51E9FF80000 -REG 00000000401E0000 +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000008 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000008 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 00000000000055CE +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039B95A58 +LR 0000000000000000 +CTR FFFFFFFF7FFFF7B7 +XER 0000000080000000 + diff --git a/tests/27.bin b/tests/27.bin index ef0efcd..a933656 100644 Binary files a/tests/27.bin and b/tests/27.bin differ diff --git a/tests/27.out b/tests/27.out index d9b47f7..52833bb 100644 --- a/tests/27.out +++ b/tests/27.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC5950122 -REG 0000000000000000 -REG 0000000000004AFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG 000000AE50BFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000028050000 -REG 0000000061DF0020 -REG FFFFFFFFFFFFFFE7 -REG FFFFFFFF7ABEFEDF -REG FFFFFFFFDC9DFEFF -REG FFFFFFFFFC12B942 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF9E20FFE0 -REG 0000000000000000 -REG FFFFFFFFE6F74AFB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD498FEFF -REG 0000000000000020 -REG 0000000000007000 -REG FFFFFFFFDC9DFEFF -REG 0000000000000042 -REG 000000001908FFFF -REG FFFFFFFE8ECFF042 -REG 000000010087E01F +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFE0007FFFFFFFBE +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFF8 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000008 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 7FFFFFFFFFFFFFFF +GPR16 007FFFFFFF038026 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000C0080000 +GPR28 00000000000163FE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030905B04 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/270.bin b/tests/270.bin index 75cf14f..ebc6324 100644 Binary files a/tests/270.bin and b/tests/270.bin differ diff --git a/tests/270.out b/tests/270.out index 5ab9ac6..a6f0fcb 100644 --- a/tests/270.out +++ b/tests/270.out @@ -1,32 +1,37 @@ -REG 0000FF20FFFA681F -REG 00000001001BFFFE -REG 000000000003FFFF -REG FFFFFFFEFFE40001 -REG FFFFFFFFFFFFFFFD -REG 000000000001DB00 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000033C91 -REG 0000000000000003 -REG 00000000FFFFFFFE -REG 0000000000000000 -REG 00000000FFFFFFFE -REG 00000000001C0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0002000000003B61 -REG 0000000000000001 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 00000000FFFFFFFE -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFE24FF -REG 0000000000000000 -REG 0000000000000000 -REG 00000001011F0028 +GPR0 ECBA5DE6E8000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000000426C +GPR7 FFFFFFFFFFFE3FE0 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000C0080000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000426C +GPR15 FFFFFFFFFFFFBD94 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 000000000001C024 +GPR19 0000000039989FFF +GPR20 000000000000426C +GPR21 000000000001C01F +GPR22 000000000001C01F +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 000000000000426C +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFBFFF +GPR29 FFFFFFFFFFFE3FE0 +GPR30 0000000000000000 +GPR31 +CR 000000009FFE57D0 +LR 0000000000000000 +CTR FFFFFFFFCE8DFFFF +XER 0000000080000000 + diff --git a/tests/271.bin b/tests/271.bin index d836117..07af019 100644 Binary files a/tests/271.bin and b/tests/271.bin differ diff --git a/tests/271.out b/tests/271.out index dc2071b..6521d03 100644 --- a/tests/271.out +++ b/tests/271.out @@ -1,32 +1,37 @@ -REG 03F7E01A51FBAD10 -REG 000029C237CD0000 -REG D814F6E1FF24001E -REG 0000000000000001 -REG 27EB091E24000000 -REG 0000000000000000 -REG FFFFFFFEFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000001FFD60C -REG 000000000000003A -REG 080808080808BE67 -REG 27EB091E23FFFFC5 -REG 0000000000000002 -REG 000000000000003A -REG D814F6E1DC000000 -REG 0000000000000000 -REG FFFFFFFF000003DE -REG 0808080808080807 -REG 0000000F8100A500 -REG 0808080808080804 -REG FFFFFFFFFFFFFFE2 -REG 0000137400001374 -REG 27EB091E24000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000003E040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG 0000000F8100A4FF -REG 0000000005050000 -REG 0000000044100001 +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000004 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFAF14 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FF9AC43D189120AD +GPR26 E2801FFFFFFFFFF5 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000059309B51 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/272.bin b/tests/272.bin index 139dad8..e2cb768 100644 Binary files a/tests/272.bin and b/tests/272.bin differ diff --git a/tests/272.out b/tests/272.out index 1a09169..1970566 100644 --- a/tests/272.out +++ b/tests/272.out @@ -1,32 +1,37 @@ -REG FFFFFFFF7FFFFF8F -REG 0000000000000000 -REG FFFFFFFF834EFF91 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFE03FE00000 -REG 0000000001FFFFFF -REG 0000000000000000 -REG 00000000007C0000 -REG 0000000000000000 -REG 0000001FFFFFFFFF -REG 0000000000000000 -REG 0000000001FFFFFF -REG FFFFFFFFFF83FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000007D2D0070 -REG 00000000007C0000 -REG FFFFFFFFFFFFFFFF -REG 000000007FFFEA54 -REG 0000000000000000 -REG 000000007D2D006F -REG FFFFFFFF80000000 -REG 00000000007C0000 -REG 0000000000000000 -REG FFFFFFFFFF83FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000051FE9111 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000007 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 00000000B9D3B310 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFD43E +GPR10 0000000000000000 +GPR11 0000000000002BC1 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000008 +GPR15 0000000000000018 +GPR16 FF2C862500000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFF7 +GPR19 0000000000000000 +GPR20 00000000C9200000 +GPR21 000000000001C034 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000008 +GPR25 0000000000000008 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 000000000001C034 +GPR30 0000000000000000 +GPR31 +CR 00000000394F8049 +LR FFFFFFFFFFC7FC80 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/273.bin b/tests/273.bin index 201c7bf..866c1f5 100644 Binary files a/tests/273.bin and b/tests/273.bin differ diff --git a/tests/273.out b/tests/273.out index 17e765a..8144478 100644 --- a/tests/273.out +++ b/tests/273.out @@ -1,32 +1,37 @@ -REG F9F8F7C5FB176B10 -REG BFFFFFFF37FFBE29 -REG FFFFFFFFFFE584D2 -REG FFFFFFFFFFE584D2 -REG 0000000000000000 -REG 0000000100000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFD8000000 -REG 000000002FCD0000 -REG 0000000000000000 -REG BFFFFFFF35EFBE7D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001228 -REG 00000045386F267D -REG BFFFFFFFFFFFBE29 -REG 12FB800812FB8008 -REG 40000000120E4182 -REG 000000008C4D0000 -REG 0000003F30A2267D -REG 10000000000020EB -REG 000000008C4D0000 -REG F9F8F7FBFAFCFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000003F30A2267D -REG BFFFFFFFC23CBE7D -REG 0000003F606F267D -REG 0000003F30A2267D -REG 0000003E0000003D -REG 00000005D8000000 -REG 0000000080100870 +GPR0 FFFFFFFFFECDFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFF0003E +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000002000000018 +GPR7 0000000000000040 +GPR8 FFFFFFFF0FFFDF40 +GPR9 0000000000000001 +GPR10 00006FFFF0002AC3 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFDFFFDDC2 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000000E +GPR17 FFFFFFFF0FFFDF3C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFE3FDF +GPR22 0000000000000020 +GPR23 FFFFFFFFFFFE3FDF +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000000 +GPR26 0000000000000004 +GPR27 0000000000000A00 +GPR28 000000000000000E +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 000000002000A490 +LR 0000000000000004 +CTR 000000000001C020 +XER 0000000000000000 + diff --git a/tests/274.bin b/tests/274.bin index 8154c46..7b6e486 100644 Binary files a/tests/274.bin and b/tests/274.bin differ diff --git a/tests/274.out b/tests/274.out index ea0f735..881c5d0 100644 --- a/tests/274.out +++ b/tests/274.out @@ -1,32 +1,37 @@ -REG FFEFFFFFFFF00001 -REG FFFFF0FF400001FF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000201F000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000040000000 -REG FFFFFFFFFFFFFE00 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF00FFFFFDFF -REG 0000000040000000 -REG 3C01F0FF000001FF -REG FFFFFFFFFFFFFFEF -REG 0000000000000000 -REG FFFFFF00FFFFFE00 -REG 3C00F0FF00000000 -REG 0000000000000000 -REG 000000001F000020 -REG 0000000000000000 -REG FFFFFF00FFFFFE00 -REG 0000000000000001 -REG 0000000040000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000020 -REG 000000009E5FF11E +GPR0 FE3141C0484DB9F5 +GPR1 0002ED00CCF0B2DC +GPR2 FFFFFFFF6E7CFFFF +GPR3 0000000000000004 +GPR4 00000000FFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 FFFFFFFFFFFFEE4C +GPR11 000000000000001F +GPR12 0000003E7B6E7D7F +GPR13 000000000000002F +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000079 +GPR16 FFFFFFFFFFFFFFF7 +GPR17 00000000992988D9 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 00000000992988D9 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000012FA42 +GPR25 0000000000000000 +GPR26 000000000000002F +GPR27 0000000000000000 +GPR28 0000000000000021 +GPR29 0000000000000000 +GPR30 000005E000000000 +GPR31 +CR 00000000950898DD +LR 0000000000000000 +CTR 0000000000000008 +XER 0000000080000000 + diff --git a/tests/275.bin b/tests/275.bin index 875d418..086fbaa 100644 Binary files a/tests/275.bin and b/tests/275.bin differ diff --git a/tests/275.out b/tests/275.out index 1bab07f..e12951b 100644 --- a/tests/275.out +++ b/tests/275.out @@ -1,32 +1,37 @@ -REG 0000000000000705 -REG 0000000000000001 -REG 0038000001FE50B3 -REG C8F000000000779C -REG 0000000000000000 -REG 00000000038CA001 -REG 00001EFFF82CFEFF -REG 0000000000000000 -REG 0000000000000765 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG 0000000000000021 -REG 0000000000000040 -REG 0000000000000011 -REG 000000007194003A -REG FFFFFFFFFFFFFFFF -REG 0000000000000011 -REG 0000000071940039 -REG 0000000000000002 -REG 0000034700000347 -REG FFFFFFFFFFFFFFFF -REG 000000000000000F -REG 2300000000000000 -REG 0000000000000020 -REG 00000000D83C000F -REG 000000000000FE16 -REG 0000000000000001 -REG 0000000000000000 -REG 2300000000000000 -REG FFFFFFFFFFFFFFEC -REG 0000000000000000 -REG 0000000082411088 +GPR0 0000000000000000 +GPR1 00000000800600C8 +GPR2 FFFFFFFFFFFE3FD0 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 FFFFFFFFFFFFFE3F +GPR6 000000000000FFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFBD76 +GPR10 FFFFFFFFFFFFFFFC +GPR11 000000000000742B +GPR12 0000000000000076 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFF00FF0000 +GPR16 0000000007FFFFFF +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 FFFFFFFFFFFFFFFC +GPR20 FFFFFFFF00FF0000 +GPR21 000000000000428A +GPR22 0000000000000000 +GPR23 0000000000010E13 +GPR24 FFFC7FCA07FFFFFE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000742B +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFC7FCA07FFFFFE +GPR31 +CR 0000000093955955 +LR 0000000000015CE0 +CTR 0000000000000000 +XER 0000000080010000 + diff --git a/tests/276.bin b/tests/276.bin index 5eb65d7..8cf4a4a 100644 Binary files a/tests/276.bin and b/tests/276.bin differ diff --git a/tests/276.out b/tests/276.out index b71cf19..1bfd71b 100644 --- a/tests/276.out +++ b/tests/276.out @@ -1,32 +1,37 @@ -REG 8005784000000053 -REG 0000000000000505 -REG 000001C9FFFC3A74 -REG 0000000004000000 -REG 000000000000FCF7 -REG 0000000000000000 -REG FFFFFFFFFFFC3A74 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF807FFFFF -REG 0000000000005448 -REG 0000000000000000 -REG 000000000000C73B -REG 000000004D3E0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000017 -REG FFFFFDE38E03FFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFC3A74 -REG 0000000000000729 -REG 0000000000000000 -REG 0000000000000000 -REG 000001CA000001CA -REG 0000000041F10000 +GPR0 0000000000000011 +GPR1 000000000001FED0 +GPR2 FFFFFFFFFFFFFFEE +GPR3 0000000000000011 +GPR4 FFFFFFFFFFFFFFEF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFEF +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000FFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000626A +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFEE +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFEF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFF2BD00 +GPR31 +CR 0000000030019559 +LR FFFFFFFFFFFFFFBF +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/277.bin b/tests/277.bin index 0312f04..a0cc1b0 100644 Binary files a/tests/277.bin and b/tests/277.bin differ diff --git a/tests/277.out b/tests/277.out index 66493c1..1d00296 100644 --- a/tests/277.out +++ b/tests/277.out @@ -1,32 +1,37 @@ -REG 0000000062EA32E6 -REG 0000000000000000 -REG FFFFFFBE1FF7B6E8 -REG FFFFFFFFFE400000 -REG FFFFFFFFB77FFBF7 -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000005AFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFE400000 -REG FFFFFFFFFE3FFFE0 -REG 0000000000000000 -REG 0000000000000031 -REG 0000000000000000 -REG FFFFFFFF9D15CD19 -REG 0000000000000020 -REG 0000000067A10000 -REG 0000000000000000 -REG 000000000C000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E3FFFFFFF4000000 -REG 0000000000000000 -REG FFFFFFFFFFCF0000 -REG 0000000000000003 -REG 0000000000000004 -REG 0000000091000810 +GPR0 0000000000000780 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 000000000000003E +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C060 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 000000000000003E +GPR19 0000000000000000 +GPR20 000000000000000A +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000001E +GPR24 FFFFFFFFFFFFC689 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 000000005190913C +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/278.bin b/tests/278.bin index 86c298b..4352311 100644 Binary files a/tests/278.bin and b/tests/278.bin differ diff --git a/tests/278.out b/tests/278.out index 0dc264d..2bdeccf 100644 --- a/tests/278.out +++ b/tests/278.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8DC1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFE0001F -REG 0000000000000000 -REG FFFFFFFF99880000 -REG 0000000000000000 -REG 0000000000000040 -REG 000000401FFFFFF8 -REG 00000000033FFFFC -REG 00000000003FFFFC -REG FFFFFFFFFFFFEEB3 -REG 0000000000000020 -REG FFFFFFFF9987C06F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000EC564000 -REG 0000000000000000 -REG FFFFFFFF99880001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000410808C0 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0003100000000000 +GPR11 FFFFFFFF00000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFB3DBFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0003100000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFB3DC0000 +GPR30 0000000000000000 +GPR31 +CR 00000000995D6951 +LR 0000000000000000 +CTR 00000000000042BB +XER 00000000E00C0036 + diff --git a/tests/279.bin b/tests/279.bin index 751308d..8c85c6d 100644 Binary files a/tests/279.bin and b/tests/279.bin differ diff --git a/tests/279.out b/tests/279.out index 204e552..56e4f9c 100644 --- a/tests/279.out +++ b/tests/279.out @@ -1,32 +1,37 @@ -REG 1D9B8990724D003B -REG 1D9B899000000006 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000002000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000766E264 -REG 0000000000000000 -REG 0000000002000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFBB0BAC2D9D1 -REG 000000000766F3BC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000766E264 -REG 000000000766E264 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000766E265 -REG 000000000766E264 -REG 0000000038991D9C -REG FFFFFFFFFFFFFFFF -REG 0000000044088511 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 0000000000000000 +GPR4 00000000412A0001 +GPR5 0000000000000000 +GPR6 0000000033A3851A +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFE +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000008802D3C4 +GPR18 0000000000000000 +GPR19 0000001F0000001F +GPR20 0000000000000001 +GPR21 1210C0222CF20000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000AF870000 +GPR28 0000000000000000 +GPR29 0000299800000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033A3851A +LR 0000000000000000 +CTR FFFFFFFFFFFFFF88 +XER 00000000A005C021 + diff --git a/tests/28.bin b/tests/28.bin index 23c369a..706c3e5 100644 Binary files a/tests/28.bin and b/tests/28.bin differ diff --git a/tests/28.out b/tests/28.out index 7fa438e..cde6f09 100644 --- a/tests/28.out +++ b/tests/28.out @@ -1,32 +1,37 @@ -REG 01A8940420AA8000 -REG 0227E8000227E800 -REG 0000000000000000 -REG 0000000000000000 -REG 0040000000000000 -REG 0000000008000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000AA54B4 -REG 0000000000000002 -REG 0000000020428003 -REG 0000000000000000 -REG 0000000000005621 -REG 00AA800000AA54B4 -REG FFFFFFFFFFFFFFDB -REG 0000000008000000 -REG 01AC940020AA8000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020428004 -REG 0000000044FD0000 -REG 0102140020000000 -REG 0000000010000000 -REG 0000000000000000 -REG 0000000008000000 -REG 255C24D482470000 -REG 0000000000470000 -REG 0000000000000000 -REG 0000000000200000 -REG 0000000008000000 -REG 0000000040850009 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000004CFA3F894 +GPR4 0000000000000000 +GPR5 FFFFFFFB305C076A +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000060000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000004CFA3F893 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000060000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 000000000001C020 +GPR28 FFFFFFFB355C076B +GPR29 0000000000000000 +GPR30 FFFFFFFB355C076B +GPR31 +CR 000000003310955A +LR 108888654555D694 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/280.bin b/tests/280.bin index 4be8f21..c176270 100644 Binary files a/tests/280.bin and b/tests/280.bin differ diff --git a/tests/280.out b/tests/280.out index 508148b..9ec1715 100644 --- a/tests/280.out +++ b/tests/280.out @@ -1,32 +1,37 @@ -REG 0000000000000017 -REG 0000000000005997 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000291F0011 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000C0C0000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000001ED6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000428D0000 -REG 0000000000000000 -REG FFFFFFFFF3F3FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFBB520000000 -REG 0000000000000000 -REG FFFFFFFFFFFFDDA9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFE000000000000 -REG 0000000000000000 -REG 0000000119800100 +GPR0 0000000000000045 +GPR1 0000000000000000 +GPR2 0000000000001177 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 000000000001C020 +GPR10 000000000000087D +GPR11 0000000000080000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000080000 +GPR16 0000000000000002 +GPR17 0000000000000000 +GPR18 000000000000004A +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000002 +GPR27 000000000000087C +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000009 +GPR30 0000000000000000 +GPR31 +CR 0000000038B50073 +LR 0000000000000002 +CTR 0000000000000002 +XER 0000000080000000 + diff --git a/tests/281.bin b/tests/281.bin index 1efe268..b5c1d8d 100644 Binary files a/tests/281.bin and b/tests/281.bin differ diff --git a/tests/281.out b/tests/281.out index 8ba57e5..0414c35 100644 --- a/tests/281.out +++ b/tests/281.out @@ -1,32 +1,37 @@ -REG 4D5BFFFF4D5B8043 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0180000000003BBA -REG 0000000000000040 -REG 000000002CCAFFC0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG EEE8060000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFC0 -REG 3FFFFFFFFF000006 -REG 0000000000000000 -REG 0000000000000000 -REG 4D5BFFFF4D5B8006 -REG 0000000000000040 -REG 0000000000002ADF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0180000000000000 -REG 3FFFFFFFFF000006 -REG FFFFFFFFFFFFFFFF -REG 000000010050810D +GPR0 FFFFFFFFFFFFFFC0 +GPR1 0000000057005909 +GPR2 00401FE0000007DF +GPR3 FFFFFFFFFFFFFFEE +GPR4 000000000001C031 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000011 +GPR15 6D56018CCBBCCDD7 +GPR16 0000000000000020 +GPR17 0000000000000040 +GPR18 000000000000002E +GPR19 0000100FF4109748 +GPR20 0000000000189346 +GPR21 92EA1E5334433A08 +GPR22 0000003800000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000000018B71F +GPR26 0000000000000E01 +GPR27 55806332EF3375C0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000037FFFFFFFF +GPR31 +CR 000000003720559B +LR 00000037FFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/282.bin b/tests/282.bin index c537b86..79699a3 100644 Binary files a/tests/282.bin and b/tests/282.bin differ diff --git a/tests/282.out b/tests/282.out index 18e7768..c9ff219 100644 --- a/tests/282.out +++ b/tests/282.out @@ -1,32 +1,37 @@ -REG 0007FB1D9007FB1D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FB1D0007FB1D -REG 0000002000E2D000 -REG 0000000000000000 -REG 0001000000000000 -REG E7803F81FD9D0001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000021B00008 -REG 0000000000000008 -REG 000000003BA1B743 -REG 000D16C0280D16BF -REG 0000000000000000 -REG 0000000000000000 -REG 000D16C0000D16BF -REG FFF804E2FFF804E3 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000000 -REG 000000EF7563E90C -REG FFFFFFFFFFFFB743 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000040001000 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFF98D20D88 +GPR8 0000000000000000 +GPR9 0000000080000000 +GPR10 0000000000000000 +GPR11 000000000001C01F +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0808080808080808 +GPR19 0000000000000000 +GPR20 00000000387D0000 +GPR21 0000000000000000 +GPR22 A339CA01A3380001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000080000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 DA5217FFD19CE500 +GPR29 0000000000000000 +GPR30 000000007CBC0000 +GPR31 +CR 0000000030150F00 +LR FFFFFFFF98D20D88 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/283.bin b/tests/283.bin index c2220cd..d84ee12 100644 Binary files a/tests/283.bin and b/tests/283.bin differ diff --git a/tests/283.out b/tests/283.out index 5c7522a..0e217dd 100644 --- a/tests/283.out +++ b/tests/283.out @@ -1,32 +1,37 @@ -REG 0000D7DB362715E8 -REG 0000000000000019 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000001607E00 -REG 0000282400000000 -REG 00000000B03F0001 -REG 0000002000000020 -REG 0000000000000000 -REG 000007E000200000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFDE8C0271 -REG FFFF0000FFFFFFFF -REG FFFFFFFFFFFFA101 -REG 00000000B00D0000 -REG 0000000000000012 -REG 0200000000000000 -REG 000000000000003F -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 00002823FFFFB2B1 -REG 0001000000000000 -REG 00000000B03F0000 -REG BD0000000000000A -REG 0000000000000000 -REG 00000000000055E8 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF4FC0FFBF -REG 0000000048088051 +GPR0 FFFFFFFFFFFF6AA0 +GPR1 00000000FFFFFFFE +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 000000000000951F +GPR5 FFFFFFFFFFFF6AE0 +GPR6 0000000007FFFE00 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000036D7D995 +LR FFFFFFFFFFFFFFFF +CTR A00000000000BBFF +XER 0000000080000000 + diff --git a/tests/284.bin b/tests/284.bin index bba074e..3591c0f 100644 Binary files a/tests/284.bin and b/tests/284.bin differ diff --git a/tests/284.out b/tests/284.out index 293e2ed..5b3082f 100644 --- a/tests/284.out +++ b/tests/284.out @@ -1,32 +1,37 @@ -REG 5000428DCC47C000 -REG 0000000020048840 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001E1640001 -REG 8FFFFC3213B80000 -REG 200041401FFFC623 -REG 700003CDFC477FFF -REG 0000000000000033 -REG 0708000000000000 -REG 700003CDEC480000 -REG 000000000000009E -REG FFFFFFFFFFFFFFFF -REG 8FFFFC3213B80000 -REG 700003CDEC47FFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFEC480 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000040008840 -REG 0000000000000000 -REG 000000001FFFC623 -REG B8000013B0000013 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000040008840 -REG 0000000100091080 +GPR0 FFFFFFFFFFFFFFBF +GPR1 FFFFFFF9FFFFFFF9 +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 0000000000000000 +GPR5 0000000000000BBF +GPR6 FFFFFFFFFFFFFFDF +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000E00000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000E00000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFBF +GPR28 00000000000005DF +GPR29 0000000017000000 +GPR30 FFFFFFFFFFFFFFBE +GPR31 +CR 0000000050520303 +LR FFFFFFFFFFFFFFBF +CTR FFFFFFFF00000000 +XER 000000008003C1FF + diff --git a/tests/285.bin b/tests/285.bin index 50cc793..b572487 100644 Binary files a/tests/285.bin and b/tests/285.bin differ diff --git a/tests/285.out b/tests/285.out index a8c6406..badc682 100644 --- a/tests/285.out +++ b/tests/285.out @@ -1,32 +1,37 @@ -REG 0000000067BD897C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000007C -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003C -REG 0000000000007683 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000007C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000409E89FE +GPR0 0000000000000000 +GPR1 FFFFFFFDFFBBFF80 +GPR2 FFFFFFFF932AD91A +GPR3 0000000000000000 +GPR4 000000023044007F +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 000000006CD59900 +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000A80000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000030000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFF7FFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFDC52 +GPR31 +CR 0000000038597539 +LR 0000000000000000 +CTR 00FFFFFFFFFFFFDD +XER 00000000800100E0 + diff --git a/tests/286.bin b/tests/286.bin index 8ca78e6..c241e30 100644 Binary files a/tests/286.bin and b/tests/286.bin differ diff --git a/tests/286.out b/tests/286.out index 92a9ae2..6ea2168 100644 --- a/tests/286.out +++ b/tests/286.out @@ -1,32 +1,37 @@ -REG 0000C7C7EC039748 -REG 000000A7ED031387 -REG 0000000000000000 -REG 0000182800000000 -REG FFFFFFFFFFEC749F -REG 0000000024041383 -REG 00000000E7A2FFFF -REG FFFFFFFFD0000000 -REG 0000000000000000 -REG FF89C2FFFFECF7FF -REG 000000A4EC031385 -REG FFFF58000FFFFFFF -REG 000000000000001C -REG 0000000000000000 -REG 00763DA4EC101B85 -REG 0000000000000000 -REG 000000A4EC031385 -REG 0000C7630000C762 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000000 -REG 0000000040000000 -REG FFFFFFFFFFECE8D0 -REG 0000000000000000 -REG FFFFFFFF185D0000 -REG 0000000000000000 -REG FFFFFF5812FCEC78 -REG 0000000088082707 +GPR0 0000000000001592 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000038230380 +GPR5 0000000000000000 +GPR6 E3B94C77E3B94C77 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFF60 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 E3B94C77E3B78C57 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000002014020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFE +GPR28 0000000030000000 +GPR29 00000000FF2EF1BC +GPR30 000000000000001C +GPR31 +CR 000000009555950A +LR 0000000000000008 +CTR 000000000000000B +XER 00000000A0040000 + diff --git a/tests/287.bin b/tests/287.bin index 278e31b..3ad7843 100644 Binary files a/tests/287.bin and b/tests/287.bin differ diff --git a/tests/287.out b/tests/287.out index 262e54c..93a7458 100644 --- a/tests/287.out +++ b/tests/287.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE7 -REG 8ACC00008ACBFFFF -REG 0000000081780000 -REG 000000007F007415 -REG FFFFFFFFFFFFFFFF -REG 00000000F7AA0000 -REG 0000000081000000 -REG 0000000000000000 -REG 00000000B2AD0000 -REG 0000000081000000 -REG FFFFFFFFFFFFFEFD -REG 0000000017FFBBFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF81000000 -REG 0000000000000000 -REG 0000000000000102 -REG 0000217BC47C0000 -REG FFFFFFFF7EFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0003820000000000 -REG 0000205E0000205E -REG 0000000000000000 -REG FFFFFFFF0855FFFF -REG 0000000000000000 -REG 00000000000010BE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000F000029C1 -REG 00000000000010C0 -REG 0000000000000608 -REG 0000000109FE8871 +GPR0 0000000000000016 +GPR1 00000000000FF976 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFA6B9 +GPR4 000000004700000F +GPR5 0000000000028000 +GPR6 0000000000000000 +GPR7 0000000000000015 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000000000F +GPR10 0000000000028000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFF9AE8 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFE1F9 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000003F2000 +GPR26 00000000000FC010 +GPR27 000000000001C020 +GPR28 00000000A0040000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000090409050 +LR 0000000000000000 +CTR 0000000000000020 +XER 00000000A0040000 + diff --git a/tests/288.bin b/tests/288.bin index 21d8564..dc8f21d 100644 Binary files a/tests/288.bin and b/tests/288.bin differ diff --git a/tests/288.out b/tests/288.out index 3e6ab9b..315f0ee 100644 --- a/tests/288.out +++ b/tests/288.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000020044824 -REG 0000000000000000 -REG 0000000000000000 -REG FFB0D87DE196572A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000004BA -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005B46 -REG FFFFFFFFCFA00000 -REG FFFFFFFF1E69A8D5 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF1E69A8D5 -REG 0000000000000000 -REG EBED4A4000000000 -REG FFFFFFFFFFFFA8D5 -REG FFFFFFFFCFA00000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000004BA -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00001FFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFE00001 -REG 0000000040089049 +GPR0 000000000000FFFF +GPR1 0000000000000193 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 00000000FFFFFFFF +GPR6 00000000FFFFFFE0 +GPR7 0000000000000000 +GPR8 1000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFA924 +GPR15 0000000000000000 +GPR16 07FFFFFC00000020 +GPR17 0000000000000000 +GPR18 000000000000FFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000FFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFF7 +GPR24 00000000FFFF9411 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0020000000800000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090012543 +LR 0000000000000000 +CTR 000000000069B000 +XER 0000000080000001 + diff --git a/tests/289.bin b/tests/289.bin index cf8ecd9..622ce63 100644 Binary files a/tests/289.bin and b/tests/289.bin differ diff --git a/tests/289.out b/tests/289.out index e1d0ae4..fd6e756 100644 --- a/tests/289.out +++ b/tests/289.out @@ -1,32 +1,37 @@ -REG 10AD861000004385 -REG 0000000000000009 -REG FFFFFFFFFFFFEF52 -REG 0000000000000001 -REG FFFFFFFFFFE00000 -REG 0000000000002BCD -REG 000000000000000B -REG 000000004800B684 -REG 0000000000000000 -REG 000000001AA2B684 -REG 09103FFFFFFF8F0B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000000 -REG 000000000010F796 -REG 000000000000000A -REG 001FF00000200000 -REG 000000001AA2B684 -REG 0000000000000000 -REG 0000000000000000 -REG 01DD000001DEA4FC -REG FFFFFFFFFFFF1B10 -REG FFE00FFFFFDFFFFF -REG FFFFFFFF865FD433 -REG 0000000000000000 -REG 0000000000000025 -REG FFFFEB30FFFFEB30 -REG FFFFFFFA865FD433 -REG 000000000000000A -REG 0000000082016C88 +GPR0 FFFFFFFFFFFFFFC2 +GPR1 FFFFFFF80107FC00 +GPR2 000000000001C020 +GPR3 000000000000003D +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFF0000 +GPR6 FFFFFFFFFFF803CE +GPR7 000000000007FC00 +GPR8 0000000000000020 +GPR9 0000000000007F9D +GPR10 FFFF6DBFABE07F9D +GPR11 0000000DF9FF8000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFCE +GPR14 FFFFFFFFFFFF1646 +GPR15 FFFFFFFFFFFF0000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 00000007FEF803FF +GPR21 0000000000002398 +GPR22 00000007FEF80400 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000002366 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFF80107FC3D +GPR31 +CR 00000000909000E0 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080003FE0 + diff --git a/tests/29.bin b/tests/29.bin index 8544615..61927c9 100644 Binary files a/tests/29.bin and b/tests/29.bin differ diff --git a/tests/29.out b/tests/29.out index 1fe3d45..ce69762 100644 --- a/tests/29.out +++ b/tests/29.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000008AEF -REG 0000000000008247 -REG 0000000000008246 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFEE960000 -REG FFFFFFFFFFFFFFFF -REG FFFFFE000000001F -REG FFFFFFFFFFFFFFDF -REG 0002090000000900 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF2B71 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF7E0 -REG 0000000000000000 -REG 000000001169FFFF -REG FFFFFFFFFFFFF7E0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000040 -REG FFFFFFFFFFFFFFBF -REG 0000000000008246 -REG FFFFFFFFEE960000 -REG 0000000040009000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFACB0 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000002000000001 +GPR5 000000000001C01F +GPR6 0000000000000000 +GPR7 00000000000F8000 +GPR8 0000000000000001 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFACAF +GPR15 0000000000000020 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 00000000A3150000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 00000E0100000E01 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFD +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000030209073 +LR 0000000000000000 +CTR 000000000001C020 +XER 0000000080035860 + diff --git a/tests/290.bin b/tests/290.bin index dd82f1b..f8684f4 100644 Binary files a/tests/290.bin and b/tests/290.bin differ diff --git a/tests/290.out b/tests/290.out index 290d078..27417d5 100644 --- a/tests/290.out +++ b/tests/290.out @@ -1,32 +1,37 @@ -REG 0004000000003FC5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0004000000003FFF -REG 0000000000000040 -REG FFFFFFFFFFFFC800 -REG FFFFFFFFFFFF9F2F -REG FFFFFFFEFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 7FFFFFFFFFFFC800 -REG 0000000000000000 -REG FFFC000000003FFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FF000000000FFFFF -REG 000001FFFFFFFFFE -REG 0000000000000000 -REG 0000000000006E2F -REG 0000000000000000 -REG F7F1FFFFF7E000FF -REG 0000001B00000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG FFFF9596FFFF9596 -REG 0000000000000000 -REG FFFFFFFEFFFFFFFF -REG 0000000041008101 +GPR0 0000FD1500000035 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000087D07D2A +GPR4 0000000000002000 +GPR5 0000000000000001 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001FA2A +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001FA2A +GPR16 0000000000000000 +GPR17 000000000001C01C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 0000000000000014 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0001C01F0001C01F +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033C90029 +LR 0000000000000046 +CTR 0000000000000000 +XER 00000000C0080039 + diff --git a/tests/291.bin b/tests/291.bin index 84c362f..9ebf571 100644 Binary files a/tests/291.bin and b/tests/291.bin differ diff --git a/tests/291.out b/tests/291.out index f80f37a..e9544db 100644 --- a/tests/291.out +++ b/tests/291.out @@ -1,32 +1,37 @@ -REG 00000D20F1B70BFA -REG 0000000000000040 -REG 00000000FF39D046 -REG 00000000FF3A0000 -REG 0000000000000008 -REG 00000000000053C4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001A -REG 0000002002B50BE2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000840 -REG 0000000000000000 -REG 0000000000002ABE -REG 00000D0000000000 -REG 00000000BB420000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000BB420000 -REG FFFFFFDFFD4AF405 -REG 0000002002B5001A -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000008 -REG 00000001BA7BD046 -REG 000000000000FFF9 -REG 0000000080001080 +GPR0 0000000000000006 +GPR1 0000000000000000 +GPR2 000000000000001A +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000600000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFF7425 +GPR8 00000000000007F0 +GPR9 000000000001C036 +GPR10 0000000000003F00 +GPR11 0000000000000000 +GPR12 0000000000004024 +GPR13 000000000000001A +GPR14 FFFFFFFFFFFFBFDB +GPR15 0000000000000000 +GPR16 FFFFFFFF8023F7C0 +GPR17 007FC003FFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000008BDB +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 00000000000000F0 +GPR26 0000000000000000 +GPR27 0000000000F00000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003FFF9D0E +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/292.bin b/tests/292.bin index 1fa0670..0fba7a2 100644 Binary files a/tests/292.bin and b/tests/292.bin differ diff --git a/tests/292.out b/tests/292.out index bbf4548..87e62b9 100644 --- a/tests/292.out +++ b/tests/292.out @@ -1,32 +1,37 @@ -REG 23053DDD256AA73B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 6000BD804000BD80 -REG 9FFF427FBFFF427F -REG 0000000000000000 -REG 00000000E8FFF91F -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFD16C2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFF0041 -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 00000000FFBF9DC8 -REG 0000000000000001 -REG 00000000FFBF9E6F -REG 0000000000000000 -REG 00000000FFBF9DC8 -REG 0000000000000000 -REG 0000000112716A96 -REG 0000000000000000 -REG FFFFFFFFFFFD4DA7 -REG 00000000E8FF6A96 -REG 0000000000000020 -REG 0000000048451044 +GPR0 FFFFFFFFADCBFFE2 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFD10B +GPR4 FFFFFFFFFFFE3FDF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFF00045A64 +GPR13 FFFFFFFFFFFF7598 +GPR14 0000000000000000 +GPR15 000000007F41FFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFD14D +GPR20 000000000001C020 +GPR21 000000000001C02E +GPR22 0000000000000000 +GPR23 000000200000001F +GPR24 FFFFFFFF80BE0000 +GPR25 0000000000000000 +GPR26 FFFFFFFFADCBFFFE +GPR27 FFFFFFFFFFFFFFFE +GPR28 FFFFFFFFFFFFFFBF +GPR29 0000000052340002 +GPR30 0000000000000000 +GPR31 +CR 0000000035940800 +LR FFFFFFFFFFFF916A +CTR FFFFFFD3FFFFFFC2 +XER 000000008001C01C + diff --git a/tests/293.bin b/tests/293.bin index 2b2f003..a9a0d42 100644 Binary files a/tests/293.bin and b/tests/293.bin differ diff --git a/tests/293.out b/tests/293.out index ea7a243..5fda03c 100644 --- a/tests/293.out +++ b/tests/293.out @@ -1,32 +1,37 @@ -REG FFFFFFFF9412786B -REG 000000000000001A -REG 0000000020800428 -REG 0000000000000139 -REG 0000000000000000 -REG 000000000002EB1C -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFE5E -REG FFFFFFFFFFFFFFC1 -REG 000077A5CB609CB0 -REG FFFFFFFFFFFFFFC1 -REG 0000000000000028 -REG FFFFFFFF27E7FE5E -REG 0000000037430000 -REG FFFFFFFFFFFFFFF2 -REG 0000000000020000 -REG 000000000BFFFFFF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 00000000FFCA7200 -REG 000000000C002B04 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFD8000 -REG 0000000000000010 -REG 000000000BFFFFFF -REG 0000000000000000 -REG 0000000101010851 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFF6BF +GPR3 FFFFFFFFFFFFFFFF +GPR4 000000000001C020 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 000000000000000C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000003000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000003000000 +GPR18 000000000000000C +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000940 +GPR30 FFFFFFFFFFFF0000 +GPR31 +CR 0000000053691945 +LR 0000000080000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/294.bin b/tests/294.bin index 356c223..66b0118 100644 Binary files a/tests/294.bin and b/tests/294.bin differ diff --git a/tests/294.out b/tests/294.out index 2e2b35b..ac1b810 100644 --- a/tests/294.out +++ b/tests/294.out @@ -1,32 +1,37 @@ -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFFFFFFFC000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000005F1B0000 -REG 000000002000325A -REG 0000000000000001 -REG 9E1168909E11F8C4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF92B9 -REG 0000000000000002 -REG 0000000000000000 -REG FFFF864BC0000001 -REG 0000000000000001 -REG FFFFFFFFC928FFFF -REG FFFFFFFFFFFFFFFF -REG 000FFFFFFFFFFFFE -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFC325 -REG 9E1168909E116890 -REG 0000000080080000 +GPR0 0000000000000001 +GPR1 FFFFFFFFFFFFFFBF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000E00BB18E +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFBF +GPR15 0000000000000040 +GPR16 0000000000001E8D +GPR17 FFFFFFFFFFFFFFBF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000003FFFFC00 +GPR26 0000000000000040 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFCC0E0020 +GPR31 +CR 0000000095805030 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/295.bin b/tests/295.bin index 253ae22..7198ed4 100644 Binary files a/tests/295.bin and b/tests/295.bin differ diff --git a/tests/295.out b/tests/295.out index e59396a..e98e1af 100644 --- a/tests/295.out +++ b/tests/295.out @@ -1,32 +1,37 @@ -REG FFFC3FFDFFFC2E16 -REG 0000000000000655 -REG 0000000000000000 -REG 00000000000036E2 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000047DD09AC -REG 0000000000001000 -REG 0000000000000000 -REG 00000000000009AB -REG 00000000000009AB -REG 0000000000000000 -REG FFFFFFFFFFFFF654 -REG 0000000000040000 -REG FFFFFFDFFFC3FFDF -REG 00000000000009AB -REG 0000000047DD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000009EE1 -REG 0000000000000040 -REG 0000000000000000 -REG 000000000000FF8F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000004F090889 +GPR0 FFFFFFFFFFFE3FC8 +GPR1 000000000000FFFF +GPR2 F000000000000000 +GPR3 00000000007FFFFF +GPR4 0000000000000000 +GPR5 000000000000003A +GPR6 0000000000000000 +GPR7 000000000000C020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 7FFFFFFFFFFFFF80 +GPR15 000000000000AF7A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFBF +GPR25 0000000000000000 +GPR26 00000000FFFE3FE0 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000350080E5 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/296.bin b/tests/296.bin index 0e3be54..c4cd40b 100644 Binary files a/tests/296.bin and b/tests/296.bin differ diff --git a/tests/296.out b/tests/296.out index df23cf0..ee150a3 100644 --- a/tests/296.out +++ b/tests/296.out @@ -1,32 +1,37 @@ -REG FFE003FFFFF82A16 -REG 0000000000000000 -REG 000000002E478F07 -REG 00000000121D0020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000031 -REG 0000000000000000 -REG 0000000000000000 -REG 000003FFFFF870F9 -REG FFFFFFFFFFFFFFCF -REG 000078F07F00FFC0 -REG 0000000000000000 -REG 0000000000000000 -REG C000000000000000 -REG FFFFFFFFFAEB3620 -REG 0000000000000000 -REG FFFFFC012E478F07 -REG 0000000000000000 -REG FFFFFC0000078F07 -REG 0000000000000000 -REG 000003FFFFF870F9 -REG FFFFFFFFFFFFFFFF -REG 00000000121D0020 -REG 0000000000000034 -REG 0000000005000401 -REG 0000000000000000 -REG 000000002F00F040 -REG FFFFFFFFFFFFFFFF -REG 000000004CC80000 -REG 000000004801E110 +GPR0 0000000000000000 +GPR1 000000000001C01F +GPR2 0000000000000001 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFF98EA +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000002 +GPR8 0000000000000020 +GPR9 000000000001C020 +GPR10 000000001FFF0CC2 +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000002 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0200000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000001FFF98EA +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 FFFFFFFFFFFF98EA +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000006715 +GPR29 00000000A0040000 +GPR30 0000000000000001 +GPR31 +CR 0000000035809994 +LR 000000000001C020 +CTR 000000000001C01E +XER 00000000C0080000 + diff --git a/tests/297.bin b/tests/297.bin index 24b1765..586640a 100644 Binary files a/tests/297.bin and b/tests/297.bin differ diff --git a/tests/297.out b/tests/297.out index b6c3192..fb4f05c 100644 --- a/tests/297.out +++ b/tests/297.out @@ -1,32 +1,37 @@ -REG FFFFF80EFFFFF803 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF80EFFFFF80E -REG FFFFFFFFB77D1C08 -REG 0000000000000000 -REG 007FFFE0007FFFE0 -REG 0000000002802080 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000063E00000 -REG 0000000000000000 -REG 0000000028020808 -REG FFFFF80EFFFFF80E -REG 0000000000000B1F -REG 0000000000000041 -REG 0000000000000014 -REG FFFE000000000000 -REG FFFFFFFFB3FDF7FF -REG 008007D1008007D1 -REG 0000000000000000 -REG 000000007FFFFFFF -REG 000000005A0E7B88 -REG 0000000000000000 -REG FFFE00007FFFFFFF -REG FFFFFFFFFFFFF4EC -REG 0000000000000001 -REG FFFFFFFFFFFF1B8F -REG 0000000000000020 -REG FFFFFFFFFFFFFFF4 -REG FFFFFFFFFFFFFFFE -REG 0000000050041010 +GPR0 00006A000001F5FF +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFA +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFBFA +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000B74D +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000BE3C0000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000035 +GPR25 FFFFFFFF41C3FFFE +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFF00 +GPR30 0000000000000040 +GPR31 +CR 000000009A208720 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/298.bin b/tests/298.bin index df97a9b..718fc57 100644 Binary files a/tests/298.bin and b/tests/298.bin differ diff --git a/tests/298.out b/tests/298.out index ba95459..f30bd18 100644 --- a/tests/298.out +++ b/tests/298.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000200000 -REG 0000000000000000 -REG 000014D0DBFD14C0 -REG FFFFEB2F260EEB3F -REG 000014D0D9F0DEF7 -REG 000C86A0000C0000 -REG 0000009CE5CBE52C -REG 0000000000000000 -REG 000015FB000015C3 -REG 00001FFB00001FCB -REG 000015FB000015C3 -REG 00000000000054EB -REG FFFFEA04FFFFEA3C -REG 000C86A0000C23B5 -REG FFFFEA04FFFFF938 -REG FFFFEB2F260EEB3F -REG 0000000000000000 -REG 0000000000000000 -REG 000015FB000015C3 -REG 000014D0D9F10731 -REG 0000000000000015 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000041 -REG FFFFFFFFFFFFFF10 -REG 0000000080000000 -REG 0000000000000000 -REG 000014D0000014C0 -REG 0000000000000000 -REG FFFFEB2F260F5813 -REG 000000008008A881 +GPR0 FFFFFFFFFFFFFFE2 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000005610 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000E41 +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 000000000001C020 +GPR10 FFFFFFFFFFFE401E +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 000000000001C020 +GPR16 0000000000000002 +GPR17 000000008003FFFF +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFAEDE +GPR21 000000000001C020 +GPR22 000000000001C020 +GPR23 000000000001C020 +GPR24 FFFFFFFFFFFF86F3 +GPR25 0000000000000000 +GPR26 0000000000005123 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000599F4856 +LR 0000000000005162 +CTR 000000000001C020 +XER 000000008003FFFF + diff --git a/tests/299.bin b/tests/299.bin index 5767638..473ccaf 100644 Binary files a/tests/299.bin and b/tests/299.bin differ diff --git a/tests/299.out b/tests/299.out index 919b52e..dbd57d0 100644 --- a/tests/299.out +++ b/tests/299.out @@ -1,32 +1,37 @@ -REG C2B56E92B70B53B7 -REG 0000000000000000 -REG FD4A916DF0000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000001300 -REG 0000000004000000 -REG FFFFFFFFFFFFFB00 -REG 0000000000015AB7 -REG 5EC8B35C01C00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF32855318 -REG 0000000000000000 -REG FFFFFFFF32855AB7 -REG 0000000000000001 -REG 000000000000007F -REG C58B8894C5880080 -REG FFFFFFFFFFFFFB00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000180002B878000 -REG FFFFFFFFFFFFDA10 -REG FFFFFFFFFFFE2C40 -REG FFFFFFFFFFFFFFF9 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFC0000 -REG 0000000088110891 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000003089 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000003089 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000000000 +GPR15 0000000059405490 +GPR16 0000000000006CD9 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFC1FFFFFFFFE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFC1FFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000000881551 +LR 0000000000000000 +CTR 0000000059405490 +XER 000000008003FFFF + diff --git a/tests/3.bin b/tests/3.bin index 8d1dc0f..8d7c7b7 100644 Binary files a/tests/3.bin and b/tests/3.bin differ diff --git a/tests/3.out b/tests/3.out index a5d61bd..152352f 100644 --- a/tests/3.out +++ b/tests/3.out @@ -1,32 +1,37 @@ -REG 0000000025A6FFDE -REG FFFFFFFFFFFFFFEB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 001B20C00CC400C0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFF14E -REG 0000000000000EA0 -REG 0000000000000000 -REG 0000000000005CFE -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000001FA06C83 -REG 000000000000017B -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFA1 -REG 0000000000000000 -REG C02000000000005E -REG 0000000000000005 -REG FFFFFFFF3F19FF83 -REG 0000000000000004 -REG FFFFFFFFFFFFFA4E -REG 000000000000425B -REG 001B20C0001B00C0 -REG 0000001AC00037CB -REG 0000000051F09E11 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000002 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFACEA +GPR29 0000000000000000 +GPR30 000000000001BC9F +GPR31 +CR 0000000059809D59 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/30.bin b/tests/30.bin index c9bd9c9..45b7320 100644 Binary files a/tests/30.bin and b/tests/30.bin differ diff --git a/tests/30.out b/tests/30.out index 652249d..94f7f84 100644 --- a/tests/30.out +++ b/tests/30.out @@ -1,32 +1,37 @@ -REG 643FC059643FC059 -REG 0000000000000040 -REG 0000000125EB0001 -REG FFFFFFFFFFFFFFFF -REG 0000000007FFA375 -REG 0000000100000001 -REG 0000000100000001 -REG 0000000000000000 -REG 643FC059643FC059 -REG 00000000029C0002 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFAF9FFFF -REG FFFFFFFF7BBB3FD7 -REG 0000000072920062 -REG 0000000072920065 -REG FFFFFFFFDFFF9C25 -REG 00000000ADE40062 -REG 0000000000000000 -REG 00000000080013E4 -REG 00000000029C0002 -REG 643FC059643FC059 -REG 0000000000000000 -REG 0000000100003F3A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000002F9FFFF -REG 0000000008000000 -REG 0000000080880009 +GPR0 FFFFFFFFFFFFEB16 +GPR1 A36EAF16A36EAF16 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 00000BFF9CC00C00 +GPR6 000000000000003F +GPR7 FFFFFFFFFFFFE601 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000280 +GPR13 FFFFFFFFFFFFFFFE +GPR14 001125A4A39973DE +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 001125A4A36EC935 +GPR18 FFFFFFFFFFFF440C +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000155605 +GPR22 000000000001C004 +GPR23 0000000000000EEE +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFD55556 +GPR27 0000000000000000 +GPR28 FFFFFC0A6309038A +GPR29 FFFFFFFFFFFFF111 +GPR30 000000000001C005 +GPR31 +CR 0000000054CB0420 +LR FF00FFFF00010003 +CTR 00020973DDB0C861 +XER 00000000A0055557 + diff --git a/tests/300.bin b/tests/300.bin index b3f2773..4359ccf 100644 Binary files a/tests/300.bin and b/tests/300.bin differ diff --git a/tests/300.out b/tests/300.out index 035cdbf..ada3e7f 100644 --- a/tests/300.out +++ b/tests/300.out @@ -1,32 +1,37 @@ -REG 0000000000001F8F -REG 0000000040000001 -REG FFFFFFFFBFFFFFFE -REG FFFFFFFFC0000000 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000040000001 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040204828 -REG 0000000000000020 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000001F8E -REG 0000000040000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100409051 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 00000003FFFFFF00 +GPR12 0000000000000000 +GPR13 00000003FFFFB3D1 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFF00 +GPR24 0000CBFC00007490 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030099999 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/301.bin b/tests/301.bin index 37bda27..5a17cd1 100644 Binary files a/tests/301.bin and b/tests/301.bin differ diff --git a/tests/301.out b/tests/301.out index e2c1a79..8b62171 100644 --- a/tests/301.out +++ b/tests/301.out @@ -1,32 +1,37 @@ -REG FFFFFFFFA98D4424 -REG 0000000020004444 -REG 0000000000000040 -REG 3401022634010006 -REG 0000000100000001 -REG 0000000000000040 -REG 0000000000000006 -REG FFFFFFFFDFFFBBBC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000010000000100 -REG 000000000000330A -REG 0000000000680200 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020004444 -REG 0000001400000014 -REG 0000000000000000 -REG 00000000898D0040 -REG 0000000000000000 -REG FFFFF7DAFFFFF7DA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020004444 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000048100000 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 00000000C0080000 +GPR3 0000000000000000 +GPR4 0000000000003804 +GPR5 0000000000000198 +GPR6 0000000000000000 +GPR7 0000000000000012 +GPR8 000000000000000F +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000000000003F +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFB5A6 +GPR20 0000000000000001 +GPR21 0000000000005BE5 +GPR22 0000000000000000 +GPR23 0020019800200000 +GPR24 FFFFFFFFFFFFA41A +GPR25 0000000000003E8F +GPR26 7FFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 7FFFFFFFFFFFFFFF +GPR29 0000000000200198 +GPR30 0000000000000012 +GPR31 +CR 000000003F000003 +LR 7FFFFFFFFFFFFFFF +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/302.bin b/tests/302.bin index 679731b..5687251 100644 Binary files a/tests/302.bin and b/tests/302.bin differ diff --git a/tests/302.out b/tests/302.out index e19104b..7c0347f 100644 --- a/tests/302.out +++ b/tests/302.out @@ -1,32 +1,37 @@ -REG 000000000040647D -REG FFFFFFFFFFFFFFFF -REG 000000000000643D -REG 0000000000000000 -REG 0000000292940000 -REG 0000185FC000A4A5 -REG 0000000000040000 -REG 0000004000000000 -REG 0000000000040000 -REG 000000400000643D -REG 000000000000002E -REG 0000000000000040 -REG 0000000000000001 -REG 0000000800000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000040000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000643E -REG FFFFFFFFFFFF5B5B -REG 98000000001FFF51 -REG 000000000000B1CC -REG 0000000000000020 -REG 0000000000000019 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000039 -REG FFFFFFFFFFFFFFFF -REG 0000000050890110 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0015FFFF0015FFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000002 +GPR16 0000000000160000 +GPR17 000000000FFFFFFD +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFF0000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000160000 +GPR25 0000000000000000 +GPR26 002C0000002BFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000005099C070 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/303.bin b/tests/303.bin index 9430358..55e10ec 100644 Binary files a/tests/303.bin and b/tests/303.bin differ diff --git a/tests/303.out b/tests/303.out index 1be9980..31f78ae 100644 --- a/tests/303.out +++ b/tests/303.out @@ -1,32 +1,37 @@ -REG 003DA96EFA1E7636 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDFB7B800 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000001058 -REG FFFFFFFFFFFFFFFF -REG 3FFFFFFFFA1DACA0 -REG FFFFFFFFFA1DACA0 -REG 00000000000074B5 -REG 4000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 3FFFFFFFFFFFDBE9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000689F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020484800 -REG FFFFFFFFFFFFFF4A -REG FFFC000000000000 -REG FFFFFFFFFFFBFFFF -REG FFFFFFFFFFFFFF4A -REG 0000000080909000 +GPR0 0E00000000000000 +GPR1 000000003955FFF7 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFC6AA034B +GPR5 0000000200000002 +GPR6 0000000000000000 +GPR7 0E00000000000000 +GPR8 0000000000000008 +GPR9 000000003955FFF8 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000008 +GPR13 000000000000003C +GPR14 0000000000000000 +GPR15 000000003955FFF7 +GPR16 0000000000000040 +GPR17 FFFFFFFFFFFFFFFE +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000050000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFE3FDE +GPR23 FFFFFFFFFFFFFFFF +GPR24 0001C01A0001C01A +GPR25 0000000000000008 +GPR26 000000003955FCB5 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0E00000000000000 +GPR31 +CR 0000000099553C55 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00F001F + diff --git a/tests/304.bin b/tests/304.bin index 5546cd6..01247cb 100644 Binary files a/tests/304.bin and b/tests/304.bin differ diff --git a/tests/304.out b/tests/304.out index be11971..ca00f41 100644 --- a/tests/304.out +++ b/tests/304.out @@ -1,32 +1,37 @@ -REG FFFFFE3EFC0C9C02 -REG 0000000000000000 -REG 000000007F7D0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003F3640D -REG 0000000003F3A806 -REG 00080000404F8000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0007E00000000000 -REG 0000000000000010 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000001FFFFFFFFFF -REG FFFFFFC103F363FD -REG FFFFFFFFFFFFFFC4 -REG 0000000000000040 -REG 000000000000003B -REG FFFFFFFF00000000 -REG 000000000000003B -REG FFFFFE0000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000080000000 -REG 0000003EFC0C9C32 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000100885080 +GPR0 0000000000001C68 +GPR1 0000000000038040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000E01 +GPR6 0000000000000000 +GPR7 0000000000005F1C +GPR8 FFFFFFFFFFFC7FBE +GPR9 00000000000031A4 +GPR10 0000000000000040 +GPR11 0000000000038041 +GPR12 00000000503993C7 +GPR13 0000000000038040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000038041 +GPR19 0000000000000020 +GPR20 0000000000000010 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000004000000 +GPR28 00000000C00893C7 +GPR29 0000491F59307C89 +GPR30 0000000000000000 +GPR31 +CR 000000003F944935 +LR 00000000E95C0000 +CTR 00000000000031A4 +XER 0000000080000000 + diff --git a/tests/305.bin b/tests/305.bin index f05aaab..c3f32d7 100644 Binary files a/tests/305.bin and b/tests/305.bin differ diff --git a/tests/305.out b/tests/305.out index ecefbe0..03b1706 100644 --- a/tests/305.out +++ b/tests/305.out @@ -1,32 +1,37 @@ -REG 7925FBDEB67A5DCE -REG 0000000000000000 -REG 0000000020000000 -REG 000000000000003E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000800000 -REG 0000000000020001 -REG 0000000000000001 -REG FFFFFFFFFB338101 -REG FFFFFFFFFFFF7FFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG 000000008A580001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 00000000007FFF00 -REG 0000000000005232 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000020000 -REG FFFC6E0CDF9C7AF8 -REG 0000000000000000 -REG 0000000000000002 -REG 000000000018F5F0 -REG 0000000000010000 -REG 0000000000000000 -REG 0000000000000020 -REG 000000009F20FFF0 +GPR0 000000000001C004 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000002000000015 +GPR5 FFFFFFFFFFFFFFFE +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000001 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFF8944 +GPR10 00000000000076BC +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFD +GPR23 0000000000000020 +GPR24 FFFFFFFFFEFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 00000000000036DA +GPR27 0000000000000001 +GPR28 000000006005FFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030040933 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/306.bin b/tests/306.bin index 258fac9..20d9a41 100644 Binary files a/tests/306.bin and b/tests/306.bin differ diff --git a/tests/306.out b/tests/306.out index b29b605..3ea1797 100644 --- a/tests/306.out +++ b/tests/306.out @@ -1,32 +1,37 @@ -REG 00004B6B03804B2B -REG FFFFFFFFFFFFFFFF -REG 0000000000007A64 -REG 01FFFFFFFFFFF000 -REG 0000000000000000 -REG 0808080803040305 -REG FFFFFFFFFFFFFFE1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFFFFFD395 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF7FFFFFFFFFF -REG 0000000000000004 -REG 0000000000000000 -REG 00FFFFFFFC000000 -REG FFFFFFFFC03FFFFF -REG 0000000000000000 -REG 0000000020008840 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 000007FFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFE0B2C2BC -REG 000000000007F000 -REG FFFFFFFFFFFFFFE1 -REG FFFFFFFFFFFFBE87 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000040011081 +GPR0 0000000061130000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C00C +GPR11 0000000000000000 +GPR12 000000000001C008 +GPR13 000000000001C02C +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 000000002D7F3FF8 +GPR19 03FFFFFF7FF00000 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000005745 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 00000000ED8A0000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 000000000001C00C +GPR30 0000000000000000 +GPR31 +CR 0000000036185355 +LR 0000000000000000 +CTR FFFFFFFFFFFFD440 +XER 0000000080000000 + diff --git a/tests/307.bin b/tests/307.bin index bc992f7..4a71e7e 100644 Binary files a/tests/307.bin and b/tests/307.bin differ diff --git a/tests/307.out b/tests/307.out index 4bc6a1e..588be22 100644 --- a/tests/307.out +++ b/tests/307.out @@ -1,32 +1,37 @@ -REG 000000004FFFFB94 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF03FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000F00000 -REG 0000001000000000 -REG 0000000000000040 -REG 00000FFFFFFF8000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000033EC0040 -REG 0000000000000040 -REG FFFFF80000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF81F -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000057A5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000FF0 -REG 000000011FFFE8C8 +GPR0 000000000001C004 +GPR1 0000000000000020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFF0 +GPR5 FFFFFFFFBB0F5473 +GPR6 0000000000000000 +GPR7 FFFFFFFFFD87AAFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000010 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFE4 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000000000F +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 00000000000012B6 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000000000000 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/308.bin b/tests/308.bin index ed23ed5..1e8c542 100644 Binary files a/tests/308.bin and b/tests/308.bin differ diff --git a/tests/308.out b/tests/308.out index d9e67db..607c705 100644 --- a/tests/308.out +++ b/tests/308.out @@ -1,32 +1,37 @@ -REG FF1EFFFFFFFFFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 89F20F8B89F20F90 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFE5E77 -REG FFFFFFFFFFFE5E77 -REG 0000000000004BD5 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFF576 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FF1EFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000028 -REG 0000000000000020 -REG 00000000DF074760 -REG FFFFFFFF000000FF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000F000000 -REG 0000000052891FA0 +GPR0 000000000000923E +GPR1 0000000000000000 +GPR2 00000000FFFF8EA0 +GPR3 0000E01000000010 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000007160 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFF8EA0 +GPR9 FFFFFFFFFFFFFFFE +GPR10 FFFFFFFFFFFFFF60 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000006 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 0000000000000005 +GPR21 0000010600000006 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000023CDC020 +GPR31 +CR 000000005309389D +LR 0000000000000000 +CTR 0000000000028041 +XER 00000000A0040025 + diff --git a/tests/309.bin b/tests/309.bin index fdb8854..f03c756 100644 Binary files a/tests/309.bin and b/tests/309.bin differ diff --git a/tests/309.out b/tests/309.out index 50c2181..a3f067b 100644 --- a/tests/309.out +++ b/tests/309.out @@ -1,32 +1,37 @@ -REG 00046E6000046E00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000001 -REG 000000003E3E002F -REG 00000000FFFFFD80 -REG 0000000000000000 -REG 00046E5F00046E5F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFD80 -REG 0000000000000040 -REG 0000000000000000 -REG 00046E6000046E5F -REG 000000000000FF80 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000006E5F -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 00046E6000046E5F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 00046E6000046E5F -REG 0000000000006C20 -REG 00046E6000046E5F -REG 000000009E81FB08 +GPR0 00000000FFFFFFC0 +GPR1 0000000000000003 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 000000000001C020 +GPR5 0000000000000008 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000001F +GPR16 FFFFFFFFC90D3B3B +GPR17 0000000000000020 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000020 +GPR20 00000000FFFE3FE0 +GPR21 000000000000923E +GPR22 FFFFFFFFC90D3B3B +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000500000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000001C040 +GPR31 +CR 0000000039594560 +LR 0000000000000000 +CTR 000000000001C01E +XER 00000000A0040000 + diff --git a/tests/31.bin b/tests/31.bin index fd6e6eb..77790fa 100644 Binary files a/tests/31.bin and b/tests/31.bin differ diff --git a/tests/31.out b/tests/31.out index 03fb117..76122f3 100644 --- a/tests/31.out +++ b/tests/31.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFF8BA80 -REG 0000000000000000 -REG 00000000C95D0000 -REG 0000000000000000 -REG 1060000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000001000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000000DFFFFF8 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C95D5E6D -REG 000000000000A5D9 -REG 00000000000096FC -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000006E680 -REG FFFFB9A7FFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000050010000 +GPR0 FFFFFFFFFF800000 +GPR1 FFFFFFFFFFFFB726 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000005C9BE +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000005 +GPR8 0000000000000040 +GPR9 0000000000000040 +GPR10 0000000000000034 +GPR11 FFFFFFFFFFFFFFDA +GPR12 0000000000000000 +GPR13 0000000000061297 +GPR14 FFFFFFFFFFFFFFDF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000000002E4 +GPR19 0000000000000000 +GPR20 0000000030985919 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000021 +GPR27 FFFFFFFFFFFFE7E0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050985939 +LR 0000000000000000 +CTR 40240000C04059D7 +XER 0000000080000000 + diff --git a/tests/310.bin b/tests/310.bin index de00a29..7243ed2 100644 Binary files a/tests/310.bin and b/tests/310.bin differ diff --git a/tests/310.out b/tests/310.out index 372253a..68d76e0 100644 --- a/tests/310.out +++ b/tests/310.out @@ -1,32 +1,37 @@ -REG F800000007000E7F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF58 -REG 0000000000010000 -REG 0000000000002F0D -REG 0000000000000040 -REG 000000000000FFFF -REG 00000000CC280000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF58 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFF8C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFB7 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFF58 -REG FFFFFFFFFFFFFFFD -REG 0000000000000008 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000E9DAFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040105100 +GPR0 0000000000000001 +GPR1 0000000008080808 +GPR2 0007F4A1FF300000 +GPR3 FFFF1FEF00000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0006400000060000 +GPR8 0000000000000000 +GPR9 0007F4A1FEF60000 +GPR10 0000000030095045 +GPR11 000000007F4A1FEF +GPR12 FFFFFFFFFFC00000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0007F4A1FEF00000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000070000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000007F00DCDA +GPR27 0808080808080808 +GPR28 000000007F4A1FEF +GPR29 0000000007F001FF +GPR30 FFFFFFFFFFFE3FDE +GPR31 +CR 0000000050095045 +LR 07216B94C8000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/311.bin b/tests/311.bin index bfe258d..17e3451 100644 Binary files a/tests/311.bin and b/tests/311.bin differ diff --git a/tests/311.out b/tests/311.out index dba1bd8..ae7bdd1 100644 --- a/tests/311.out +++ b/tests/311.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 67FFFFFFFFFFFFBD -REG 000000000040FFE0 -REG 6800000007DFFFBC -REG FFFFFFF00000883B -REG 0000000300000017 -REG 000000000000FB09 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 01107600003FE000 -REG 0000000000007D84 -REG 0000000000000010 -REG 0000000FFFFF77C4 -REG FFFFFFFFFFFF827B -REG 0000000000001EF1 -REG 0000000000000000 -REG 0000380000000000 -REG 000000000000000C -REG 0000000000000001 -REG 9800000000000043 -REG 0000000000000000 -REG 000000000000EB4F -REG 0000000000000000 -REG FFFFFFF000005491 -REG FFFFFFF000008839 -REG FFFFFFF000007FFF -REG 0000000000002180 -REG 980000000000003F -REG FFFFFFFFFFFFFFFE -REG 0000000081FE81FE +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000040 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFBF +GPR14 FFFFFFFFFFFFFFC0 +GPR15 0000000000000040 +GPR16 00000000FFFFFFC5 +GPR17 00000000BB070000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000BB070000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFF6 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 FFFFFFFFFFFFFFBF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035145570 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000C0085556 + diff --git a/tests/312.bin b/tests/312.bin index 7d27d0d..cdeeade 100644 Binary files a/tests/312.bin and b/tests/312.bin differ diff --git a/tests/312.out b/tests/312.out index 01cfc6d..a369939 100644 --- a/tests/312.out +++ b/tests/312.out @@ -1,32 +1,37 @@ -REG F1CE65F847387536 -REG 0E319A07FFFFFFFF -REG FFFFFFFFDFFFFFDE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF000000FF -REG 7671027EE9806E04 -REG 0000010007FFFD00 -REG 0000000000000000 -REG FFFFFFFFDFFFFFDD -REG 0000000000000000 -REG 0000000000000000 -REG 0000076000000060 -REG FFFFFFFFFFFFF17F -REG 0E319A07B8C7FFFF -REG 70274C0370274C23 -REG F7FFF0B77FEFCC00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFF131DF9F -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001111F001E +GPR0 0000000000006359 +GPR1 000000000001C020 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000040 +GPR13 FFFFFFFF0001C065 +GPR14 0000000000000000 +GPR15 0000000000000025 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000020040000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000800000008 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 000000000000E00F +GPR29 FFFFFFFFFFFFFFBF +GPR30 FFFFFFFFEC180001 +GPR31 +CR 0000000095000990 +LR 0000000800000008 +CTR FFFFFFFFFFFE3FDA +XER 0000000080000000 + diff --git a/tests/313.bin b/tests/313.bin index 16f5c14..59860b9 100644 Binary files a/tests/313.bin and b/tests/313.bin differ diff --git a/tests/313.out b/tests/313.out index ce5d0e7..ae447e6 100644 --- a/tests/313.out +++ b/tests/313.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFEFAEFB7 -REG 0000000003BE9C3E -REG 020A2000020A2000 -REG FFFFFFFFFFFFFFF8 -REG 0000000001051000 -REG 0000000000000000 -REG 400000FFFFAA5E00 -REG 0000000000000000 -REG 0000000000051000 -REG 000A2000020A3BB9 -REG FFFFFFFFFFFFFFFF -REG 0000000001051008 -REG 01400000FFFFAA5E -REG 0000000000000013 -REG FEBFFFFEFFFF9D7E -REG 0002146100021461 -REG 000000000105177D -REG 0000000000000000 -REG 020A2000020A0000 -REG FFFFFFFFFFFFFFE1 -REG 000000000000001E -REG 0000000000000008 -REG 0000000020000000 -REG 0000000000000000 -REG 000A2000020A2000 -REG FFFFFFFFFFFFFFF3 -REG FFFFFFFFFEFB1D70 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFFFFFF882 -REG 0000000080800104 +GPR0 FFFFFFFFCA677CAC +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000017 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 07FFFFFFFFE6C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000CA1D0001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000017 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0007004000000000 +GPR27 000000000001C010 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035988353 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/314.bin b/tests/314.bin index f89e2dc..d813bc3 100644 Binary files a/tests/314.bin and b/tests/314.bin differ diff --git a/tests/314.out b/tests/314.out index 7ba4874..6fb460d 100644 --- a/tests/314.out +++ b/tests/314.out @@ -1,32 +1,37 @@ -REG FFFFFFFF0000FEBF -REG 0000000000000000 -REG FFFFFFFFFFFFE001 -REG FFFFFFFFFFFFE000 -REG 0000000000000001 -REG 0000000000000018 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000008000BB2 -REG 0000000000001FFF -REG FFFFF301747935D0 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFFFFE001 -REG 0000000010F5FFFF -REG 0000000000000000 -REG 00000000200F8818 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000035D0 -REG 0000000000000080 -REG FFFFFFFFFFFFE000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000017 -REG 0000000000000211 -REG 0000000000000001 -REG 000000002EE00001 -REG 0000000000000001 -REG 0000000050051031 +GPR0 00EFFBDEC9E9F999 +GPR1 0000000000000000 +GPR2 F7BDEF7BDFF7BDF1 +GPR3 0000000007FFFCE2 +GPR4 FFFFFFFFFFFFFF8A +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFF0 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C025 +GPR14 FFFFFFFFFFFFFFF9 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 00000000000003FD +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFF9C45 +GPR21 0000000000000001 +GPR22 FFFFFFFFFFFE7FDF +GPR23 0000000000000075 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFDDA +GPR26 FFFFFFFFFFFFFCCD +GPR27 0000000000000000 +GPR28 00000000000000F0 +GPR29 0000000000000004 +GPR30 0000000000000000 +GPR31 +CR 000000005169F999 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/315.bin b/tests/315.bin index c4c3641..eea15d1 100644 Binary files a/tests/315.bin and b/tests/315.bin differ diff --git a/tests/315.out b/tests/315.out index a45f155..96c738c 100644 --- a/tests/315.out +++ b/tests/315.out @@ -1,32 +1,37 @@ -REG 23E0000082330017 -REG 0000000024404840 -REG FD989E8523E95B80 -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 00000000000000FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000009 -REG 0000000000000000 -REG FD989E0000000000 -REG FFFFFFFFFFFFFFFF -REG 00FFFFFF0000FF00 -REG FFFFFFFE3D43AF66 -REG 0000000000004BA4 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FF00000000000000 -REG 336AAAAAAAA996E2 -REG 0000000000000000 -REG 0000000000000009 -REG 000000000000001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFF6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080809101 +GPR0 FFFFFFFFFFFFC020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFE82D62CE1 +GPR5 000000017D2A12FC +GPR6 000000017D2A12FC +GPR7 FFFFFFFFFFFFC020 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFF166 +GPR10 000000000001C032 +GPR11 0000000000000E99 +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFC020 +GPR19 0000000000000000 +GPR20 000000000F000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000017D29D31E +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 000000005FFDF5E5 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/316.bin b/tests/316.bin index 063fcb0..d010a89 100644 Binary files a/tests/316.bin and b/tests/316.bin differ diff --git a/tests/316.out b/tests/316.out index e85b3c9..d7b6b36 100644 --- a/tests/316.out +++ b/tests/316.out @@ -1,32 +1,37 @@ -REG 58F7F788A7F7F980 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000007DBE -REG 000004C0000000C0 -REG BFFFFFFFFFFF4B10 -REG 0000000000098000 -REG 000004C00EF600C0 -REG 0000000000000000 -REG FFC0000039A80000 -REG 0000000000000011 -REG 00000000000003FF -REG 0000000000000000 -REG 00000000003600C0 -REG 0808080808080808 -REG 003FFFFFFFFFA045 -REG 0000000000000000 -REG 0000000000007476 -REG 0000000000800001 -REG 7FFFFFFC7FFE007C -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFC0000039A7FFFF -REG 00000000000000C0 -REG FFBFFB402AB1FF40 -REG FFFFFFFFFFFFA045 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBE -REG 0000000000000000 -REG 0000000081E8E911 +GPR0 FFFFFFFFFFFFFFFC +GPR1 000000000001C020 +GPR2 000000000001C024 +GPR3 0000000000000000 +GPR4 00000000C00BFFE6 +GPR5 0000000000001763 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000001539 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFB1B2E52 +GPR16 00000000FFFE0000 +GPR17 0000000000000000 +GPR18 0000000030000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000011 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFC +GPR24 0000000000000002 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000038950391 +LR 0000000000000018 +CTR 0000000000000000 +XER 000000008003FFE6 + diff --git a/tests/317.bin b/tests/317.bin index 7f4cd62..d5409d0 100644 Binary files a/tests/317.bin and b/tests/317.bin differ diff --git a/tests/317.out b/tests/317.out index f19c34e..c789345 100644 --- a/tests/317.out +++ b/tests/317.out @@ -1,32 +1,37 @@ -REG 5C7800FDB1BA0066 -REG FFFFFFFFFFFF8104 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000024190000 -REG 0000000000000003 -REG 0000000000000000 -REG 9FC0000000000000 -REG 2F4A4800000001E0 -REG E00000017CB7548D -REG 0000000000000001 -REG 000000003C05E949 -REG 0000000000000000 -REG 0000000000000004 -REG 00000000000001E0 -REG 20000800000000E4 -REG D0B5B7FFFFFFFE1F -REG 0000000000000000 -REG FFFFFE0000000000 -REG 0000000000000C01 -REG 0000000000000002 -REG 0000000000000004 -REG E00000017CB70001 -REG 0000000000000000 -REG 000000000000003D -REG 0000000000000000 -REG 000000000F000000 -REG FFFFFFFFFFFFF94B -REG DFFFF7FFFFFFFF1F -REG 0000000000000001 -REG 0000000000000000 -REG 000000011FFE1FE8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFA5 +GPR3 1000000000000008 +GPR4 0000000F8EE00000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000002 +GPR8 0000000001FFFFA5 +GPR9 0000000000000038 +GPR10 0000000000000000 +GPR11 007BF000007B8000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000038 +GPR17 0000000000000000 +GPR18 0000000000002040 +GPR19 0000000000000020 +GPR20 0000000000000020 +GPR21 0000000000000002 +GPR22 00000000003FFFFC +GPR23 0000200000000000 +GPR24 0000000000000000 +GPR25 000000009E4C0000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 000000007BF00000 +GPR30 0000000000000000 +GPR31 +CR 0000000035A3431F +LR 0000000000024036 +CTR 0000200000000000 +XER 0000000080000000 + diff --git a/tests/318.bin b/tests/318.bin index 10e71c2..1f9fc87 100644 Binary files a/tests/318.bin and b/tests/318.bin differ diff --git a/tests/318.out b/tests/318.out index 337fa46..565a3f6 100644 --- a/tests/318.out +++ b/tests/318.out @@ -1,32 +1,37 @@ -REG FFFDFD8F8C1BFDCF -REG FFFFFFFF65C10791 -REG FFFFFFFFFFFFFFFF -REG 00EDDE8C00EDDE8C -REG 0000000016E9255F -REG FFFFFFFFFFFFEEE6 -REG FFFFFFFFAE19FF64 -REG 0000000000000000 -REG 0000000081E6080F -REG 0000000051E6009C -REG FFFFFFFFFFFFFFFE -REG FFFFF8A946196650 -REG FFFFFFFFAE19FF64 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000065C10791 -REG FFFFF8A946196650 -REG AC811967AC811968 -REG 00000000041E4400 -REG FFFFFFFFFFFFFA6A -REG 0000075639C299A0 -REG 0000000000001120 -REG 0000000065C107B1 -REG FFFFFA87FFFFFA87 -REG FFFFFFFFFFFFFA6A -REG 000000000000003D -REG 00000000BE7C0000 -REG AC811967AC811968 -REG 0000000000001FFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000103CC101F +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000001 +GPR3 000000000000E1A7 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 FFFFFFFFFFFFBDE1 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000014 +GPR11 FFFFFFFAF7A00000 +GPR12 FFFFFFFFFFFFD7BD +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFAF7A00000 +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 0000000038000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C015 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000004000000 +GPR26 FFFFFFFFFFFFA02B +GPR27 FFFFFFFFF0464354 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 FFFFFFFFFFFE3FFF +GPR31 +CR 00000000300B9000 +LR 0000000000000000 +CTR FFFFFFFF800184AC +XER 00000000800007FF + diff --git a/tests/319.bin b/tests/319.bin index d742853..fb4167b 100644 Binary files a/tests/319.bin and b/tests/319.bin differ diff --git a/tests/319.out b/tests/319.out index 460dd17..2bba764 100644 --- a/tests/319.out +++ b/tests/319.out @@ -1,32 +1,37 @@ -REG 17FFCF36DFE3A00D -REG 000030C9781453F2 -REG 000000000FD7581A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000058079B1E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000240400F7 -REG 0000000000000000 -REG 000000000000000D -REG FFFFFFFFFFFFFE80 -REG E800000000000001 -REG 0000000058080803 -REG 000030C9781453F2 -REG 0000003F0000003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000030C9201C5BF1 -REG 0000000058080803 -REG 17FF9E6D0FD7581A -REG 0000000000000040 -REG 0000000000000000 -REG E800000000000001 -REG 0000000000000000 -REG E800000000000000 -REG 0000003F0000003F -REG 0000000000000000 -REG FFFFFFC158079ADF -REG 00000000480801EE +GPR0 FFFFFFFFFFFFFFC0 +GPR1 0000000000000000 +GPR2 000000000001C024 +GPR3 FFFFFFFFCE2CA736 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FDF +GPR7 000000000000E9D9 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000000384B +GPR11 000000000000384C +GPR12 0000000000000000 +GPR13 000000000001C065 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFE3FD3 +GPR16 FFFFFFFFFFFE384C +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000001C024 +GPR20 000000000000384C +GPR21 FFFFFFFFFFFE3FDF +GPR22 FFFFFFFFCE2CFFF0 +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 000000000001C00C +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 000000005155496D +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080008274 + diff --git a/tests/32.bin b/tests/32.bin index b4703ca..c222804 100644 Binary files a/tests/32.bin and b/tests/32.bin differ diff --git a/tests/32.out b/tests/32.out index a740e62..5789291 100644 --- a/tests/32.out +++ b/tests/32.out @@ -1,32 +1,37 @@ -REG FFFFFFFE85C4FED3 -REG 0000000000000000 -REG 0000000000000001 -REG 000000007A3B0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000004050000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 0000000000000000 -REG 0000000000000030 -REG 00000000005BABE0 -REG 0000000000000000 -REG 0000000000000838 -REG 0000000010AAD55A -REG FFFFFFFFFFFF0443 -REG 0000000000000000 -REG 000000000000FBBD -REG 0000002000000018 -REG 0000000000000000 -REG 0000000000000030 -REG 0000000000000000 -REG FFFFFFFFFFFFA325 -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000FBBE -REG FFFFF03FFFFFF000 -REG 0000000100104010 +GPR0 000000000007FF7E +GPR1 2000000000000722 +GPR2 0000000000000000 +GPR3 1FFFFFFFFFFFFFF1 +GPR4 0000000000000008 +GPR5 1FFFFFFFFFFFFFF1 +GPR6 0000000000000000 +GPR7 0000000000000260 +GPR8 0000000000000722 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 000000000000E1F2 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 2000000000002688 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000000000FE +GPR20 1FFFFFFFFFFFFFF1 +GPR21 FFFFFFFFFFFFFFFF +GPR22 00000000000001D4 +GPR23 FFFFFFFFFFF80080 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 1FFFFFFFFFFFFFF1 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000005920331C +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040001 + diff --git a/tests/320.bin b/tests/320.bin index 963e807..ecf727b 100644 Binary files a/tests/320.bin and b/tests/320.bin differ diff --git a/tests/320.out b/tests/320.out index 4fec9ab..84f4024 100644 --- a/tests/320.out +++ b/tests/320.out @@ -1,32 +1,37 @@ -REG C3A54D50C3A00D51 -REG 0000000000000000 -REG 0000000000000040 -REG 00000000000F0000 -REG DC99E92E9535430E -REG 0000000083AF0001 -REG 00000000000000E2 -REG 0000000043B10040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG DC99E92E9535438E -REG 0000000043B10040 -REG 6E0A00012E0A61DE -REG 000000002E0A61DE -REG 0000000500000000 -REG 0000000000000000 -REG 000000008AE00000 -REG 0000000000000000 -REG 91F5FFFED1F59E22 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG 000000010EF37050 -REG 000000009FFE9D1F +GPR0 FFFFFFFFFFFFFFF4 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000000A +GPR15 000000000000000C +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000012C +GPR23 FFFFFFFFFFFFFFFE +GPR24 000000000000012C +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFF4 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039F4FED4 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/321.bin b/tests/321.bin index 43f96c4..4721945 100644 Binary files a/tests/321.bin and b/tests/321.bin differ diff --git a/tests/321.out b/tests/321.out index 68b7a78..05173d6 100644 --- a/tests/321.out +++ b/tests/321.out @@ -1,32 +1,37 @@ -REG 000000002BCDFFED -REG FFFFFFFFFFFFFFF8 -REG 0000000000000000 -REG 0000000052355D5C -REG 0000000000000000 -REG FFFFFFFFFFFF88A8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG FFFFFFFFFFFFD423 -REG FFFFFFFFFFFF88A8 -REG 0000000000000000 -REG 000000007A7E0000 -REG FFFFFFFFFFFFD242 -REG 000000002BCE0000 -REG 7A7DEA1C7A7DEA1C -REG 000074E20000001C -REG 000000000005FFF0 -REG FFFFFFFF85820000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF8B1DFFFFFFE4 -REG 0000000000000000 -REG 000BFFFFFFFFFD7F -REG FFFF8B1DFFFFFFE5 -REG FFFFFFF70229C9F0 -REG 0000000000001FA5 -REG 0000000000000000 -REG 0000000000000000 -REG 000000010FEE80A8 +GPR0 00000E01039E66F2 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000C000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 FFFFF1FEFE59F1FE +GPR12 FFFFFFFFFFFF970C +GPR13 FFFE6FCEFFFE6C00 +GPR14 0000000000000000 +GPR15 000000000000003E +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 00019031000193FF +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000030000000 +GPR31 +CR 00000000909F94F9 +LR 000000000000636E +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/322.bin b/tests/322.bin index e0548f0..bb86b50 100644 Binary files a/tests/322.bin and b/tests/322.bin differ diff --git a/tests/322.out b/tests/322.out index e775be2..c5c0698 100644 --- a/tests/322.out +++ b/tests/322.out @@ -1,32 +1,37 @@ -REG FFFFFFF7F7FFFFBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000284D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000808000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000808000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF82C4 -REG 0000000000000400 -REG 0000000808000000 -REG 0000000000000006 -REG 000000000000EAF6 -REG 0000000050050000 +GPR0 FFFFFF9FFFFE3FCF +GPR1 000000000000B16A +GPR2 FFFFFF9FFFFFFFEF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFDF +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000009329DD85 +GPR12 0000000000000000 +GPR13 000000000000B16A +GPR14 000000003329D385 +GPR15 FFFFFFFFF985FFFF +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 000000009329DEE4 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000009329DD85 +GPR29 0000000000000000 +GPR30 FFFFFFFFF987C01F +GPR31 +CR 000000003339D385 +LR 000000000001C020 +CTR 000000000000173F +XER 00000000A0040000 + diff --git a/tests/323.bin b/tests/323.bin index af816c1..b1b146d 100644 Binary files a/tests/323.bin and b/tests/323.bin differ diff --git a/tests/323.out b/tests/323.out index 4082c87..9f9dacc 100644 --- a/tests/323.out +++ b/tests/323.out @@ -1,32 +1,37 @@ -REG 20520000E608F591 -REG FFFFFD93FFFFFD74 -REG 0000000000000000 -REG 000000001FFF0000 -REG FFFFFFFFFFFFFFF6 -REG 00000000000017A7 -REG 000000000000479C -REG 000000000000DFD3 -REG FFFFFFFFFFFFFFFF -REG 000000000000F080 -REG 0000000000001781 -REG 00000000880FF40F -REG 0000026C00000784 -REG 0000000000008F38 -REG FFFFFFFFFFFFDFD3 -REG 00000000000060F8 -REG 000000001FFFFFFF -REG FFFFFFFFFFFFB38A -REG 0000000000001300 -REG 0000000000000000 -REG FFFFFFFFFFFFEFD6 -REG 000000001FFF0000 -REG 00000000000060F8 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000479C0000001C -REG 0000000000001781 -REG 00000001101FE81E +GPR0 0000000000000000 +GPR1 0000000000000003 +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 0000000000000000 +GPR5 0000000000000003 +GPR6 0000002000000017 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000017 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000003 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFE6F4 +GPR30 0000000000000000 +GPR31 +CR 000000003F0FD09D +LR 0000000000000020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/324.bin b/tests/324.bin index ab22357..50acb20 100644 Binary files a/tests/324.bin and b/tests/324.bin differ diff --git a/tests/324.out b/tests/324.out index ffc1a87..9f28014 100644 --- a/tests/324.out +++ b/tests/324.out @@ -1,32 +1,37 @@ -REG E00001FFFFFFF1B7 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000008000000 -REG 0000000000000000 -REG 00FFFE0000000000 -REG 0000000000000000 -REG 0000000000000003 -REG A0000003FFFE0000 -REG 0000000000000000 -REG 002EDC8F47700001 -REG 0000000000000000 -REG 00FFFE0000002CD8 -REG FFFFFFFFFFFFBD7F -REG 002EDC8F47700000 -REG 00000000737E0000 -REG FFFFFF7E00020003 -REG 0000C00000000000 -REG FFFFFFFFFFFFD30D -REG 0000000000000000 -REG 0000000085288448 -REG 01FFFFFFFFFFFF80 -REG 0000000000000000 -REG A0000003FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FE00000000214080 -REG 0000000000000000 -REG 0000000000000040 -REG 000000008A510890 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 FFFFFFFFFF000000 +GPR11 000000000001C020 +GPR12 00000000000036AA +GPR13 0000000000000000 +GPR14 000000004B160040 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF88BA +GPR24 0000000000003600 +GPR25 0000000000000000 +GPR26 00000000007FFFC0 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000035F1D725 +LR 000000000000000F +CTR 00000001FFF8001E +XER 00000000C0080000 + diff --git a/tests/325.bin b/tests/325.bin index 67e0f54..0ac1633 100644 Binary files a/tests/325.bin and b/tests/325.bin differ diff --git a/tests/325.out b/tests/325.out index fb09ad0..6c45e2d 100644 --- a/tests/325.out +++ b/tests/325.out @@ -1,32 +1,37 @@ -REG 0000000010000059 -REG FFFFFFFDFFFF697F -REG 00000000021D0000 -REG 0000000000000000 -REG 0000000010000058 -REG 00000000E7AD0000 -REG 00000000021D3D98 -REG 0000000000000000 -REG FFFFFF4000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF4AF8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF000000 -REG FFFFFFFDFFFF697F -REG 0000000000F05445 -REG 0000000000001E00 -REG 000000000000000B -REG 00000000000040EC -REG 00000000021D0000 -REG 00000000E7AD0000 -REG 0000000000000020 -REG 000000BFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000048000001 +GPR0 FFFFFFFFFFFC7FBF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFC25A +GPR4 0000000000038041 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000005327FFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFE3FDE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFF2440 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFC258 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFE +GPR25 0000000000000000 +GPR26 0000000000000010 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033B089BD +LR FFFFFFFFFEC2731A +CTR FFFFFFFFFFFFFFFF +XER 000000008000007C + diff --git a/tests/326.bin b/tests/326.bin index cabd1f8..6a9a932 100644 Binary files a/tests/326.bin and b/tests/326.bin differ diff --git a/tests/326.out b/tests/326.out index 1ce2e93..2f56ab0 100644 --- a/tests/326.out +++ b/tests/326.out @@ -1,32 +1,37 @@ -REG FFFC451005083033 -REG 000000000000DDB6 -REG 0000000000000000 -REG FFFFFFDFFFDE8C18 -REG 0000000000000000 -REG 0003BAEFFAF7C000 -REG FFFFFFFFFFFF804D -REG FFFFFFFFFFDE8C38 -REG FFFFFFFFFFFFFFFF -REG 0000002000000020 -REG FFFFFFFFFFFFFFED -REG 0000000000000000 -REG 0000010000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFDE8C38 -REG 0000000000000013 -REG 019D5BFF019D5BFF -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000017 -REG 0000000000000000 -REG 0000000000000000 -REG 000000200000001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFBFFFBD1 -REG 0003BAEFFAF7C000 -REG F07FFFFFF07FFFFF -REG 0000000000000017 -REG FFFFFFFFFE480000 -REG 0000000089108091 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 000000007F2C0000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000216CA0000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 00000000000086C0 +GPR10 000000003388C408 +GPR11 000000000003F800 +GPR12 FFFFFFFFFFFFDC04 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFF00 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003088C408 +LR 0000000000000001 +CTR 00000000000086C0 +XER 0000000080000000 + diff --git a/tests/327.bin b/tests/327.bin index a66abd1..435b9cb 100644 Binary files a/tests/327.bin and b/tests/327.bin differ diff --git a/tests/327.out b/tests/327.out index a1ed908..49fa793 100644 --- a/tests/327.out +++ b/tests/327.out @@ -1,32 +1,37 @@ -REG 07FE00203F8A87D4 -REG 00000000412D5F90 -REG 0000000000000000 -REG FFEF058000005DE4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000008F000234 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFC00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFDF000081EB -REG E000000FFFFFF800 -REG 0000000000000000 -REG FFEF057F71005BAF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000005E000468 +GPR0 FFFFFFFFFFE71ECD +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000035319E98 +GPR4 FFFFFFFFE90D040E +GPR5 000000000001C020 +GPR6 0000000035319E98 +GPR7 FFFFFFFEC0D64870 +GPR8 FFFFFFFFFFFFFFFA +GPR9 0000000035319E98 +GPR10 0000000000000000 +GPR11 FFFFFFFFE90D040F +GPR12 000000E0100000E0 +GPR13 0000000000000000 +GPR14 00000000FF423686 +GPR15 0000000016F2FBF1 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFFFE1 +GPR18 000000000004E134 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000300000004 +GPR21 0000000035319E98 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFF00BD83FF +GPR26 000000000004E132 +GPR27 0000000016F2FBF1 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F319ED8 +LR FFFFFFFFFFFFFFE1 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/328.bin b/tests/328.bin index bf855f7..5d400af 100644 Binary files a/tests/328.bin and b/tests/328.bin differ diff --git a/tests/328.out b/tests/328.out index fce14fb..75aeb43 100644 --- a/tests/328.out +++ b/tests/328.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000000000114C -REG 000000000000F23E -REG 0000000000000023 -REG 000000000000F400 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFF -REG 000000000E502088 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFD1AFDF77 -REG FFFFFFFFFFFF0BFF -REG FFFFFFFFFFFFF7F7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000FF1 -REG FFFFFFFFFFFF0BFE -REG 0000000000000028 -REG 00000000FC000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000008000000 -REG 0000000000000000 -REG FFFFFFD2DB080000 -REG FFFFFFFFFFFFF7F7 -REG 000000011091E890 +GPR0 0000000000000AA6 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000007242 +GPR4 000000000001C018 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0020000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 000000000000038D +GPR14 0000000000000002 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 00000000000077F2 +GPR19 000000000000000F +GPR20 FFFFFFFFFFFFFC74 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 000000000001383F +GPR30 0000000000000000 +GPR31 +CR 0000000090E29990 +LR 0000000000000000 +CTR FFFFFFFFFEFFFFFE +XER 00000000A007FFFB + diff --git a/tests/329.bin b/tests/329.bin index 845b626..4ddcf69 100644 Binary files a/tests/329.bin and b/tests/329.bin differ diff --git a/tests/329.out b/tests/329.out index 3cb0458..4754da3 100644 --- a/tests/329.out +++ b/tests/329.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFDE -REG 0000000000000000 -REG 0000000000000014 -REG C000000000000000 -REG 0000000000000000 -REG 0001FFFFFFFFFFFF -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFE00000000 -REG C0000000E85EE4DA -REG 0000000000000000 -REG 0000000000000000 -REG 0000080000000800 -REG 0000000000000000 -REG 0000000000000043 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00003FFFFFFEF3FF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 00000800000007FF -REG 0000000000021800 -REG FFFFFFFFFFFFFFDF -REG 0000000040F00000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000101E00000 +GPR0 FFFFFFFFFFFFFFD9 +GPR1 FFFFFFFFFFFFFFFB +GPR2 FFFFFFFFFFFE4E87 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFD9BC +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFCFFFFF8001FFFF +GPR11 0000000000021DDF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFE000000000000 +GPR17 0000000000000000 +GPR18 0000000000000002 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 00000000000066F0 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFF0EE +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000030990391 +LR 0000000000000000 +CTR 0000000000000020 +XER 00000000A007FFFF + diff --git a/tests/33.bin b/tests/33.bin index 4def8f8..bd1c8b9 100644 Binary files a/tests/33.bin and b/tests/33.bin differ diff --git a/tests/33.out b/tests/33.out index dc3c9be..f241d0b 100644 --- a/tests/33.out +++ b/tests/33.out @@ -1,32 +1,37 @@ -REG 00000000581EFFEF -REG 0000000000000024 -REG 0000000000000000 -REG FFFFFFFB043F402F -REG 00000004FBC0BFC0 -REG 00000000001E9112 -REG 0000000000005633 -REG 00000000581EFFFE -REG FFFFFFFFEFEF0001 -REG FFFFFFFFFF802000 -REG 0000000088E4C004 -REG FA7164BCAA9A9FE1 -REG 0000000100000001 -REG FFFFF7FF8003F7FF -REG FFFFF7FF6FF2F811 -REG 0000000000000000 -REG 00000000588B9FE1 -REG 0000000088E40000 -REG 017641B8017641B8 -REG 00000000581EFFFE -REG 00000000581EFFFE -REG FFFFFFFFEFEF0011 -REG 0000000000000062 -REG FFFFFFFFEFEF0001 -REG FFFFFFFFFFFFF1C9 -REG 0000000005F6A780 -REG FFFFFFEFFFFFFFFF -REG FFFFFFFFFFFFFF9E -REG 0000000000000005 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000091C98089 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFE0207FFF +GPR3 00000000000FC000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000FFFFA003 +GPR13 0000000000000000 +GPR14 000000CB000000CB +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000090000000 +GPR20 0000000000000020 +GPR21 0000000040D30000 +GPR22 00000000000000CC +GPR23 0000000000000020 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 0000000093993355 +LR 0000000005002000 +CTR FFFFFFFFFFF9B200 +XER 0000000080000002 + diff --git a/tests/330.bin b/tests/330.bin index 1a9ce42..d3b326b 100644 Binary files a/tests/330.bin and b/tests/330.bin differ diff --git a/tests/330.out b/tests/330.out index 16371c0..614a4c5 100644 --- a/tests/330.out +++ b/tests/330.out @@ -1,32 +1,37 @@ -REG 000000410000B36F -REG 0000000000000000 -REG FFFFFF0000000000 -REG 0000000000000008 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF0040000000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF0000000000 -REG 0000000000000000 -REG 000000FFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF85A0 -REG 000000002F000800 -REG B400000000000000 -REG FFFFFFFFBFFFFFDF -REG 0000000040000020 -REG 00000100768F4D7A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFEFF89710009 -REG 000000004000F41C +GPR0 0000000000000AC0 +GPR1 0808080808080808 +GPR2 000000000735A1E8 +GPR3 000000000000001F +GPR4 0000000000000000 +GPR5 000FFFFFFFFFF000 +GPR6 0000000000000AC0 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFF53E +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000004AE1 +GPR16 0000000000000000 +GPR17 0808080808080808 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFE3FF +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000004AE1 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000FFF00000 +GPR27 FFFFFFFFFFFFF55E +GPR28 0000000000004AE0 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000000F0000 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/331.bin b/tests/331.bin index d38e42c..d7574e8 100644 Binary files a/tests/331.bin and b/tests/331.bin differ diff --git a/tests/331.out b/tests/331.out index 6ee1ca0..5975f46 100644 --- a/tests/331.out +++ b/tests/331.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 000000000000002F -REG 000000000000003A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000010760000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000000000003A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF3DFE -REG FFFFF00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000178 -REG 0000000000000000 -REG 0000000020004004 -REG 0000000000000000 -REG 0000000040008008 +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFA2E80000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000002F +GPR12 0000000000000000 +GPR13 000000008003FFFF +GPR14 0000000000000040 +GPR15 00000000AD7DFFFF +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFF95F500DF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000011 +GPR30 0000000000000000 +GPR31 +CR 0000000050009049 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/332.bin b/tests/332.bin index 0256160..47d8cdc 100644 Binary files a/tests/332.bin and b/tests/332.bin differ diff --git a/tests/332.out b/tests/332.out index 5983b4e..5af2db8 100644 --- a/tests/332.out +++ b/tests/332.out @@ -1,32 +1,37 @@ -REG 0000003900009DBB -REG 01FFFFFDFFFFFFFF -REG 0000000000010000 -REG 0000000000000039 -REG 000000000000008C -REG 0000000000001619 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFF9115 -REG FFFFFFFFF8A8005F -REG 0000000000002115 -REG 0000000020F43442 -REG 0000000000000000 -REG 0000000000002300 -REG 0000000000000000 -REG 00000000B89C0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000039 -REG 0000000000FF9F40 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE9E7 -REG 0000000000001664 -REG FFFFFFFFFFFFEA27 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000081E87090 +GPR0 0000000030000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFC258 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0F00000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000007 +GPR21 0000000001800000 +GPR22 000000000000001D +GPR23 FFFFFFFFFFFFFFF8 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFF8BFFFFFF +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000008000000038 +GPR30 00000000000036A4 +GPR31 +CR 0000000035851800 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/333.bin b/tests/333.bin index 284aea6..fea44f5 100644 Binary files a/tests/333.bin and b/tests/333.bin differ diff --git a/tests/333.out b/tests/333.out index 7852e35..f73b265 100644 --- a/tests/333.out +++ b/tests/333.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG FFFFDA0000000000 -REG FFFFFFFFFFFFFA88 -REG 0000180000000000 -REG 00000000AFA80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000800000008 -REG FFFFFFFFFC001FFF -REG 0000000000000000 -REG 02A7FFFF02A7FFFF -REG 0000000800000008 -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFC000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 000191B5000191B5 -REG 0000000000000000 -REG 0000000000000000 -REG 000002815D5FC4CF -REG 00000000000021C3 -REG 000007FFFC001FFF -REG 0000260000000000 -REG 0000180000000000 -REG 0000000000002183 -REG 0000000000000000 -REG 0000000049E48008 +GPR0 0FFFFFFF0F000003 +GPR1 F0000000F1002BF9 +GPR2 F0000000F1002C23 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFBDA7 +GPR6 0000000000000000 +GPR7 000000000000002A +GPR8 0000000000000000 +GPR9 00000000FFFFFFFE +GPR10 00000000FFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFBDAB +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 00000001FFD97D3D +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFE1 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFE1 +GPR20 0000000000000001 +GPR21 FFFFFFFFFFFF7FF0 +GPR22 FFFFFFFFFFFFB380 +GPR23 FFFFFFFFBFDC0020 +GPR24 002682C0004D0580 +GPR25 00009FF13FF01FF1 +GPR26 0000000100000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFBDA8 +GPR29 0000000000000000 +GPR30 00000000002682C0 +GPR31 +CR 000000003889F15E +LR 0000000000000000 +CTR FFFFFFFF7FFFFFFE +XER 0000000080000000 + diff --git a/tests/334.bin b/tests/334.bin index 528ee1e..bbfc9df 100644 Binary files a/tests/334.bin and b/tests/334.bin differ diff --git a/tests/334.out b/tests/334.out index 50a0315..b197232 100644 --- a/tests/334.out +++ b/tests/334.out @@ -1,32 +1,37 @@ -REG 00000000E3900000 -REG FFFFFFFFCB773D31 -REG 0000000070000000 -REG 0000000000000000 -REG 0000000006DCCC00 -REG 0000000000043844 -REG DFFC00560B9B3D47 -REG 0000000000000001 -REG DFFC00560B9B3D47 -REG 0000000000000000 -REG 0000188E4024655B -REG 0000000000000020 -REG FFFFFFFFFFFFF800 -REG FFFFFFFFFFFFFFFF -REG 0000000006DCCC01 -REG 000000003488C2CF -REG 000000000004FAEC -REG 00000000000001B5 -REG 0000000000041000 -REG FFFFFFFFFFFFCC01 -REG 0000000000000000 -REG 0000000000000000 -REG 0000FFFFFFFFFFFF -REG 0000000006DCCC01 -REG 0000000070001845 -REG FFFFFFFF1C6FFFFF -REG FFFFFFFF8FFFFFFF -REG 000000003FFFFF00 -REG 0000000000000000 -REG 0000000070000001 -REG 0000000000000000 -REG 0000000101E01900 +GPR0 0000000000000000 +GPR1 0000200000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 00000000001D57FF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000002A51 +GPR9 0000000000000000 +GPR10 0000200000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000010 +GPR14 0000000000000001 +GPR15 FFFFFFFFFFFFFFD7 +GPR16 FFFFFFFFFFFFD956 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00001FFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000063E7063 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFEE4E7DD6 +GPR30 00000000000026A8 +GPR31 +CR 0000000099359555 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/335.bin b/tests/335.bin index a214768..d13ae7b 100644 Binary files a/tests/335.bin and b/tests/335.bin differ diff --git a/tests/335.out b/tests/335.out index 96ddc16..ee9f32b 100644 --- a/tests/335.out +++ b/tests/335.out @@ -1,32 +1,37 @@ -REG FFFFFFFFA000002F -REG 000000000000080F -REG 0000000040000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001D -REG 0000000000000000 -REG 000000000005C9CB -REG 0000000000004DDD -REG FFFFFFFF78081C91 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000080F -REG FFFF8810EC88880F -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 000000004400440E -REG 000000000000001D -REG 000000000000001D -REG BFFFDFA580001FA5 -REG 0000000000000020 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG E87237C517272CD0 -REG 000000004800881C +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000700000 +GPR2 00000000C0081C00 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 F2139305F2139305 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFF9496 +GPR9 FFFFFFFFFFFE3FF9 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000F0000000 +GPR13 FFFFFFFFFFFE3FF9 +GPR14 FFFFFFFFFFFFFFFE +GPR15 00000000007028BC +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000579B0000 +GPR22 0000000000000001 +GPR23 0000000000000001 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C030 +GPR28 000000000001C006 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000034F13348 +LR 0000000000000020 +CTR FFFFFFFFFFFFFFFE +XER 00000000C0081C00 + diff --git a/tests/336.bin b/tests/336.bin index bef2951..4710424 100644 Binary files a/tests/336.bin and b/tests/336.bin differ diff --git a/tests/336.out b/tests/336.out index 88cec24..9d1f6f5 100644 --- a/tests/336.out +++ b/tests/336.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 003BFFFFFFE3F0E0 -REG 0000000020448848 -REG 003C000000000000 -REG 000000000000C751 -REG 0000000000000000 -REG 00000000003FFFFF -REG 0000007FFF000000 -REG 0000000000000000 -REG 0000000000000000 -REG 07FF1F8700000003 -REG 0000000000000000 -REG FFC4000000000000 -REG FFFC7E1C00FFFF3F -REG FFFC7E1C00FFFF3F -REG 0000000000000039 -REG 0000000000000000 -REG 000000000000FFFF -REG 0000000000000000 -REG C000000000000000 -REG FFFFFFFFDFBB77BF -REG 000000000000000A -REG 0000000900000000 -REG 0000000000000000 -REG 0000003FF8FC3800 -REG 0000000000000000 -REG 0000007FFFC0F1FF -REG 085C95F860CD98D8 -REG 0000000000000000 -REG 0000000000000000 -REG F7A80004395EE740 -REG 0000000080880010 +GPR0 000000000001C020 +GPR1 00000000001A40B4 +GPR2 FFFFFFFFFFFFFFFF +GPR3 00000000314020C5 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 00000000314020C5 +GPR10 00000000314020C5 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000038024 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 000000000001C014 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFF000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000914020C5 +LR 0000000000038024 +CTR 0000000608060807 +XER 00000000800240B4 + diff --git a/tests/337.bin b/tests/337.bin index 7b4fe28..9520013 100644 Binary files a/tests/337.bin and b/tests/337.bin differ diff --git a/tests/337.out b/tests/337.out index ace3621..0c1b891 100644 --- a/tests/337.out +++ b/tests/337.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000004E0C00000 -REG 00000004E0C00000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE3 -REG 01FFFFB000000000 -REG 0000000000000024 -REG 000000000000FD89 -REG FFFFFFFB1F204E0B -REG 000000000000FD89 -REG 00000000001FB1F4 -REG 0000000000000000 -REG FFFFFFFFFFFF90E3 -REG 0000000000000016 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFB8DD8 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000FD89 -REG 0000000000000000 -REG 0000000021280000 -REG 0000000000000000 -REG 0000000088501100 +GPR0 00000000E953BCC0 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFE534 +GPR4 000000002001C020 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000009246 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001DB2B +GPR12 F10000002072FFF0 +GPR13 0000076CAC000000 +GPR14 0000000000000000 +GPR15 000000000001C004 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFE24D4 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000003FFFFE20 +GPR21 0000000000000000 +GPR22 0000000000001800 +GPR23 0000000000000000 +GPR24 000000000001DB2B +GPR25 FFFFFFFFFFFFFFD4 +GPR26 FFFFFFFF433E3FF9 +GPR27 000000000000227A +GPR28 0000000000000000 +GPR29 000000000001C006 +GPR30 00000000903155DE +GPR31 +CR 0000000058918975 +LR F10000002072FFF0 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/338.bin b/tests/338.bin index 7fec805..c4a21ae 100644 Binary files a/tests/338.bin and b/tests/338.bin differ diff --git a/tests/338.out b/tests/338.out index 1f1498a..19765d9 100644 --- a/tests/338.out +++ b/tests/338.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFE4FB8480 -REG 0000000000000000 -REG FFFFFFFFFFDC00FA -REG 0000000000002000 -REG 0000000000008040 -REG 0000000000000000 -REG 0002000000000000 -REG 0000010000000000 -REG 0000000000000000 -REG 0000000029560000 -REG 0000000000000010 -REG 0000000000003FFF -REG 000000000023FF06 -REG 0000000000000000 -REG 0000000000000000 -REG 0000201000002010 -REG 0000000000000010 -REG 000015DE000015DE -REG FFFFFFFFFFFFADBF -REG 0000000000000000 -REG 000000000000B125 -REG 0000000029560000 -REG 0000000000000000 -REG 0000000000000000 -REG FF7FFFFF5160B1D1 -REG FF7FFFFF5160B1D1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000010 -REG 0000000000008040 -REG 0000000040010880 +GPR0 0000000000000018 +GPR1 000000009F05179A +GPR2 00000000D876A401 +GPR3 FFFFFFFFFFFFFFC2 +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFF730 +GPR8 0000000000000000 +GPR9 0000000000007B04 +GPR10 0000000000000020 +GPR11 0000000000000005 +GPR12 FFFFFFFFFFFE3EE4 +GPR13 000000000000000D +GPR14 0000000000000000 +GPR15 000000000001C0FD +GPR16 0000000000005F78 +GPR17 FFFFFFFFFFFFFFFE +GPR18 000000000001C11C +GPR19 0000000000000020 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFF3 +GPR23 000000000000000F +GPR24 FFFFFFFFFFFE3F03 +GPR25 FFFFFFFFFFFFF730 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000D876C018 +GPR29 0000000000000000 +GPR30 00000000FFFE3EE4 +GPR31 +CR 000000005D05175A +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00B0000 + diff --git a/tests/339.bin b/tests/339.bin index 2bc6949..21b1512 100644 Binary files a/tests/339.bin and b/tests/339.bin differ diff --git a/tests/339.out b/tests/339.out index 45b2d3e..8add33e 100644 --- a/tests/339.out +++ b/tests/339.out @@ -1,32 +1,37 @@ -REG FFFFFFF7C150DDC2 -REG 000EFFFBF7C7FFBF -REG 000000002E9F3219 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000810301AD8 -REG 000000010C800000 -REG 8BCE000000007B7A -REG A7CC864000000001 -REG 00000003EF8FFF7F -REG 83C7588380000003 -REG 0000000000000000 -REG 0000001000000000 -REG 7C38A77C800007FD -REG 0000000000000000 -REG 43FFFFFF581BB659 -REG 0000000000003219 -REG 0000000000000000 -REG 10700008107078EB -REG FFFFFFFFFFFFFFFF -REG 10700008107078EB -REG 0000000000000000 -REG 43FFFFFF581C0F95 -REG EF8FFFF400000000 -REG 0000000000000002 -REG 0000000810301AD8 -REG 0000000000000800 -REG 107000081070408B -REG 0000000000000017 -REG 0000000000000000 -REG 0000000090887168 +GPR0 0000000000000000 +GPR1 00000000FFFFFFA4 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000FFFB1DE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000000B876 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 7800000000000000 +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 000000004000B1A4 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFA4 +GPR18 0000000007FFB1DE +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFA4 +GPR24 FFFFFE0000001FF7 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFF7 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000385FA2F9 +LR 0000000008000000 +CTR 0000000000000000 +XER 000000008003FFFE + diff --git a/tests/34.bin b/tests/34.bin index 32d9703..f712a7f 100644 Binary files a/tests/34.bin and b/tests/34.bin differ diff --git a/tests/34.out b/tests/34.out index 8cecddf..84d8345 100644 --- a/tests/34.out +++ b/tests/34.out @@ -1,32 +1,37 @@ -REG 0E39793AAE39C073 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000009074 -REG 0000000000000000 -REG 00000000A209FFFF -REG FFFFFFFFFF8000FC -REG FFFFFFFF00FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005C890000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000F397 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A27600FC -REG FF8000FCFF8000FC -REG 0000000080048048 +GPR0 FFFFFFFFFFFFC020 +GPR1 0000000033280000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000008970001 +GPR8 FFFFFFFFFFFFF07F +GPR9 000000000000001F +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000AAAAAAAB +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 0000000000000005 +GPR24 0000000000000040 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000008970001 +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 00000A0000000000 +GPR31 +CR 00000000584D4E10 +LR 000000000000CC41 +CTR 0000000000000000 +XER 0000000080000040 + diff --git a/tests/340.bin b/tests/340.bin index 2b16568..ec96fcb 100644 Binary files a/tests/340.bin and b/tests/340.bin differ diff --git a/tests/340.out b/tests/340.out index dae3d44..ce05e4e 100644 --- a/tests/340.out +++ b/tests/340.out @@ -1,32 +1,37 @@ -REG FFFF90C8FCFA8FC4 -REG FFFFFFFFF5C0E810 -REG FFFFFFFFFFFFDB39 -REG 00006F370305703B -REG 0000000000000000 -REG 0073A20F8B844FC0 -REG 0000000000000000 -REG 000000000008F5BC -REG 000000000B490104 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0073A20F8B4F4FC0 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEE -REG 0000000000000000 -REG 000000000A3F17F0 -REG 000000000000023E -REG 0000000000000000 -REG 000000000B490104 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000B490104 -REG 0000000050800904 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFF7FFFFFFE +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000005D2E3FE0 +GPR11 0000000000000000 +GPR12 FFFFFFFFA2D1C034 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFC007FFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 FFFFFFFFA2D1C034 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFA2D1C034 +GPR26 0000000000000000 +GPR27 0000000100000000 +GPR28 000000000001C034 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000348B5085 +LR 0000000000000000 +CTR 0000000080000000 +XER 000000008001C020 + diff --git a/tests/341.bin b/tests/341.bin index f45179b..f4108b2 100644 Binary files a/tests/341.bin and b/tests/341.bin differ diff --git a/tests/341.out b/tests/341.out index 5335364..0861b86 100644 --- a/tests/341.out +++ b/tests/341.out @@ -1,32 +1,37 @@ -REG F47DBA04A35763D3 -REG 0000000000000000 -REG 0000000000000003 -REG 00000000D6F92629 -REG 00000000D6F9262A -REG 0290000000000000 -REG FFFFFFFF80ABB770 -REG 00000000BB00262A -REG 0000000FFFFEC0E9 -REG 0000000000000040 -REG 0000000009800000 -REG 0000000000000040 -REG 0400000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000009800000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000FFFFF0EE8 -REG 0000000000000B00 -REG 0000000000000000 -REG 00000000D6F9262A -REG FFFFFFFFFFFFFFFF -REG FFFFFFF00000F117 -REG E0EE8182E0EE8000 -REG 0000000004000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF80ABB770 -REG 000000000F500000 -REG FFFFFFF000003F16 -REG FFFFFFF009813F17 -REG 0000000FFFFEC0E9 -REG 0000000088009E9E +GPR0 0885FFFFFFFD773B +GPR1 0000000000000000 +GPR2 000000FF00000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFF3A2FFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFF3FEE193B2E1 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFF8000001 +GPR11 088600FEFFFD773B +GPR12 000000000001C008 +GPR13 4AF3000000000040 +GPR14 0000000000000000 +GPR15 000000000C5D0000 +GPR16 000000FF00000000 +GPR17 0000000000000000 +GPR18 0000000000000008 +GPR19 0000000000000000 +GPR20 0885FFFFFFFD773B +GPR21 0000000000000000 +GPR22 F77A0000000288C3 +GPR23 0885FFFEFFFD773B +GPR24 0000000000000000 +GPR25 FFFFF3FFE193B2E0 +GPR26 FFFFFFFFFFFE3FF7 +GPR27 0000000000000001 +GPR28 000000000001CF2E +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000005095FF95 +LR F77A0000000288C4 +CTR 000000000000003A +XER 000000008003FFEC + diff --git a/tests/342.bin b/tests/342.bin index 94afaf6..bbc458e 100644 Binary files a/tests/342.bin and b/tests/342.bin differ diff --git a/tests/342.out b/tests/342.out index dfb08f2..03dff50 100644 --- a/tests/342.out +++ b/tests/342.out @@ -1,32 +1,37 @@ -REG 000000000000001D -REG 0000000000000000 -REG 0000256900002569 -REG 0000000000000000 -REG FFFFFFFFFF0FDCD0 -REG 0000000000006422 -REG 9826717E9826717E -REG 000000004800800F -REG 0000000000000000 -REG 00000001B21F4000 -REG FFFF91CB271DC000 -REG FFB70517FFFF0517 -REG A059F661EE3AB664 -REG 0000000000EFE65F -REG FFFFFFFFFFFFE203 -REG 0000000000000000 -REG FFFFFFB4A00016C4 -REG 0000000000000000 -REG BCA4231076F20000 -REG 0000000000000000 -REG 0000000000EFE65F -REG FFB70517FFB70517 -REG 0000004B5FFFE93B -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000004303 -REG FFFFFFFF78FEFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000009001001F +GPR0 000000000001C020 +GPR1 0000000000000033 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFF1FF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFF80FFFF +GPR17 00000000FFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFE +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 000000000001C034 +GPR29 000000000001C020 +GPR30 0000000000000020 +GPR31 +CR 0000000090900880 +LR 0000400000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/343.bin b/tests/343.bin index d5955f3..b59e45f 100644 Binary files a/tests/343.bin and b/tests/343.bin differ diff --git a/tests/343.out b/tests/343.out index 209b23c..fa8e144 100644 --- a/tests/343.out +++ b/tests/343.out @@ -1,32 +1,37 @@ -REG 13FFC01313FF9FE3 -REG FFFFFFFFFFFFFFCB -REG FFFFFFFFFFFFAE52 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC0000000 -REG FFFFFFFFEAA19D7D -REG 0000000000000000 -REG 000000004F081480 -REG 0000000040000000 -REG 000000004F07B325 -REG 000000008F080480 -REG 9FE213FF9FFE73C2 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFAAA11954 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000155E6283 -REG 0000000000000054 -REG 0000000000000000 -REG 0000000096E90000 -REG 00000000155E10D5 -REG 01FFFE010508FC00 -REG 9FE213FFEF0626E7 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000011E100901 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFF224CFFFF +GPR4 0000000000000001 +GPR5 00000000FFFFF8FF +GPR6 0000000000000040 +GPR7 00000000FFFFF8FF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFA2DA +GPR10 000000000001C020 +GPR11 000000000000001C +GPR12 00000000FFFFF8FF +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 00000000FFFFF8FF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000DDB376E5 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000099060093 +LR FFFFFFFFFFFFA2DA +CTR 0000000000000000 +XER 0000000080000001 + diff --git a/tests/344.bin b/tests/344.bin index d99d41a..63fce74 100644 Binary files a/tests/344.bin and b/tests/344.bin differ diff --git a/tests/344.out b/tests/344.out index 25f23d0..586dd9b 100644 --- a/tests/344.out +++ b/tests/344.out @@ -1,32 +1,37 @@ -REG FFFFAE7718E8AE75 -REG 0000000000000104 -REG 0070000000700000 -REG 0000000000000000 -REG 0000000000000000 -REG FFF8007E31FFCDCD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000459 -REG FFFFFFFFFFFFFF00 -REG 0000000000000019 -REG 0000000000000001 -REG 09C4000009C40000 -REG FFFFFFFFFFFFFFF8 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG FFF8006000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000100000000 -REG 8000000C8000000C -REG FFFFFFFFFFFFFFFF -REG 0000000000002000 -REG 0000000000000000 -REG 0000000090100840 +GPR0 0000000000000000 +GPR1 FFFFFFFFCECFCED0 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFDFFDE871 +GPR8 FFFFFFFFFFFFFFBE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFBE +GPR12 FFFFFFFFFFFFFFBE +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 2C501F1322F30E0F +GPR16 0000000000000000 +GPR17 000000000000001A +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFCECFCED0 +GPR21 0000000000000010 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000398F000A +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00CD7AF + diff --git a/tests/345.bin b/tests/345.bin index 1933412..82929f1 100644 Binary files a/tests/345.bin and b/tests/345.bin differ diff --git a/tests/345.out b/tests/345.out index 5e6df7a..afc2d5f 100644 --- a/tests/345.out +++ b/tests/345.out @@ -1,32 +1,37 @@ -REG F400001AEF32001B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FC0BFFFFFC0BBFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000BBFFFFC -REG 000000004080F04E -REG 00000000FFFFFF89 -REG FFFFFFFFFFFFFFFF -REG 0000394500003945 -REG 0000000000000000 -REG 0000001900000018 -REG 0000000000006C89 -REG FC0BFFFFFC0BBFFF -REG 0000000000000000 -REG 00000000E517B624 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF03F44001 -REG 0000001900000018 -REG FFFFFFFFFFFFFFFF -REG 0000394500003945 -REG 0000000000000018 -REG 000000002080004E -REG 000000000001C000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000FEA9 -REG 000000008100805C +GPR0 000081FFFF7FFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 00000000E5A60001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000000003F +GPR18 FFFFFFFFFFFFFFFF +GPR19 00000000000013A6 +GPR20 FFFFC007FFFFFFFF +GPR21 0000000000000000 +GPR22 FFFFFFFFFF95632E +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFBF +GPR26 0000000000000000 +GPR27 0000000000000D6B +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000DAB +GPR31 +CR 000000003091D005 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFA + diff --git a/tests/346.bin b/tests/346.bin index 72d9977..059e191 100644 Binary files a/tests/346.bin and b/tests/346.bin differ diff --git a/tests/346.out b/tests/346.out index c81c1ca..ef44caf 100644 --- a/tests/346.out +++ b/tests/346.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFE60B -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000CCD4BA -REG FFFFFFFFFFFFFF9C -REG 0000000000000020 -REG 0000000900000011 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000545 -REG 0000000C0000000C -REG FFFFFFF3FFFFFFD3 -REG FFFFFFFFFFFFFFFF -REG 0000000006149763 -REG 0000001201012040 -REG 0000000048040481 -REG FFFD7A87FFFD7A88 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF3FFFFFF93 -REG 0000000000000063 -REG 0000000000000000 -REG FFFFFFFFFFFFFABA -REG 0000000000000063 -REG 0000001048040405 -REG 000000000000D7FE -REG 0000000000000000 -REG 0000000000000543 -REG 0000000048090110 +GPR0 0000000000006A92 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 07FFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFEFFFFFFFEF +GPR17 FFFFFFFFFFFFBA3A +GPR18 0000000000000000 +GPR19 0000000000000021 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000090000000 +GPR26 0000000000000000 +GPR27 0000000000000018 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005005092D +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080020021 + diff --git a/tests/347.bin b/tests/347.bin index 90da265..3265d9e 100644 Binary files a/tests/347.bin and b/tests/347.bin differ diff --git a/tests/347.out b/tests/347.out index 3defcb1..94254c1 100644 --- a/tests/347.out +++ b/tests/347.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF7FFFFDE -REG FFFFFFFF800151B2 -REG 0000000040000000 -REG FFFFFFFF8003FEFE -REG 0000000000000000 -REG 0000000C0000000C -REG 000000007F7FF000 -REG 4B63FD0075000001 -REG 3F3F59218AFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000FF00FF0000 -REG 0000000000000007 -REG 0000000002188000 -REG 40B974A9C0000000 -REG 0000000000002188 -REG 0000000000000000 -REG 000000000000001C -REG 0AA3FD0000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000024084843 -REG C0C0A6DE75000000 -REG 0000000000002188 -REG 91218B48AE37C10B -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF800175B7 -REG 91218B4869F4C10B -REG 0000000000000001 -REG 0000000088108487 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 0000000000000000 +GPR4 000000000000163B +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000008E90000 +GPR11 F000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFC0CD +GPR14 FFFFFFFFFFFFC0CD +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000009948D +GPR19 0000000000000000 +GPR20 0000000000380400 +GPR21 0000000000003F32 +GPR22 FFFFFFFFB3631B8E +GPR23 0000000000000000 +GPR24 0000000037900BB5 +GPR25 0000000000000000 +GPR26 0000000000000006 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFC0CD +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000037000BB5 +LR 0000000000000020 +CTR 0001F96B4519AA72 +XER 00000000C0080000 + diff --git a/tests/348.bin b/tests/348.bin index c9710e4..519788a 100644 Binary files a/tests/348.bin and b/tests/348.bin differ diff --git a/tests/348.out b/tests/348.out index 0db28b1..8f525a6 100644 --- a/tests/348.out +++ b/tests/348.out @@ -1,32 +1,37 @@ -REG FFFFFFEF60676C3B -REG 328CB64D328CB6CD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFEFBE7E6000 -REG 0000000000000000 -REG 000000009E189620 -REG 0000000000000000 -REG FF0D000007FFFFFF -REG FFFFFFFFFFFFFFC0 -REG 0000000086260000 -REG FFFFFFFF450CFFFF -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG CA32DADCCA32D934 -REG 0000000000000000 -REG 0000000000000000 -REG FF0D000007FFFFFF -REG 0000000000000000 -REG 000000006BEE0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020080884 -REG 000000006BEE0000 -REG 0000000100101108 +GPR0 000000000001C038 +GPR1 FFFFFFFFFFFE57E7 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000001807 +GPR5 00000000000036B4 +GPR6 000000000001A819 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 C7FBFFFFFFFFFFFF +GPR10 0000000000000020 +GPR11 0000000090000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 00007FFFE0000000 +GPR19 0000000000000012 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000001808 +GPR25 024C000000000000 +GPR26 0000000001B31A20 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000C31A1F +GPR30 000000000001C020 +GPR31 +CR 0000000030300953 +LR 000000000001C020 +CTR FFFFFFFFFFFE57E7 +XER 000000008000C038 + diff --git a/tests/349.bin b/tests/349.bin index 91c8f32..f823192 100644 Binary files a/tests/349.bin and b/tests/349.bin differ diff --git a/tests/349.out b/tests/349.out index 356be04..98404a6 100644 --- a/tests/349.out +++ b/tests/349.out @@ -1,32 +1,37 @@ -REG 000000000000BDC8 -REG FFFFFFFFFFFFFFFC -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 000000BD000000BD -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFCF25097 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000043 -REG FFFFFFFFFFFFFFBF -REG 0000000000000042 -REG 43FFFFFFFE2FFFFF -REG 0000000000000000 -REG FFFFFFFFFCF25097 -REG 000000000000DD2F -REG 000000001FFE0000 -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000000 -REG 00000000030DAF69 -REG 000083FFFFFFFC01 -REG 0000000000000040 -REG 0000000000000001 -REG 00008342FFFFFB43 -REG 0000000088001100 +GPR0 FFFFFFFFFFFFE220 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00003FFF9C262000 +GPR4 0000000000000004 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 FFFFFFFFFFFFFFF0 +GPR8 0000000000000010 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFC +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000000891AC +GPR13 000000000001C025 +GPR14 DFFFFFFFFFFFF722 +GPR15 FFFFFFFFFFFFE220 +GPR16 000000002BD60000 +GPR17 FFFFFFFFFFFFFFF0 +GPR18 000000000001C021 +GPR19 FFFFFFFFFFFFFFF0 +GPR20 00000000A44B0000 +GPR21 00000000A44CC021 +GPR22 000000000000000F +GPR23 0000000000000006 +GPR24 0000000000000002 +GPR25 0000000000000032 +GPR26 0000000000038000 +GPR27 0000000000000000 +GPR28 DFFFFFFFFFFFF722 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005F5FFFF0 +LR DFFFFFFFFFFFF722 +CTR 0000000000000E01 +XER 000000008003FFF0 + diff --git a/tests/35.bin b/tests/35.bin index 09bdf39..94d6d59 100644 Binary files a/tests/35.bin and b/tests/35.bin differ diff --git a/tests/35.out b/tests/35.out index a7d178e..ccfdaf0 100644 --- a/tests/35.out +++ b/tests/35.out @@ -1,32 +1,37 @@ -REG FFFF80000000598C -REG 0000000000000040 -REG 00007FFFFFFFA673 -REG FFFFFFFFFFFFFFF4 -REG FFFFFFFFFFFFFFE7 -REG 0000000000000000 -REG 7FFFFFFFFFFFF900 -REG 0000000000080000 -REG FFFFEA11416EA47D -REG 0000000000000018 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00007FFFFFFFA673 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000005E829 -REG 0000000000000018 -REG FFFFFFFFFF00FF00 -REG FFFFFFFFC2A4FFFF -REG 000000006BFFFFE8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00007FFFFFFF746C -REG FFFFFFFFFFFFFFE4 -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG FFFFFFE9FFFFFFE9 -REG 0000000000000200 -REG 0000000089F08084 +GPR0 FFFFFFFFFFFFC701 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 00000000000001B6 +GPR4 FFFFFFFFC0D6B66B +GPR5 000000003F294995 +GPR6 00000000000038FF +GPR7 0000000000000721 +GPR8 00000000000001B8 +GPR9 FFFFFFFFFFFE3FFA +GPR10 00000000000038FF +GPR11 00000000000001B8 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 00000000000006E0 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 00000000000038FF +GPR18 FFFFFFCC01DF82CA +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000003FFFFF8FFE +GPR22 FFFFFFFFFFFF7C6F +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 000000003F294995 +GPR28 00000000000038FF +GPR29 FFFFFFCC01DF825C +GPR30 0000000000000040 +GPR31 +CR 000000005F296995 +LR 0000000000000040 +CTR FFFFFFFFFFFFFFFC +XER 0000000080000000 + diff --git a/tests/350.bin b/tests/350.bin index a01adc0..4063ef1 100644 Binary files a/tests/350.bin and b/tests/350.bin differ diff --git a/tests/350.out b/tests/350.out index a15c8a1..6c9b1ea 100644 --- a/tests/350.out +++ b/tests/350.out @@ -1,32 +1,37 @@ -REG 0000000000001599 -REG 000000000000072F -REG FFFFFFFFFFFFFFFF -REG 0007000000000000 -REG 000000000000007D -REG FFFFFFFFFFFFFFFF -REG 0000000000049E00 -REG 0000000000000000 -REG 02BF7FC007FFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004CCC0000 -REG 000000006F20007D -REG 0000000000000000 -REG 0000000069E8007D -REG 0000000000000001 -REG 0808080808080808 -REG 0000000069E8007D -REG 00000000000072FA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 7D00000070000000 -REG 0000000000000006 -REG 00000000001F4000 -REG 0000000000000000 -REG 000000000000007D -REG 000000000000007D -REG 0000000000000000 -REG 000000009F100808 +GPR0 0000000000600000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000600000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 DFFFFFFFFFFFB410 +GPR9 FFFFFFFFFF9FFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFFF9FFFFF +GPR12 0000000000000000 +GPR13 000000000001BFF4 +GPR14 0000001C02000000 +GPR15 0000000000000020 +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 00000000FFFFB410 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 FFFFFFFFFF9FFFDF +GPR25 0000000002001B7E +GPR26 0000000002000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 000000000001C034 +GPR31 +CR 000000003729FF5F +LR 0000001C02000000 +CTR 000000000000003F +XER 00000000C0080002 + diff --git a/tests/351.bin b/tests/351.bin index cdf8ed9..c1ee42b 100644 Binary files a/tests/351.bin and b/tests/351.bin differ diff --git a/tests/351.out b/tests/351.out index ea4af02..f3f6a30 100644 --- a/tests/351.out +++ b/tests/351.out @@ -1,32 +1,37 @@ -REG 000FFFFF2DFE04B8 -REG 0000000000000001 -REG FFFFFFFFFFFFFFC6 -REG 0450501066DC0010 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000D2020000 -REG 000000000000D326 -REG 000000000000003A -REG 0000000000003440 -REG FFFFFFFFFFFFFC1C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000080000000000 -REG 0000000088280802 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000000000054F -REG FFFFFFFFDD0BB958 -REG 0000001FFFFFFFFF -REG 000000000000054F -REG 00000800FC090000 -REG 0000000000000000 -REG 0000000000000000 -REG 7A235403A13BD72F -REG 000000000000003A -REG 7A235403A13B440F -REG 000000009E501004 +GPR0 F7FFFF8FFFFE3F8F +GPR1 080000700001C070 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF8000 +GPR4 FF7FFFFFFFFFFFFF +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 020000000000001C +GPR8 2800000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000887A +GPR12 0000000000000005 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFF8F +GPR16 0000000000000040 +GPR17 FFFFFFFFFFFFFFF8 +GPR18 000000000001C020 +GPR19 0000000000000001 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFB0000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000001288 +GPR28 FF00000000000000 +GPR29 0000000000000000 +GPR30 0000000000000400 +GPR31 +CR 0000000090E4FD50 +LR 0000000000000400 +CTR FFFFFFFFFFFFFFF8 +XER 00000000C0081288 + diff --git a/tests/352.bin b/tests/352.bin index 8ceea22..4fcfda4 100644 Binary files a/tests/352.bin and b/tests/352.bin differ diff --git a/tests/352.out b/tests/352.out index 5ab210b..89e4957 100644 --- a/tests/352.out +++ b/tests/352.out @@ -1,32 +1,37 @@ -REG 0808060029231092 -REG FFFFFFFFFFFFFFF9 -REG 000000000DFFC909 -REG FF3C0542C1258F1C -REG 0000000000000000 -REG 0DFFC6A10DFFC6A1 -REG 0000000000000000 -REG FFFFFFFFF200293C -REG FFFFFFFFFFF1FFFF -REG 0000000000000000 -REG 080805FFFFFFCF08 -REG 0000000000000000 -REG 0000000000000001 -REG 0000007FFFFFFFF9 -REG 0000000000000000 -REG 080806000DFF7CB4 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 080806000DFFCF09 -REG 080806000DFFA5CC -REG 0000000000000000 -REG 0000000000000500 -REG 080805FFFFFFD408 -REG 080806000DFFA5CC -REG FC90900000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000003 -REG 00000000891F0001 +GPR0 BFFFFFFFECAD7FFF +GPR1 0000000000000020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000007094 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFDF +GPR8 000000000001C020 +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFDE +GPR13 7FFFFFFFF4238CF2 +GPR14 0000000000000000 +GPR15 0000000000000004 +GPR16 0000004000000000 +GPR17 0000000000000000 +GPR18 FFFFFA11C6790000 +GPR19 0000000000000001 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000001A +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000100000001000 +GPR30 000000000000003F +GPR31 +CR 000000003B015755 +LR FFFFFFFFFFFC7FC0 +CTR 0000000000008000 +XER 00000000C00BFFFF + diff --git a/tests/353.bin b/tests/353.bin index 778f706..870f8b6 100644 Binary files a/tests/353.bin and b/tests/353.bin differ diff --git a/tests/353.out b/tests/353.out index 4d2fa8f..59a0099 100644 --- a/tests/353.out +++ b/tests/353.out @@ -1,32 +1,37 @@ -REG FFBC57FBBB7FFF9F -REG 000000000000001F -REG 0000000000000003 -REG 0000000000000001 -REG 0000002000000018 -REG 0000000000200000 -REG 0000000000000001 -REG 000000000000003F -REG 0000000000000200 -REG 0000000000000020 -REG FFFFFFFFC0003334 -REG FFFFFFFFFFFFFFE1 -REG FFFFFFFFFFFFFFED -REG 0000000200000002 -REG FFFFFFFFFFFF8228 -REG 000000004A390000 -REG FFFFFFFFFFFF9850 -REG 0000000000000000 -REG FFBC57FBBB7FFFFF -REG FFBC57FBFF8FCE68 -REG 000000000A7A0000 -REG 0000000001F80000 -REG 0000000000000014 -REG FFFFFFFF7FFFFFFF -REG FFFFFFFFBBF03197 -REG 0000000000500000 -REG 0000000000000000 -REG 000000000000804C -REG FFFFFFFF98C35FB1 -REG 0000000000001D2B -REG 0000000000000001 -REG 000000008EA81109 +GPR0 00000000000008F8 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000021 +GPR11 FFFFFFFFFFFFBC10 +GPR12 0000000000000001 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFF7820F041E084 +GPR20 0000000000000040 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 FFFFFFFF80010000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005980009B +LR 000000000001C020 +CTR FFFFFFFFFFFFFFDF +XER 00000000C0090000 + diff --git a/tests/354.bin b/tests/354.bin index a5f092a..2e9f4bf 100644 Binary files a/tests/354.bin and b/tests/354.bin differ diff --git a/tests/354.out b/tests/354.out index 9fc6cb6..ee9707f 100644 --- a/tests/354.out +++ b/tests/354.out @@ -1,32 +1,37 @@ -REG 7FFC0000204050B2 -REG FE00000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAF58 -REG FFFFFFFFFFFFFFFF -REG 000000007FFC0000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000040001A -REG 429C000000000000 -REG FFF5EB1FFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG 8000400000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000A150 -REG 000000000040001A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFAF58 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000050A6 -REG 0000000000000000 -REG 0000000000000040 -REG 000000009A489089 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 00000000000000DF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFE2 +GPR8 0000000000000000 +GPR9 000000004B11003F +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000005659F9B8 +GPR14 0000000000000000 +GPR15 000000003659F9B8 +GPR16 000000004B11003E +GPR17 000000000000001E +GPR18 0000000000000021 +GPR19 00000000FFFC0001 +GPR20 000000000000003C +GPR21 000000000DB8003F +GPR22 0000000000000000 +GPR23 000000DF037C0D11 +GPR24 000000004B11003E +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 FFFFFFFFFFFFFFED +GPR28 01BE000000000000 +GPR29 FFFFFFFFFFFFFC2F +GPR30 0000000000000000 +GPR31 +CR 000000003659F9B8 +LR FFFFFFFFFFFFFFFF +CTR 000000004B11003E +XER 00000000C00A3FE0 + diff --git a/tests/355.bin b/tests/355.bin index db696c5..7292b32 100644 Binary files a/tests/355.bin and b/tests/355.bin differ diff --git a/tests/355.out b/tests/355.out index 63fe706..55db22d 100644 --- a/tests/355.out +++ b/tests/355.out @@ -1,32 +1,37 @@ -REG FFFF962885499628 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000079370000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000086C8FFFF -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0580003500000035 -REG 0000000000008D20 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG 00000000000080DF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000086C90000 -REG 0000000000000000 -REG 00000000000034EB -REG 0000000000000000 -REG 0580003500000035 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004E408448 -REG 0000000000000000 -REG 000000005C810890 +GPR0 0000000080000029 +GPR1 0000000000000000 +GPR2 0000000000003FDF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFF9FEF +GPR6 FFFFFFFFFFFF3FDF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFE3FDF +GPR10 0000000000003FDF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFE2 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 FFFFFFFFFFFFFFDF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFCEFB83EF8 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE3FDF +GPR27 FFFFFFFFFFFFFFDF +GPR28 000000000001C008 +GPR29 0000000000000002 +GPR30 FFFFFFFFFFFFFFDF +GPR31 +CR 000000003F911E95 +LR 000000000001C020 +CTR 000000000301FFFF +XER 000000008001C020 + diff --git a/tests/356.bin b/tests/356.bin index 06b2b71..bfa4219 100644 Binary files a/tests/356.bin and b/tests/356.bin differ diff --git a/tests/356.out b/tests/356.out index 242e381..bae1a6f 100644 --- a/tests/356.out +++ b/tests/356.out @@ -1,32 +1,37 @@ -REG A393F03F4DA40010 -REG A393F03F48A40011 -REG 0000000014000000 -REG A393F03F48A40011 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG FFFFFFFFFB000001 -REG 0000000000000000 -REG 0000000B00000003 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFF90DE -REG FFFFE5BCFFFFE5BC -REG 00001A4300001A43 -REG 0000000014000000 -REG 0000000000000000 -REG 0000000005000000 -REG 00001A43000002F4 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000048904810 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000080000020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000000035AA +GPR8 000000000000617B +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE140E +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000008 +GPR19 000000000000003E +GPR20 FFFFC28000000000 +GPR21 0000000000000000 +GPR22 00000007FFFFFFC0 +GPR23 0000000000000003 +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000000001C034 +GPR27 0000000000000000 +GPR28 FFFFFFF800000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE3FCC +GPR31 +CR 000000003F353195 +LR 0000000000000000 +CTR FFFFFFFFFFFE3FC2 +XER 0000000080000020 + diff --git a/tests/357.bin b/tests/357.bin index 0330919..9a1aad0 100644 Binary files a/tests/357.bin and b/tests/357.bin differ diff --git a/tests/357.out b/tests/357.out index 3ca21a1..7c04548 100644 --- a/tests/357.out +++ b/tests/357.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFDCEFF9F -REG FFFFFFFFFFFFFFFF -REG 000000000000BF4F -REG 0000000000000000 -REG 0000000000FE0000 -REG 0000000000000000 -REG 000000000000003A -REG 0000028060910915 -REG 0000000000000000 -REG 0000000000000915 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004C200000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFDCF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000024000000000 -REG 0000000000000015 -REG 0000024000000000 -REG 0000000000000000 -REG 0000000000000240 -REG 0000000041108901 +GPR0 0000000000000800 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 00000000238E0000 +GPR4 0C2BE84400000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000238E0000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 FFFFFFFFFFFF8CD0 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000491F1A88041D +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000003 +GPR20 0000000000007330 +GPR21 0000000000000000 +GPR22 0000000000038040 +GPR23 0000000000038043 +GPR24 FFFFFFFFFFFFFFFC +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000000003A +GPR31 +CR 000000005009B89A +LR 0000000000000020 +CTR 0000000000000000 +XER 0000000080000040 + diff --git a/tests/358.bin b/tests/358.bin index 0b766e4..4cd9843 100644 Binary files a/tests/358.bin and b/tests/358.bin differ diff --git a/tests/358.out b/tests/358.out index 35ea762..820eb08 100644 --- a/tests/358.out +++ b/tests/358.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFF4FFFFFFF4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000EA2 -REG 0000000000000000 -REG FFFFFFFFFFFFF15D -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0740000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000086C5 -REG 0000FFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDF7FFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000020800000 -REG 0007000000074000 -REG FFFFFFFFFFFFFFA2 -REG 0000000000000000 -REG 0000000000000EA2 -REG FFFFFFFFDF7FFFFF -REG FFFFFFFFDF7FFFBE -REG 0000000111000001 +GPR0 FFFFFFFFFFFFFFE9 +GPR1 0000000000000000 +GPR2 00000000002D99A1 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFF8 +GPR7 00000000FFFFFFFE +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFF0 +GPR10 0000000000000000 +GPR11 0000000000000039 +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000016 +GPR17 FFFFFFFFFFFEBEA3 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000300 +GPR20 00000000002D9999 +GPR21 0000000000000039 +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFE +GPR24 000000000001C021 +GPR25 0000000000000001 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000040 +GPR30 00000000611A7B96 +GPR31 +CR 00000000583202C2 +LR 0000000000000001 +CTR 0000000000000016 +XER 0000000080000000 + diff --git a/tests/359.bin b/tests/359.bin index 5e3010f..72781aa 100644 Binary files a/tests/359.bin and b/tests/359.bin differ diff --git a/tests/359.out b/tests/359.out index 5b60432..661221e 100644 --- a/tests/359.out +++ b/tests/359.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 27F21AFFE9B1E37E -REG 0000000000000020 -REG A54C125A28000000 -REG 0000404040201800 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF000000005095 -REG 0000000000000003 -REG 27F21B01F7826D78 -REG 000000000000001A -REG FFFFFFFF74D82DB9 -REG FFFFFFFFC593873D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000404040201800 -REG 0000000000000001 -REG 000006C000000000 -REG 00007FFFFFFFC000 -REG 0000070100000000 -REG 0000000000001A04 -REG CD3E2D5A11B1E33F -REG 0000000000000001 -REG 000000000000001B -REG 0000000000000000 -REG 27F21B01F7826D78 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF74D82DB9 -REG FFFF000000000000 -REG 000000011F110088 +GPR0 0000000000030000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFC55C +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FBFFFFFFFFFEABF4 +GPR29 0000000000000010 +GPR30 0000000000000000 +GPR31 +CR 000000003943CC58 +LR FFFFFFFF3F6100C8 +CTR 0000000000000000 +XER 00000000C009C020 + diff --git a/tests/36.bin b/tests/36.bin index 6c8a76c..21b53b3 100644 Binary files a/tests/36.bin and b/tests/36.bin differ diff --git a/tests/36.out b/tests/36.out index 88678ba..f658621 100644 --- a/tests/36.out +++ b/tests/36.out @@ -1,32 +1,37 @@ -REG FE0000D07800017E -REG FFFFFFFF17DA0001 -REG FFFFFFFF17D9F9FF -REG 0000000000000001 -REG 004007FFFFFDFDC0 -REG 0000000000000000 -REG 0000000000000000 -REG F412FFFF80000000 -REG FFFFFFFFFFE7FF01 -REG FCFE0000E5265E63 -REG 000FFFFDFCC007FB -REG FFFFFFFFFFFFFFE5 -REG 0000000100000000 -REG 0000000200000000 -REG 00000000001800FF -REG FE7FFFFEFE6003FD -REG FFFFFFFF17D9A19D -REG FFFFFFFF17DA0001 -REG 0000000003080806 -REG 000000000307DBD2 -REG FCFE0000E5265E63 -REG 0000000000000000 -REG FFFFFFFF17DAD62D -REG 001FFFFFF7F7F001 -REG FFFFFFFFFFFFFFE7 -REG 01FFFF2F8000B115 -REG FFFFCFFFFFEBD818 -REG 0281FFFE1B465D9E -REG 0000000000000000 -REG 000000000004FFFD -REG 000000000000BFBD -REG 00000001010A0000 +GPR0 00000000000479BF +GPR1 0808080808080808 +GPR2 EBEBEBEBEBEBC7F8 +GPR3 FFFFFFFFFFFFFFFE +GPR4 00000000400430A4 +GPR5 000000000001C021 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 00000000000479FF +GPR9 0000000000000000 +GPR10 0000000000000018 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000011 +GPR15 FFFF80006784F9FE +GPR16 0000000000000000 +GPR17 0000000000005F03 +GPR18 FFFFFFFBBFB8C4FA +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 00000000400430A5 +GPR23 FF00000000000000 +GPR24 0000000000000000 +GPR25 0000000000000003 +GPR26 0000000000000011 +GPR27 FE00000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000000800079FF +GPR31 +CR 00000000300438FF +LR 0000000000000020 +CTR 0000000000000000 +XER 00000000E00C79FF + diff --git a/tests/360.bin b/tests/360.bin index 211a332..7234400 100644 Binary files a/tests/360.bin and b/tests/360.bin differ diff --git a/tests/360.out b/tests/360.out index aa527a5..2d1eb4a 100644 --- a/tests/360.out +++ b/tests/360.out @@ -1,32 +1,37 @@ -REG 000000002008A6C7 -REG 0000000000000000 -REG 0000000070260020 -REG FFFFFFFFDFF7FFFC -REG 0000000000000401 -REG 0000000000000000 -REG BFFF60000000FFF9 -REG 0000000024480884 -REG 0000000000000020 -REG 0000000000005A85 -REG 0000000000000000 -REG FFFFFFFFDBB7F77C -REG 0000000020080005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020080004 -REG 000000000000A2C2 -REG 0000000000000000 -REG F368FFFFE66BFFFF -REG 0000000020080004 -REG 000007FFCDFFFB00 -REG 0000000000000000 -REG 40009FFFFFFFA2C9 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000100100108 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000005 +GPR4 000000000003C02C +GPR5 FFFFFFFFFFFC16FB +GPR6 0000000000000000 +GPR7 0000000000000005 +GPR8 000000000001C2EF +GPR9 FFFFFFFFFFFFFFF0 +GPR10 0000000000000008 +GPR11 0000000001D64000 +GPR12 0000000000000FF0 +GPR13 0000000000000014 +GPR14 0000000000000000 +GPR15 000000000001C01F +GPR16 FFFFFFFFFFFFF90F +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFF00F +GPR19 0000000000000000 +GPR20 000000000000000E +GPR21 000000000001C016 +GPR22 000000006577C02C +GPR23 00007FFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000003 +GPR26 00000000000002D8 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000FF0 +GPR31 +CR 0000000035049BC4 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/361.bin b/tests/361.bin index 3f5378c..cfbd799 100644 Binary files a/tests/361.bin and b/tests/361.bin differ diff --git a/tests/361.out b/tests/361.out index 441efb2..76fb270 100644 --- a/tests/361.out +++ b/tests/361.out @@ -1,32 +1,37 @@ -REG 000000D00000E319 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000128FFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000002835 -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000022 -REG FFFFFFFFFFFFFFFF -REG 0000000000000039 -REG D8242F71D0002F71 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0485EE3B0485823B -REG 0000000000000000 -REG 000000000000001A -REG FFFFFFFFFED70000 -REG 0000000000000000 -REG 000000000000002F -REG 000000004D1E089C +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFBA720000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFBF +GPR18 FFFFFE0000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C02A +GPR23 0000000000000040 +GPR24 0000000000000040 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFE47DE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C01C +GPR30 0000000000000000 +GPR31 +CR 0000000030D00040 +LR 0000000000000000 +CTR FFFFFFFFFFFE3FDF +XER 0000000080023FDF + diff --git a/tests/362.bin b/tests/362.bin index 71f81d3..9a10e30 100644 Binary files a/tests/362.bin and b/tests/362.bin differ diff --git a/tests/362.out b/tests/362.out index 3f07b35..d24f585 100644 --- a/tests/362.out +++ b/tests/362.out @@ -1,32 +1,37 @@ -REG FFFFF96047DB2E71 -REG 0000000000000101 -REG FFFFFE9F19A9FEFE -REG 0000000000000000 -REG 0000000000000000 -REG 01017FFFFA84BF93 -REG 0000000010000000 -REG 0000000000000000 -REG FFFFFE9F19A94CEC -REG 0000000000000000 -REG 00000000400F4082 -REG 000000000F0B2B40 -REG 0000000000000000 -REG FFFFFFFFFFFFE776 -REG FFFFFE9F19A9FEEC -REG 0000000000000000 -REG FFFFFE9F19A9FEEC -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0808080818080808 -REG 000000000000000F -REG FFFFFFFFFFFFB47C -REG FFFFF9606D311580 -REG 27FFA46A27FFA46A -REG 00000000003C2CAD -REG 00000000000003FF -REG 0000000000000000 -REG 0000000000000000 -REG 00000160E6560101 -REG FFFFFE9F19A94CEC -REG 00000000801E8044 +GPR0 000000000000037F +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000007007F +GPR5 0000000000002000 +GPR6 00000000000000FF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFDFFF +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 3FDFFFFFFFFFEFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 3FDFFFFFFFFFEFFF +GPR24 FFFFFFFFFFFFEFFF +GPR25 0000000000000000 +GPR26 C020000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000009959F19B +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/363.bin b/tests/363.bin index fe38a67..1cf0434 100644 Binary files a/tests/363.bin and b/tests/363.bin differ diff --git a/tests/363.out b/tests/363.out index 252de3c..150f818 100644 --- a/tests/363.out +++ b/tests/363.out @@ -1,32 +1,37 @@ -REG 0000000007DC0331 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000003BE8 -REG FFFFFFFFFFFFFFC2 -REG 00000000002D0F1D -REG FFFFFFFFFFFA33C1 -REG 0000000000050F50 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 000000000022F07B -REG 000000000000003D -REG 00000000002791A0 -REG 000050F5000000F5 -REG 0000000000000000 -REG 0000000000050F50 -REG 0000000052B2001F -REG 0000000000280000 -REG FFFFFFFFFFFFAF0A -REG 0000000000280000 -REG FFFFFFFFFFFF5E15 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000022DEC8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000002605D16C0 -REG FFFFFFFFFFFFFFE1 -REG 000003BE80000000 -REG 0000000051089011 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000005FD6 +GPR6 0000000000000000 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000006 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFD996 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFF00009DAD +GPR19 00000000FFFF6253 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFF00009DAD +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 FFFFFFFFFFFFFFED +GPR28 0000000000001DD0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030325B29 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A005C020 + diff --git a/tests/364.bin b/tests/364.bin index 41965c8..89ed127 100644 Binary files a/tests/364.bin and b/tests/364.bin differ diff --git a/tests/364.out b/tests/364.out index 1484414..9dae216 100644 --- a/tests/364.out +++ b/tests/364.out @@ -1,32 +1,37 @@ -REG 0000000003001D59 -REG FFFFFFFFFFFFFC5B -REG 0000000000000000 -REG 0000000000000DF6 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003000402 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFCFFF20A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC551 -REG 0000000003000402 -REG FFFFFFFFFFFFFFFF -REG 0000000024080442 -REG 0000000003000402 -REG FFFFFFFFFFCFFFBF -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000DF5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FC5BFFFFFFFFFFC0 -REG 0000000108000080 +GPR0 FFFFFCA200000D78 +GPR1 0000000000000000 +GPR2 0000000001EB851E +GPR3 FFFFFFFFFFFFD180 +GPR4 0000000000000000 +GPR5 000000040000000F +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000800000 +GPR11 0000000000800001 +GPR12 FFFFFFFFC0000001 +GPR13 000000000000001E +GPR14 0000000000000D78 +GPR15 0000000001BF804A +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000000001D +GPR21 000000003FFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000D78 +GPR25 0000000000000000 +GPR26 000000003FFFFFFF +GPR27 0000000000FFFFFF +GPR28 0000000000000001 +GPR29 0000000000000001 +GPR30 FFFFFFFFC0000001 +GPR31 +CR 0000000032F2F9F9 +LR 0000000000000018 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/365.bin b/tests/365.bin index 5ac23ef..11ae737 100644 Binary files a/tests/365.bin and b/tests/365.bin differ diff --git a/tests/365.out b/tests/365.out index ed86e8c..3e9d6cf 100644 --- a/tests/365.out +++ b/tests/365.out @@ -1,32 +1,37 @@ -REG FFFFFFDFF1FFF040 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001C00000 -REG 0000000000000000 -REG 0000000000000001 -REG 0808080808080808 -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001C00000 -REG 0000200000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000200000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000081E9FF10 +GPR0 00000001FFFFFFFF +GPR1 0000000000000000 +GPR2 000000000000002F +GPR3 0000000000000000 +GPR4 0000000000000002 +GPR5 FFFF15FF01F1C010 +GPR6 0000000005D17421 +GPR7 0000000000000000 +GPR8 000000000001C010 +GPR9 0000EA00FE0FFFFF +GPR10 000000000000003B +GPR11 0000000000005CF3 +GPR12 0000000000000001 +GPR13 000000003E000000 +GPR14 3E0000003E000000 +GPR15 0000000000000000 +GPR16 3E0000003E00001F +GPR17 FFFFFFD400000186 +GPR18 FFFFFFFFFFFFFFEF +GPR19 FFFF15FF01F00000 +GPR20 FFFFFFFFFFFE3FEF +GPR21 0000002BFFFFFE73 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000016 +GPR29 0000000000000000 +GPR30 0000002C0001BE84 +GPR31 +CR 0000000039559565 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/366.bin b/tests/366.bin index cab60cf..fdd054c 100644 Binary files a/tests/366.bin and b/tests/366.bin differ diff --git a/tests/366.out b/tests/366.out index 3056984..9239cc8 100644 --- a/tests/366.out +++ b/tests/366.out @@ -1,32 +1,37 @@ -REG 00000000F04D0000 -REG 0000000000000000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG 0000000000000040 -REG 000000000000B311 -REG 00000000C8CF6001 -REG FFFFFFFFFFFFFFE6 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000C8C80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0016300000003000 -REG FFFFFFFF3737FFFF -REG FFFFFFFF37374CEE -REG FFFFB35F09330003 -REG 0000000020000000 -REG FFFFFFFFFFFFFFE6 -REG 00004CA0F04CFFFC -REG 000000013EBA0000 -REG FFFFFFFFFFF00000 -REG 0000000000000000 -REG 00000000C8C80000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C6C80000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE6 -REG 0000000109008840 +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 000000000000001C +GPR3 0000000000000020 +GPR4 0000000000000ABB +GPR5 0000000200000002 +GPR6 0000200000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFF82E1 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 000000000000296F +GPR21 000000000000001C +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000080000008 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000000001C020 +GPR29 FFFFFFFFFFFE3FF5 +GPR30 0000000000000000 +GPR31 +CR 0000000058608308 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080000008 + diff --git a/tests/367.bin b/tests/367.bin index cb9d344..fec3ed7 100644 Binary files a/tests/367.bin and b/tests/367.bin differ diff --git a/tests/367.out b/tests/367.out index 27f71e0..3606990 100644 --- a/tests/367.out +++ b/tests/367.out @@ -1,32 +1,37 @@ -REG 313FFFFE31EEBDFB -REG 0000000000000008 -REG 0000000000D13B51 -REG 0000000000000000 -REG 4000CEC0400000C0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003B50 -REG 0000000000000000 -REG 9039DC0000D13B51 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 6FC623FFFF2EC4AF -REG FFFFFFFFFFFFFDDF -REG 0000000000000776 -REG 0000000000007BF1 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFF862F -REG E770000344000000 -REG 0000000000000000 -REG 0000000000007BF9 -REG 0000000000000000 -REG 0000000000007BF1 -REG 0000000000000221 -REG FFFFFFFFFFFFFDDE -REG 0000000000000000 -REG 09798FFF4DF3067B -REG 0000000049104888 +GPR0 FFFFFFFFCF030455 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000008 +GPR4 000000000000572C +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 0000000000000001 +GPR8 00000000FFFEFD79 +GPR9 159FB97835FCDF58 +GPR10 0000000000000000 +GPR11 FFFF0000011180FF +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFCF02A078 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 FFFFFFFF5FC86F7C +GPR17 0002A1FFDEB85053 +GPR18 00000000FFED7C78 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000118100 +GPR21 FFFFFFFFFFFFA8D3 +GPR22 000000000008C080 +GPR23 0000FFFFFF000000 +GPR24 0000000030FD9084 +GPR25 0000000080008220 +GPR26 0000000030FD5F86 +GPR27 000000000001C080 +GPR28 00000000FFED7C78 +GPR29 000000000000572C +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000399D40F9 +LR 0000000000000000 +CTR 000000000807FFFF +XER 00000000C0088220 + diff --git a/tests/368.bin b/tests/368.bin index b2ce1d8..44ba915 100644 Binary files a/tests/368.bin and b/tests/368.bin differ diff --git a/tests/368.out b/tests/368.out index 69dcd62..6daabb6 100644 --- a/tests/368.out +++ b/tests/368.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFE4A2 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFE41B -REG 0000000000000360 -REG 0000000000000000 -REG 0000000000000040 -REG 000000000000001B -REG 0000007D2B801E89 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000019 -REG 0100000000000000 -REG 0000000000007F6B -REG 0000000000000040 -REG 000000000000003F -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000006953 -REG 0000FFFFE0000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE5 -REG 0000000000000000 -REG FFFFFFFFFFFFE4A2 -REG 0000000000000000 -REG FFFFFFFFFFFFFA57 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000049E10410 +GPR0 0000000100013FF6 +GPR1 0000000000000000 +GPR2 2000000020000000 +GPR3 00000000000049B6 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 00000287FFFFFD78 +GPR8 0000000055555555 +GPR9 FFFFFFFFFFFFFA79 +GPR10 0000000000000002 +GPR11 FFFFFFFFFFFFCC89 +GPR12 FFFFFFFFFFFFFFDF +GPR13 0000000000000000 +GPR14 0000000000000002 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFFFFE +GPR18 0000000000003376 +GPR19 0000000007FFF000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 0000000000000018 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000079 +GPR27 00000000000049B6 +GPR28 0000000000000000 +GPR29 0000000000003376 +GPR30 0000000000003376 +GPR31 +CR 0000000090843004 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003F7FF + diff --git a/tests/369.bin b/tests/369.bin index e856979..70882b1 100644 Binary files a/tests/369.bin and b/tests/369.bin differ diff --git a/tests/369.out b/tests/369.out index 8d7e91c..f68e84f 100644 --- a/tests/369.out +++ b/tests/369.out @@ -1,32 +1,37 @@ -REG 922020318F93FC23 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000001F -REG 20202020201C0020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000005 -REG 000000000000011D -REG 20202020201C0020 -REG 0000000000000000 -REG 0000000004749800 -REG 0000000000000000 -REG 00000000007FFFFE -REG DFDFDFDFDFE3FFDF -REG 7C00000000000000 -REG FFFFFFFFBBFFFFDC -REG 0000000000000000 -REG 0000000000000000 -REG 000000006B220000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000781 -REG 0000000000000000 -REG 0000000000004523 -REG C3CF02D6C3CF02D6 -REG 0000000000000000 -REG 0000000088109108 +GPR0 0000000000000021 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 0000938AB5905924 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFD +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000038040 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000020 +GPR21 0000000000000021 +GPR22 0000000005000000 +GPR23 FFFFFFFFFFFFFFE0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 F9000003F9000003 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 00003F9000003F90 +GPR31 +CR 0000000050000001 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 00000000C0080000 + diff --git a/tests/37.bin b/tests/37.bin index c6e271d..252d46a 100644 Binary files a/tests/37.bin and b/tests/37.bin differ diff --git a/tests/37.out b/tests/37.out index adbb651..e0dfdaa 100644 --- a/tests/37.out +++ b/tests/37.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBE -REG 0000000000000020 -REG 0000000000000000 -REG 0000000011152E7D -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000041 -REG 0000000020008440 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000800000000 -REG 0000000000000020 -REG 0000000000000042 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000FFFF000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000002E7D -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000A41 -REG FFFFFFFFFFFFFFFF -REG 0000000080010881 +GPR0 0000000000001AF3 +GPR1 0000000000000000 +GPR2 0000000000088911 +GPR3 0000000000000000 +GPR4 0000000001000014 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFF8E +GPR8 0000000000088912 +GPR9 0000000000000001 +GPR10 E381FFFF800007FF +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000050000000 +GPR18 0000000000000000 +GPR19 0000000000000E40 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000088912 +GPR22 FFFFFFFFFFFFFFFE +GPR23 0000000000088912 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FF9FFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFF1C0 +GPR30 0000000000000000 +GPR31 +CR 0000000051190253 +LR 0000000000000000 +CTR 000000000001C021 +XER 0000000080000000 + diff --git a/tests/370.bin b/tests/370.bin index da86342..5e7f843 100644 Binary files a/tests/370.bin and b/tests/370.bin differ diff --git a/tests/370.out b/tests/370.out index 42a8267..49e0d48 100644 --- a/tests/370.out +++ b/tests/370.out @@ -1,32 +1,37 @@ -REG FFFFFFFFB4C40876 -REG 0000000000007511 -REG FFFFFFFFFC80C15B -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 00000EB100000EB4 -REG FFFE3FD0503C48A0 -REG 0000E31D0000E31D -REG 000000000000001F -REG 0000000020000430 -REG 0000000000000040 -REG 0000000000000000 -REG 000C3FAA4B15EB42 -REG 0000000000000002 -REG 0000000000000020 -REG 0000E31D0000E315 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000067B8 -REG FFFF1CE2FFFFFAA1 -REG 0000000000001F00 -REG FFFFFFFFFFFFFFDE -REG 0000000000000000 -REG 0000000000390000 -REG 00000000003C48A0 -REG 0000000050880890 +GPR0 FFFFFFFFD20DFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000002 +GPR4 0000000000000000 +GPR5 000000000001C014 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 FFFE5CFFFFFE5CFF +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000002 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 00000000000037F3 +GPR16 000000000000001C +GPR17 FFFFFFFFFCB9FFFF +GPR18 00000000025D0000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000020 +GPR22 FFFFFFFFFFFFC80C +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003E42B154 +LR 0000000000000000 +CTR FFFE5CFFFFFE5CFF +XER 00000000A0060000 + diff --git a/tests/371.bin b/tests/371.bin index e3e496d..ac3e13e 100644 Binary files a/tests/371.bin and b/tests/371.bin differ diff --git a/tests/371.out b/tests/371.out index f80655c..8867e41 100644 --- a/tests/371.out +++ b/tests/371.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF8C81 -REG 00000A8280000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFEAFB -REG 0000000000000000 -REG 0000000000002159 -REG 0000000084840082 -REG FFFFFFFF7FFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002159 -REG FFFFFFFFFFFFEAFB -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000020000000 -REG 0000000000001505 -REG FFFFFFFF7FFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000043 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000021E7BE8 -REG 0000000109080104 +GPR0 00000000FFF9253F +GPR1 FFFFFFFFFFFE3FDF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000010 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000080000 +GPR15 FFFFFFFFFFFE3FDF +GPR16 0000000000006A37 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF85A7 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000103FFE2 +GPR27 0000000000007A00 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000006A36 +GPR30 FFFFFFFFFFFF95C9 +GPR31 +CR 0000000030595957 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFC +XER 00000000E00DC039 + diff --git a/tests/372.bin b/tests/372.bin index 80635d9..d27a31f 100644 Binary files a/tests/372.bin and b/tests/372.bin differ diff --git a/tests/372.out b/tests/372.out index f22f2e8..7ca8176 100644 --- a/tests/372.out +++ b/tests/372.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFDF -REG 0000002000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000CC8D0002 -REG 00000000A1D80000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBD7E4FDC -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF00FFFFFF -REG 0000000000000001 -REG FFFFFF00FFFFFFFF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000007E0000 -REG 0000000040000000 -REG 0000000040000002 -REG 0000002000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000040000000 -REG 0000000000000002 -REG FFFFFFFF7FFFFFFF -REG 00000000003FE000 -REG FFFFFFFFFFFFFFFF -REG 00000000809A0089 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFF8A34ED0 +GPR3 0000000000006E21 +GPR4 FFFF8FFBFFFF8FFB +GPR5 00000000075CB12F +GPR6 0000000000000000 +GPR7 3FFF39A0E97A18BC +GPR8 0000000000000000 +GPR9 A4C0CCB2DA8C1780 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 FFFFFFFFFFFF8FF8 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000007FFFF +GPR18 0000000000000000 +GPR19 0000000000000620 +GPR20 000000000000001E +GPR21 FFFFFFFFFFFFFFFF +GPR22 00000000075CB130 +GPR23 0000000000000000 +GPR24 A4C0CCB2DA8C1780 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE3FE0 +GPR30 000000000000001C +GPR31 +CR 0000000080FEC020 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/373.bin b/tests/373.bin index 735a798..b84ecfa 100644 Binary files a/tests/373.bin and b/tests/373.bin differ diff --git a/tests/373.out b/tests/373.out index 9159fc0..f2a69c9 100644 --- a/tests/373.out +++ b/tests/373.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBB068082 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000113E0 -REG 0000000000010000 -REG FFFFFFFFBB077B7C -REG 0000000000000000 -REG 0000001F00000020 -REG 0000000044F88483 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFDFFFFFFFFF -REG FFFFFFFFFF37D0FE -REG 0000000000000000 -REG 0000000044F88483 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFBB0FFF7D003 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBB077B7C -REG 0000000000000000 -REG 003FFFFFBB077B7D -REG 0000000000000000 -REG FFFFFFFFFFFE0401 -REG FFFFFFFFFF80007F -REG FFFFFFFFFFC00000 -REG 000000008A688800 +GPR0 FFFFFFF7FFFFC152 +GPR1 FFFFFFFFBB4E663F +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000008963338 +GPR7 0000000000000000 +GPR8 000000080000000E +GPR9 0000000000000002 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000901100 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFF00000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFD +GPR22 0000000000000000 +GPR23 0000000000007C14 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 FFFFFC1400000000 +GPR29 0000000000000020 +GPR30 000000000001C020 +GPR31 +CR 0000000050F87000 +LR FFFFFFFFFFFFF800 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/374.bin b/tests/374.bin index b208e86..077560b 100644 Binary files a/tests/374.bin and b/tests/374.bin differ diff --git a/tests/374.out b/tests/374.out index 13b90ec..f4340fd 100644 --- a/tests/374.out +++ b/tests/374.out @@ -1,32 +1,37 @@ -REG B3AC0E154416DE79 -REG FFFFFFFFFFFFFFC3 -REG FFFFFFFEFFFFFFFE -REG 0000000000000000 -REG 00000000000062EE -REG 0000000003000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 9FFFFFFFFFFFFFFF -REG 9FFFFFFFFFFFFFFF -REG F780000000000000 -REG FEFFFFFFFEFFF80F -REG 087FFFFFFFFFFFFF -REG FEFFFFFFFEFFF7D2 -REG 687FFFFFFFFFFFFF -REG 00000000E94DFFFE -REG 0000006047C00000 -REG 0000000000000000 -REG 000100000002000F -REG FFFFFFFFDFFFF8A2 -REG FFFFFF89FFFFFFC3 -REG 000000005CFB0001 -REG 687FFFFFFFFFFFFF -REG 01D7400000000000 -REG FFFFFFFFFFFFFFC3 -REG FFFFFFFF16B1FF93 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080810910 +GPR0 0000000000000000 +GPR1 0000000000007FFF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFC0DF +GPR4 0000000000003F20 +GPR5 0000000000000002 +GPR6 0000000000000000 +GPR7 000000000000001B +GPR8 00000000999090D0 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFF1F0027B1 +GPR19 00000000A0040000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFF00 +GPR24 00000000FFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000002 +GPR27 0000000000000002 +GPR28 0000000000000000 +GPR29 0808080805000404 +GPR30 FFFFFFFF5FFBFFFF +GPR31 +CR 00000000399090D0 +LR 0000000000000040 +CTR 0000000000000002 +XER 0000000080000000 + diff --git a/tests/375.bin b/tests/375.bin index 0b5e5f2..ab6fe95 100644 Binary files a/tests/375.bin and b/tests/375.bin differ diff --git a/tests/375.out b/tests/375.out index 57156fd..2e1dc08 100644 --- a/tests/375.out +++ b/tests/375.out @@ -1,32 +1,37 @@ -REG 00000000F55F85C3 -REG FFFFFFFF8E0BFFFF -REG 0000000071F40000 -REG 7E00045A16532010 -REG 00000000003FFC00 -REG 81FFFBA5E9ACAE7E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF7B540ED6 -REG 0000000000000000 -REG 00000000F6A81DAC -REG 0000000000000000 -REG 7E00045A16532010 -REG FFFFFFFF7B540ED6 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000084AB8583 -REG 000000000000A96A -REG 0000000000000020 -REG 0000000000000000 -REG 000001FFFFFFFFFF -REG 0000000000000000 -REG 00000000129F0000 -REG 0000000000000000 -REG 7E00045A1653200F -REG 0000000000000000 -REG 7E00045A16535181 -REG 0000000000000040 -REG 000000008001140A +GPR0 0000000000000340 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 00000020BFFFFE20 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE7FDF +GPR7 00000020BFFFFE21 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFC03C +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000020BFFFFE20 +GPR15 0000000000000000 +GPR16 0000000090000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE7FD2 +GPR19 000000000000000D +GPR20 0000000000000007 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000002AB6 +GPR24 0000000000000000 +GPR25 FFFFFFFDBF8C7A60 +GPR26 0000000000000040 +GPR27 FFFFFFFFFFFFC03C +GPR28 0000180660000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000033716459 +LR FFFFFFFFFFFFFCBF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/376.bin b/tests/376.bin index 153f274..6ef6e36 100644 Binary files a/tests/376.bin and b/tests/376.bin differ diff --git a/tests/376.out b/tests/376.out index 316a485..48fdfec 100644 --- a/tests/376.out +++ b/tests/376.out @@ -1,32 +1,37 @@ -REG 0001340067FE1518 -REG 0000000000000007 -REG 0000000000000000 -REG FFFFFFFFEE370007 -REG 000134006001740C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000A29C0000 -REG 0000000000000000 -REG 0000000001FFFF6A -REG 0000000000000002 -REG FFFFFFFFFFFFFFF6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000FFFFFFF6 -REG 0000000007FFFFFF -REG 0000000000000004 -REG 0000000000000000 -REG 00000000000027E2 -REG 0000000000000000 -REG 0000000002000000 -REG 000134006001EB08 -REG 0000000000000000 -REG 000134006001EAE7 -REG FFFFFFFFFFFFFFF6 -REG 00000000FFFFFFF6 -REG 0000000090910913 +GPR0 000000000003805B +GPR1 0000000000002FE4 +GPR2 000000000001C02E +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFE3FD2 +GPR5 000000000000000C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 000000006A910000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C02D +GPR13 FFFFFFFFFFFE3FD1 +GPR14 0000000000000000 +GPR15 000000000001C02D +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 000000001D300003 +GPR19 FFFFFFFFFFFFFFFD +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 000000000001C02F +GPR23 000000001D300000 +GPR24 FFFFFFFFFFFE3FDE +GPR25 0000000000000000 +GPR26 0000001000000010 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFF3 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005FFE3F9F +LR 0000000000000000 +CTR 00000000FFFFFFE5 +XER 0000000080000000 + diff --git a/tests/377.bin b/tests/377.bin index fa6439c..37dfda5 100644 Binary files a/tests/377.bin and b/tests/377.bin differ diff --git a/tests/377.out b/tests/377.out index 0dfcbfe..fcec57d 100644 --- a/tests/377.out +++ b/tests/377.out @@ -1,32 +1,37 @@ -REG 08080E0808180F7E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000004C090000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000004571FF -REG 0000000000002660 -REG 0000000000000040 -REG FFFFFFFFB3F8FFFF -REG FFFFFFFFFFF1FFFF -REG 00000115C7FFFFFF -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFC0007F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFB3F8FFFF -REG FFFF000000000000 -REG 0000000000000020 -REG 390000343FFF77BF -REG 0200000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEA -REG 00000000000024D3 -REG 390000343FFF77BF -REG 0000000041000810 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000001D +GPR4 000000004EB5FFFF +GPR5 FFF7FEFFFFFFFF00 +GPR6 FFFFFFFFFFFDE89D +GPR7 0000000000000000 +GPR8 0000000008010000 +GPR9 0000000000000000 +GPR10 FFFFFFFFB14A0000 +GPR11 0000000000000000 +GPR12 0000000080000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000002000000007 +GPR16 0000000013F90000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000008010000 +GPR20 FFFFFFF800000000 +GPR21 2FD7800000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000000001D +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFDE89E +GPR30 0000000000000000 +GPR31 +CR 0000000051E7520E +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/378.bin b/tests/378.bin index 834ba43..02cd0be 100644 Binary files a/tests/378.bin and b/tests/378.bin differ diff --git a/tests/378.out b/tests/378.out index 30450bc..77a0270 100644 --- a/tests/378.out +++ b/tests/378.out @@ -1,32 +1,37 @@ -REG FFFFFFFFE81753A7 -REG FFFFFFFF80000040 -REG 0000C4568445F1B1 -REG 000000005000AC59 -REG 0000000000000000 -REG FFFFE15300000000 -REG 0000000050000C48 -REG 0000000080000000 -REG 0000C4568445F1B1 -REG 0007FFFFFFFF9A2F -REG 0000000000000000 -REG 0000000000000000 -REG 0000C4568DEDF1B1 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00001EACFFFFFFFF -REG 0000C4568DEDF1B1 -REG FFFF9FFFB8181AF5 -REG 000E400000000000 -REG 0000000000000019 -REG 0000000000000000 -REG 117C6C6111606C61 -REG 0000C00000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFFFFFFF9A2F -REG 0000000000000500 -REG 0000000000000000 -REG FFFFFFFFFCEFC9EA -REG FFFFE153030F0000 -REG 0000000109FF1440 +GPR0 0000000000000041 +GPR1 000000000120003D +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000004924 +GPR5 00000000000035E5 +GPR6 FFFFFFFFFFFFFFC2 +GPR7 000000000121C01E +GPR8 0000000000000040 +GPR9 00000045E4000000 +GPR10 FFFFFFFFFFFFFFE0 +GPR11 0000000000000000 +GPR12 0000000001200000 +GPR13 0000000000000000 +GPR14 00000001FFFF0000 +GPR15 0000000000900000 +GPR16 0000000001200000 +GPR17 0000000000003E21 +GPR18 0000000001200000 +GPR19 000000000000003D +GPR20 FFFFFFFFFFFE3FE0 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE3FE1 +GPR24 000000000001C020 +GPR25 0000000000003E20 +GPR26 000000000001C020 +GPR27 0000000078000000 +GPR28 0000000000000000 +GPR29 0000000008000000 +GPR30 000000000000004F +GPR31 +CR 000000005995705F +LR 000000000000003D +CTR 000000000001C020 +XER 00000000C0080000 + diff --git a/tests/379.bin b/tests/379.bin index 870d296..a91cecc 100644 Binary files a/tests/379.bin and b/tests/379.bin differ diff --git a/tests/379.out b/tests/379.out index 740fc0d..f951777 100644 --- a/tests/379.out +++ b/tests/379.out @@ -1,32 +1,37 @@ -REG 00000000FE001E00 -REG F7600000000FFFFF -REG 0000000000000001 -REG F760000000100650 -REG 0000000000000006 -REG 0000000900000014 -REG 0000000000000000 -REG 00000000013FFFEC -REG 000000000000003D -REG 000000000000000F -REG F760000000100650 -REG 000000170000000D -REG 0000000000000000 -REG 0000000900000051 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FBA00000027FFFD8 -REG 0000000000000012 -REG 0000000000000007 -REG 0000000000000027 -REG 0000000000000000 -REG 0000000000001FFF -REG 0000000000000000 -REG 00000000A59A1FFF -REG 0000000000000000 -REG 000000170000000D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 00000000F0000000 -REG 0000000040000E10 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 FFFFFFFFA3CE0000 +GPR7 FFFFFFFFA3CE0000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000000016E8 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFBAF00000 +GPR20 FFFFFFFFFFFF85BA +GPR21 FFFFFFFF75E00000 +GPR22 000000000000000C +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000030500090 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080032D44 + diff --git a/tests/38.bin b/tests/38.bin index b7a561a..82bddc3 100644 Binary files a/tests/38.bin and b/tests/38.bin differ diff --git a/tests/38.out b/tests/38.out index cc268bf..16a71a7 100644 --- a/tests/38.out +++ b/tests/38.out @@ -1,32 +1,37 @@ -REG 0000000000000029 -REG 0000000001F02C80 -REG 0000000000000010 -REG 0000000002000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF000 -REG 0000000000000000 -REG FFFEF7FFFFFEFFDE -REG FFFFFFFFFFFFFFFF -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFDF02115C91 -REG 00000000A7C90000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000004000000 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000040000080 +GPR0 FE3FDFFFFE3E3FE0 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 FFFFFFFFFFFE2D27 +GPR4 0000000000000000 +GPR5 01C0200001C02ADC +GPR6 FFFFFFFFFFFE0001 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 FFFFFFFFFFFFFFFD +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE2311 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFD +GPR17 000000000001C01A +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000001CD8567 +GPR22 000000000001DCF0 +GPR23 000000000001C018 +GPR24 0000000001000000 +GPR25 AAAAAAAAAAAAAAAB +GPR26 0000000000000000 +GPR27 00000000330059FA +GPR28 FFFFFFFFFFFE0000 +GPR29 0000000000000000 +GPR30 000002AAAAAAAAAA +GPR31 +CR 0000000033000409 +LR 0000000000000000 +CTR 000000000001C01F +XER 00000000A005C020 + diff --git a/tests/380.bin b/tests/380.bin index 0ae3830..8e22779 100644 Binary files a/tests/380.bin and b/tests/380.bin differ diff --git a/tests/380.out b/tests/380.out index 7608cd4..0c75f34 100644 --- a/tests/380.out +++ b/tests/380.out @@ -1,32 +1,37 @@ -REG 00FFFFFE4770DCB7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 00000001B88F23B8 -REG 0000000000000040 -REG FFFFFFFFFFFFFFBF -REG 0006E24000000000 -REG 00000000B27D0000 -REG 000007FFFFFFFFFF -REG FFFFFFFFFFFFFF23 -REG FFFFF91DC0000000 -REG 00000001B88EC8A5 -REG FFFFF7FFFFFFD79A -REG FF00000000000040 -REG 00000000B27DAF73 -REG 00000000989FC069 -REG 0000000000000000 -REG 0800000000000000 -REG 0000000000000000 -REG F800000000008B97 -REG 0000000000000006 -REG 0000000000008B98 -REG 0000000000000000 -REG FFFFFFFFFFFFBB26 -REG FFFFF91DC0000000 -REG 0000000000000000 -REG 00000000989F34D1 -REG 0000000000000000 -REG FFFFFFC00001FFFF -REG 0000000080091104 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000001F +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030003000 +LR 0000000000000001 +CTR 000000000000101E +XER 0000000080000000 + diff --git a/tests/381.bin b/tests/381.bin index 9007311..6ba710b 100644 Binary files a/tests/381.bin and b/tests/381.bin differ diff --git a/tests/381.out b/tests/381.out index 435b9d9..9da8ba1 100644 --- a/tests/381.out +++ b/tests/381.out @@ -1,32 +1,37 @@ -REG 000002DF00001424 -REG FFFFEC20FFFF9C00 -REG 0000000000005E1A -REG 0000000006000505 -REG 0000130515D013CB -REG 0000000000000000 -REG 0000000003FFFD43 -REG 0034AFFFFFD20FBE -REG 00000000000016FB -REG FFFFFFFFFFFFA1E5 -REG 0000000000005E1B -REG 0000000000008041 -REG 0000000000000500 -REG FFFFFFFF9EB478F8 -REG FFFFFD20FFFF834A -REG 0000000000000005 -REG FFFFFD20FFFFFD20 -REG FFFFFFFF9EB478F8 -REG 0000000000001400 -REG FFFFFD21FFFEBA8C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFD20FFFFFD20 -REG 0034AFFFFFD20FFF -REG 0000000000080000 -REG FFFFEC2100002460 -REG 0000000000000000 -REG 00000000000008F8 -REG FFCB5000002E06FC -REG 00000000000016FB -REG 0000000091108890 +GPR0 00000000003F8500 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 07070707070FE347 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 07070707070FE346 +GPR15 000000003B49FFFF +GPR16 0000000000000000 +GPR17 1C1C1C1C3F8D1C1C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFF800 +GPR31 +CR 0000000050539000 +LR 0000000000000000 +CTR FFFFFFFF996FFFFF +XER 00000000A007FFFF + diff --git a/tests/382.bin b/tests/382.bin index d4d02c1..2db84b7 100644 Binary files a/tests/382.bin and b/tests/382.bin differ diff --git a/tests/382.out b/tests/382.out index 7e46e26..3c707fc 100644 --- a/tests/382.out +++ b/tests/382.out @@ -1,32 +1,37 @@ -REG 00000000FFFFFFFE -REG 0000000000000000 -REG 0008000000080000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000015 -REG 00005ABA27240000 -REG 0000050100000501 -REG 0000000000000000 -REG FFE02E000000000F -REG 0000007E0000007E -REG 000000000000003A -REG 0000050100000501 -REG 00005ABA27240000 -REG 000000007A690000 -REG FFFFFFFFFFA00000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000036F7 -REG 0000000000000501 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000000003D -REG 0000000019371DBB -REG 0000000080800000 +GPR0 001FFFFDFFFFC0C4 +GPR1 0000000000000000 +GPR2 00000000FFFFFF9F +GPR3 0000000000000000 +GPR4 0000000000000004 +GPR5 00000000000954A0 +GPR6 0000000000001000 +GPR7 0000000000000000 +GPR8 000000000001C010 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000000954A0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFEB000000 +GPR21 0000000000004020 +GPR22 FFFFFFFF000011E3 +GPR23 0000000000000020 +GPR24 FFFFFFFFFFFFBFDF +GPR25 00000000777C0000 +GPR26 0000000000000000 +GPR27 00000000FFFFFF9F +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 000000000001C020 +GPR31 +CR 000000009930C050 +LR 0000000000000000 +CTR 00000000000954A0 +XER 00000000A0040000 + diff --git a/tests/383.bin b/tests/383.bin index 2ddd1b4..cd96f0e 100644 Binary files a/tests/383.bin and b/tests/383.bin differ diff --git a/tests/383.out b/tests/383.out index fbf28bc..f93400e 100644 --- a/tests/383.out +++ b/tests/383.out @@ -1,32 +1,37 @@ -REG 100000A3895000A3 -REG 0000000000000000 -REG 000000000000001A -REG FFFFFFFF00515D8F -REG 00030E0000000600 -REG 0000000000000000 -REG FEB9FFFFFFFE7FFF -REG 000000007EF10000 -REG 0146000000000000 -REG FFFFFFFFD2CF0A30 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG FFFFE200F637FB1E -REG 000000000000942D -REG 0000000000000000 -REG 0000000000000304 -REG 0000000000000000 -REG FFFFE00000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000002C50 -REG 0000000000000000 -REG 00030E0001000600 -REG FFFFE2000014FB1E -REG 00001FFFFFFF77F4 -REG 00000000D2CF0A30 -REG 0000000000000000 -REG 00030E0001000600 -REG 00001FFFFFFFE870 -REG 0000000000000000 -REG 000000005F111029 +GPR0 FFFFFFFFFFFFFFC9 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFE06 +GPR10 0000000080000200 +GPR11 0000000000000001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000000005 +GPR15 0000000000000000 +GPR16 00000000000007D9 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 000000003A199714 +GPR23 FFFFFFFFFFFFFE06 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00001B0000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039199314 +LR 0000000000000000 +CTR 0000000000000036 +XER 00000000C0080000 + diff --git a/tests/384.bin b/tests/384.bin index e60c6b1..f7e9569 100644 Binary files a/tests/384.bin and b/tests/384.bin differ diff --git a/tests/384.out b/tests/384.out index 1ca7161..78915bd 100644 --- a/tests/384.out +++ b/tests/384.out @@ -1,32 +1,37 @@ -REG 0808080FA7FF4C4E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFA2FEBECB -REG 00000008A2FE5F69 -REG 0000000000000000 -REG FFFFFFFFFE80DFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF75D01B6A8 -REG 0000000000008009 -REG 06FFFFFFFFFFDD3F -REG E00003D2DBBAE80D -REG 000000000000F4B6 -REG 000000005D01B6AB -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000009000 -REG 00000008A2FEBECB -REG 00000008A2FE5F65 -REG 0000000000000000 -REG 000000003C429000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080705010503 -REG FFFFFFFFFFFFFFFF -REG 06FFFFFFFFFFDD40 -REG 000000011E001E00 +GPR0 7EFFFFFF0808F44E +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 7FFFFFFF000E4341 +GPR5 000000000001B028 +GPR6 0000000000000000 +GPR7 000000000002F442 +GPR8 0001050200010502 +GPR9 0000000000000000 +GPR10 3FFFC03FFFDF7FBF +GPR11 0000004000000000 +GPR12 0000000000000003 +GPR13 FFFFFFFFFFFFFFBE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 80000000FFEFBFDF +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0002F4420002F442 +GPR20 FFFFFFFFFFFFFFF8 +GPR21 0000000000119A3B +GPR22 FFFFFFFFFFFE3FF6 +GPR23 FFFFFFFFFFFFFF01 +GPR24 0000010000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000062370000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0001050200010502 +GPR30 0000000000000000 +GPR31 +CR 00000000000FF089 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/385.bin b/tests/385.bin index f93c549..5042bac 100644 Binary files a/tests/385.bin and b/tests/385.bin differ diff --git a/tests/385.out b/tests/385.out index 88eab10..58e2ac0 100644 --- a/tests/385.out +++ b/tests/385.out @@ -1,32 +1,37 @@ -REG 7FFFFFFF8A5108C5 -REG FFFFFFFFFFFFFFFF -REG FFFFFC7331920F1B -REG 0000000000000000 -REG FFF15680F1990000 -REG FFFFFFFFFFFFFFA3 -REG 7FFFFFFFFFC00000 -REG 0000000000003FF0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000A5FC -REG 0000000000000040 -REG 0000000EA97F0E67 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000003C29FFFF -REG FFFFFFFFFFFFFFD1 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000060 -REG FFFFFFFFFFFFAD24 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE3 -REG 06B9F1AD06B9F1AD -REG FFFFFFFFFFFFAD24 -REG 00000000297F0E6F -REG 000EA97F0E670000 -REG FFFFFFFFFFFFAF6C -REG 00000000811E4609 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000E0007FFC +GPR5 0000000000000000 +GPR6 000000000001817F +GPR7 0000000000000003 +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 0000000039904544 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFB +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFD420 +GPR20 0000000000000000 +GPR21 0000000100000000 +GPR22 00000000E0007FFC +GPR23 00004608C0000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C038 +GPR30 0000000028000000 +GPR31 +CR 0000000000004044 +LR 000000001D370000 +CTR FFFFFFFFFE2D2138 +XER 00000000E00C0100 + diff --git a/tests/386.bin b/tests/386.bin index 3346925..629851b 100644 Binary files a/tests/386.bin and b/tests/386.bin differ diff --git a/tests/386.out b/tests/386.out index 3285b61..c0b46b2 100644 --- a/tests/386.out +++ b/tests/386.out @@ -1,32 +1,37 @@ -REG A6E2545766FC1FFF -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFB280000 -REG 00000000000056BE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF3D4C4 -REG FFFFFFFFFEFDFDDB -REG FFFFFE0000000000 -REG 0000000000000000 -REG 0000000000026F00 -REG 000000000000000B -REG 000000000000000A -REG 0000000000026F00 -REG 0000000000000000 -REG 001FFFE04130A800 -REG FFFFFFFFFFFFF75C -REG FFFFFFFFFFFF99D5 -REG 0000000001020225 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFF401D0 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFF3D4C4 -REG 0000000000000000 -REG 0000010004007FFA -REG 0038400026F00000 -REG DE000004DE000004 -REG FFC7BFFFFFC20F9F -REG 0000000048810110 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0020000000000000 +GPR4 0000000020000000 +GPR5 0000000100000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFF800000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFACCA +GPR11 0000000000000000 +GPR12 0000000000005336 +GPR13 0000000000000000 +GPR14 FFFFFFFEFFFFFFFF +GPR15 000000001FFFEC5D +GPR16 0000000042060000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFACCA +GPR19 00200000FFFEFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFACCA +GPR26 0000000000000000 +GPR27 FFFFFFFFC0000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFF00010001 +GPR31 +CR 000000003950255D +LR FF50000047D7FFFE +CTR 0000000000000000 +XER 000000008000003F + diff --git a/tests/387.bin b/tests/387.bin index bfa6c9a..1c88a3f 100644 Binary files a/tests/387.bin and b/tests/387.bin differ diff --git a/tests/387.out b/tests/387.out index be35496..1306a22 100644 --- a/tests/387.out +++ b/tests/387.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFF80 -REG 00000000000014EA -REG 0000000000000000 -REG FFFFFFFFC2811A45 -REG 0000000000000000 -REG 000000002A6FF049 -REG FFFFFFFFFFFFFFFF -REG 0000000000003941 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080808 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF979F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000005AB5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000111100010 +GPR0 000000000001C033 +GPR1 00000002EFA23915 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 A53F58ECEAEB0000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C032 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000FFFFA787 +GPR20 00875800214EA808 +GPR21 0000000080000000 +GPR22 FFFFFFFEFFFFFFC1 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 000005010001C020 +GPR28 00000000FFFFFFC1 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000038199C51 +LR 00000002EFA23915 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/388.bin b/tests/388.bin index c352a35..f86f3b1 100644 Binary files a/tests/388.bin and b/tests/388.bin differ diff --git a/tests/388.out b/tests/388.out index 1e3f206..bac5af2 100644 --- a/tests/388.out +++ b/tests/388.out @@ -1,32 +1,37 @@ -REG 96E4DDFFFFFFFFE0 -REG FFFFE0C113A25991 -REG E67FFFFF5C2333C0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000027 -REG 0000000000000000 -REG 691B22000000003F -REG 691B22000000001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFF21E -REG 0000000000001BE8 -REG 0000000000000000 -REG FFFFFFFFFFFFF83F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG E67FFFFF5C23B7E2 -REG 0000006440000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000001BE9 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005C2333C0 -REG FFFFFFFFFFFFFFFF -REG 1996C17182C4A343 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF9BBFFFFFBF -REG 000000005E6FB011 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000001066 +GPR3 000000000001C020 +GPR4 FFFFFFFEFFE003FE +GPR5 0008080604436B1A +GPR6 0000000000000002 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000004436B1A +GPR9 00000000000015C8 +GPR10 FFFFFFFFE00003FE +GPR11 FFFFFFFEFFE003FE +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFE3FDF +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 00000000C0200001 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 FFFFFEFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE3FDF +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000020 +GPR26 0000000100000000 +GPR27 0000000100000000 +GPR28 0000000000000001 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFE3FDF +GPR31 +CR 0000000090000020 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/389.bin b/tests/389.bin index 6bcabc4..4a56fe1 100644 Binary files a/tests/389.bin and b/tests/389.bin differ diff --git a/tests/389.out b/tests/389.out index 5dbd4e1..18576ad 100644 --- a/tests/389.out +++ b/tests/389.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020888020 -REG FFFFFFFFFFF20000 -REG 0000000000005A71 -REG 0000000000000000 -REG 5020000000000022 -REG 0000000000000000 -REG E000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 5020000000000022 -REG 00000000C7CD5A71 -REG 6020000000000000 -REG E000000000000020 -REG 5020000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C7CD5A71 -REG E000000000000020 -REG 4004000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG F000000000000000 -REG 0000000000000000 -REG 0000000041E1001F +GPR0 0000000028D00000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 90000E01B8D07C01 +GPR4 0000000000000000 +GPR5 0000000000001800 +GPR6 FC0000000071C4C6 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFB0A0 +GPR13 0000000000000000 +GPR14 00000000A7048349 +GPR15 FF3C5B000001C020 +GPR16 FFFFFFFFA7048349 +GPR17 FFFFFFFFA70433E8 +GPR18 0000000000000000 +GPR19 0007F3C5B0001800 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFF00000000 +GPR23 90000E01B8CEBBE1 +GPR24 0000000000000000 +GPR25 FFFFFFFFA7048348 +GPR26 FFFFFFFFA7048349 +GPR27 0000000000000000 +GPR28 0007F3C5B0000000 +GPR29 FFF8000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035F809A4 +LR 0000000000003805 +CTR FC0000000071C4C6 +XER 00000000E00C0000 + diff --git a/tests/39.bin b/tests/39.bin index 565f646..4d8f0b6 100644 Binary files a/tests/39.bin and b/tests/39.bin differ diff --git a/tests/39.out b/tests/39.out index 96cb9e4..2eea111 100644 --- a/tests/39.out +++ b/tests/39.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 000000000000001A -REG 0000000000000000 -REG 04002BFFFFFFCBEA -REG 03FFFFFFFFFF9FAB -REG 0000000000002C40 -REG 0000000000000000 -REG 00002C0000002C40 -REG FC002C0000006C7F -REG 0000000020000000 -REG 0000000000000000 -REG FFFFD3FFFFFFD3C0 -REG 0000000000000000 -REG FE5F57FFFE5F03FF -REG 00002C0000002C40 -REG 0000000000000000 -REG 000FFFFFFFFFFBFF -REG 000003FFFFFF9000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FC002C0000006C7F -REG FFFFD3FFFFFFD3C0 -REG 3FFFFFFFFFF9FAA0 -REG 03FFFFFFFFFF9FAA -REG 00002BFFFFFFF461 -REG 00002BFFFFFFF461 -REG 03FFFFFFFFFF9FAA -REG FFFC7FFFF6A3FFDF -REG 0000000000000000 -REG 000003FFFFFFD040 -REG 0000000050909C41 +GPR0 FFFFFFFFFFFFFFFF +GPR1 000000000029C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000FF00FFFF +GPR6 000000000000000E +GPR7 9C32FFFFFFFFFFFF +GPR8 0000010100FFFEFD +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFF418D +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFA0C6 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFA0C6 +GPR16 000000000FF00000 +GPR17 000000000000000E +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 00001AC8D1800000 +GPR21 FFFFFFFF800007FF +GPR22 0000000000000380 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 23FFFC00000004FF +GPR26 FFFFFFFFFF00FFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 23FFFC0000000500 +GPR30 0000000000000041 +GPR31 +CR 0000000095881807 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFF8 +XER 00000000C000FFFF + diff --git a/tests/390.bin b/tests/390.bin index 1b29097..4c79206 100644 Binary files a/tests/390.bin and b/tests/390.bin differ diff --git a/tests/390.out b/tests/390.out index aa418d9..b5973cd 100644 --- a/tests/390.out +++ b/tests/390.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFD50A0000 -REG 0000000000000002 -REG 00000000DA770020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003989 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFF9DD -REG 0000000000000018 -REG 0000000000000000 -REG 00000000000F8000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000006 -REG 000000095FFE200F -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000040000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 00000000000055F2 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFC00000 +GPR6 0000000000404694 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000006 +GPR11 0000000000000000 +GPR12 00000000000055F2 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000006 +GPR22 FFFFFFFFFFC00000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000006AB0 +GPR26 FFFFFFFFFFFF8901 +GPR27 0000000093650018 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000170000 +GPR31 +CR 000000003133555F +LR 0000000000000000 +CTR 031FCCC1F622819B +XER 000000008003FFFF + diff --git a/tests/391.bin b/tests/391.bin index 1d3d02a..1e16e3d 100644 Binary files a/tests/391.bin and b/tests/391.bin differ diff --git a/tests/391.out b/tests/391.out index 4a946db..3bc9bd7 100644 --- a/tests/391.out +++ b/tests/391.out @@ -1,32 +1,37 @@ -REG 000000000000679B -REG 0000000000000000 -REG F920000024400000 -REG FFFFF6F5FB5FB765 -REG FFFFF6F5FB57B765 -REG 0000000000000020 -REG FFFFF6F5FB57B766 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000060 -REG 0000000000000000 -REG 0000000000142400 -REG FFFFF6F5FB57B766 -REG 0000000000000020 -REG FFFFF90E04A0489A -REG FFFFF00400000000 -REG FFFFF00400000000 -REG 0000000000000000 -REG FFEA745EFFEA745E -REG FFFFF00400000000 -REG FFFFFFFFFFFFFF9A -REG 000000007FFFFF00 -REG FFFFFFFF8000001F -REG FFFFFFFFFFFFFFFF -REG 000000000000001A -REG 000000000000679B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF9A -REG 0000000108089110 +GPR0 0000000000000018 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000018 +GPR7 FFFFFFFFFFFFFFC0 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFA3C20000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFBFFFFFF80001FF +GPR19 0000000000000020 +GPR20 000000000001C020 +GPR21 FFFFFC007FFFFFFF +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000001800000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000093005409 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080400 + diff --git a/tests/392.bin b/tests/392.bin index 0d42560..fecd6f8 100644 Binary files a/tests/392.bin and b/tests/392.bin differ diff --git a/tests/392.out b/tests/392.out index ff24e5b..f5d65bc 100644 --- a/tests/392.out +++ b/tests/392.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFAE67 -REG 00000000000053E1 -REG 0000000000000000 -REG 000000000FB8FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAC1E -REG 0000000000000019 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000445A0000445A -REG FFFFFFFFFFFFA000 -REG 00000000000F07FF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF7F7F7F7 -REG FFFFFFFF00000000 -REG 0000000000000019 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005421 -REG 00000000478F0000 -REG 028EC00002800000 -REG FFFFFFFF8FB8FFFF -REG 0808080808080809 -REG 0000000000000001 -REG 0000000000150840 -REG FFFFFFFFD0000000 -REG 0000000000000000 -REG 0000000091101081 +GPR0 FFFFFFFFFFFFFFE7 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000033597255 +GPR3 0000000000000000 +GPR4 00000000FFFE03FB +GPR5 FFFFFFFFFFFFFFC0 +GPR6 00000000CA75001B +GPR7 FFFFFFFDFFFFC5EF +GPR8 00000000000101FF +GPR9 0000000000000000 +GPR10 0000000000000039 +GPR11 0000000000000000 +GPR12 FFFF2BD902E5B44F +GPR13 0000000000000000 +GPR14 7FFFFFFFFFFFFFE0 +GPR15 00000000000101FF +GPR16 000000000000001B +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFE7 +GPR22 0000000000000000 +GPR23 0000000200000002 +GPR24 0000000000000000 +GPR25 000000000001C00E +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFF0 +GPR28 0000000000000020 +GPR29 0000000200000001 +GPR30 0000000000000002 +GPR31 +CR 0000000033595259 +LR 000000000002709C +CTR 0000000000000000 +XER 00000000A0040014 + diff --git a/tests/393.bin b/tests/393.bin index 7ba3130..b28f24b 100644 Binary files a/tests/393.bin and b/tests/393.bin differ diff --git a/tests/393.out b/tests/393.out index 91919d0..8db3d05 100644 --- a/tests/393.out +++ b/tests/393.out @@ -1,32 +1,37 @@ -REG FC00096073F8480E -REG 0000000000000000 -REG FFFFFFFFFFFBE725 -REG FFFF000400000007 -REG 00000000000018DA -REG 00000000000066B9 -REG 00000000094C0000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG FF8002FFF80002FF -REG 000000002FF8404D -REG FFFFFFFFFFFFF800 -REG FFFFFFFFFFFFFFFF -REG 0000000000000800 -REG 0000000000000000 -REG FFFFFFFFFFFFA98E -REG 000000008FF8400F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000008FF8400F -REG FFFFFFFFFE000800 -REG 000000000F000000 -REG 0000000000672929 -REG 0000000000000000 -REG 0000000000000024 -REG 000000000F000000 -REG 0000000000000000 -REG 00000000000018DA -REG 0000000000000000 -REG 0000000000006729 -REG 0000000000040000 -REG 000000009110801E +GPR0 FFFFFFFFFFFFD370 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000033880402 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000FFFE3FC3 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000003590D070 +GPR14 0000000000000000 +GPR15 00000000B2617CFB +GPR16 0000000030000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFD370 +GPR26 000000003590D070 +GPR27 FFFFFFFFCC77FBFD +GPR28 0000000000000000 +GPR29 0000000000005064 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000035900070 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A004D070 + diff --git a/tests/394.bin b/tests/394.bin index 0d33c49..ec592d5 100644 Binary files a/tests/394.bin and b/tests/394.bin differ diff --git a/tests/394.out b/tests/394.out index 2e2c04a..4f32c43 100644 --- a/tests/394.out +++ b/tests/394.out @@ -1,32 +1,37 @@ -REG FB30000063CB3393 -REG 000000003FFFDF9A -REG 001C80B19232CE00 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 000000000000000B -REG 0000000000001DD6 -REG 04D0000004BFEB5B -REG 0000000000000000 -REG 000000000000000B -REG FFFFFFFFC6B76D85 -REG 0000000004C00000 -REG 0000000000000000 -REG 0000000008000000 -REG FB30000063BAFF01 -REG 0000000000000000 -REG 0000000000000100 -REG 0000000000000000 -REG 04CFFFFF9C44FFDF -REG FFFFFFFFFFFFFFFF -REG 0000000044C00013 -REG 0000000004C00000 -REG FFFFFFFFC00000EB -REG 0000000000000000 -REG 0000000000006D85 -REG 0000000000000000 -REG 0000000000720300 -REG 000000000000004B -REG 0000000040000110 +GPR0 FFFFFFFF99B00040 +GPR1 000000000001C020 +GPR2 C9FFF2684BB20000 +GPR3 0000000000000005 +GPR4 000000FFFFFFE565 +GPR5 0000000060800000 +GPR6 CFFFFFFFACDF0E33 +GPR7 00000000000000FF +GPR8 E100000000000000 +GPR9 0000000000000000 +GPR10 000000000001C01F +GPR11 0000000000001A9B +GPR12 0000000000000008 +GPR13 FFFFFFFFFFFFFF01 +GPR14 0000000000000001 +GPR15 0000000000000020 +GPR16 0000000000000005 +GPR17 00000003000000F6 +GPR18 000000000001C020 +GPR19 0000000002000000 +GPR20 000000000039C35A +GPR21 0000000000000000 +GPR22 0000000300000009 +GPR23 0000000000000000 +GPR24 D000000060800E00 +GPR25 000000000000BBFF +GPR26 0000000000000000 +GPR27 00000000002812C8 +GPR28 000000000039C35B +GPR29 0000000082CA0000 +GPR30 0000000000000000 +GPR31 +CR 0000000091098150 +LR 000000000000BBFF +CTR FFFFFFFFFFFFFFF7 +XER 00000000C0080000 + diff --git a/tests/395.bin b/tests/395.bin index 5b24c03..97cbf1f 100644 Binary files a/tests/395.bin and b/tests/395.bin differ diff --git a/tests/395.out b/tests/395.out index e6b6c8c..0a88da0 100644 --- a/tests/395.out +++ b/tests/395.out @@ -1,32 +1,37 @@ -REG FFFFFFFFB0000C00 -REG 0000000000000000 -REG 0000000000000037 -REG FFFFFE50400003FF -REG 0000000000000036 -REG 0000000000000000 -REG FFFFFFFF98730000 -REG 000000000000001F -REG FFFFFFFFF7803978 -REG 0000000000000005 -REG 0000000000000078 -REG 0000000000F70000 -REG FFFFE7C48B903979 -REG FFFFFFFFFFFFFFFF -REG 00000000087FC687 -REG FFFFFFEFFFFFFFEF -REG 0000000000001CCE -REG 0000183B746FC686 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF94100000 -REG 0000000000000000 -REG 000000000077FFE0 -REG 00000000400003FF -REG 0000001F00000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000007963 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000041091008 +GPR0 0000000000000020 +GPR1 FFFFFFFF86303FEC +GPR2 0000000007500000 +GPR3 0000000079CFC012 +GPR4 FFFFFFE2C00102AE +GPR5 E9B00101E8000101 +GPR6 0000000000000000 +GPR7 00000000000000EA +GPR8 FFFFFFFF86303FCC +GPR9 FFFFFFFFFFFFFFF1 +GPR10 00000000000194FF +GPR11 00000000000000EA +GPR12 00000000000000EA +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFE2C00102AE +GPR15 0000000000000000 +GPR16 0700800000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFD1 +GPR19 FFFFFFFFFFFFFFDF +GPR20 0000000000000000 +GPR21 000000000000003F +GPR22 0000000000000000 +GPR23 000000000000005F +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFFFFDF +GPR26 0000000009FF0000 +GPR27 FFFFFFFFFFFFFFDF +GPR28 000000000001C020 +GPR29 FFFFFFFF9070FFDF +GPR30 FFFFFFFFFFFFF9FF +GPR31 +CR 000000005C10075B +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/396.bin b/tests/396.bin index 7e79c90..9820a86 100644 Binary files a/tests/396.bin and b/tests/396.bin differ diff --git a/tests/396.out b/tests/396.out index a3ee34b..3438edc 100644 --- a/tests/396.out +++ b/tests/396.out @@ -1,32 +1,37 @@ -REG 00000001FFFFDADC -REG FFFFFFFFFFFFFED8 -REG 00000000FFF7E000 -REG 0000000080000000 -REG FFFFFFFFC0000000 -REG FDFFFFFC0000400D -REG FFFFFFFFFFFFBFF3 -REG 000001FFCC000000 -REG FFFFFFE5FFE01FE5 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 02000003FFFFBFF3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FF981000001E0000 -REG 0000000000000000 -REG 00000000DC830000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF3 -REG 0000000000003478 -REG 0000000000000000 -REG FFFFFFE5FFE01FE5 -REG 0000000000000000 -REG FFFFFFFF5D9DFFFF -REG F000064EF000060E -REG 0000000081F08818 +GPR0 0000000000000000 +GPR1 00000000394B0020 +GPR2 0000004000000040 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000010000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFEE +GPR12 0000000000000000 +GPR13 0200000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFD9C6 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFD9C6 +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 FFFFFFFFFFFFD9C6 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000004 +GPR29 FFFFFFFFFFFFFFE8 +GPR30 FFFFFFFFFFFFD9C6 +GPR31 +CR 00000000321735A9 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/397.bin b/tests/397.bin index e44468a..c747484 100644 Binary files a/tests/397.bin and b/tests/397.bin differ diff --git a/tests/397.out b/tests/397.out index 4e262ca..546cfbc 100644 --- a/tests/397.out +++ b/tests/397.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG F3FFFFFFFFFFFFDF -REG 0000000052D9B9ED -REG 0000000000000000 -REG FFFFFFFFFFFBFFFF -REG 0C000007FDFF0021 -REG 000000003AA10000 -REG 00000C0000000000 -REG F3FFFFFFFFFFFFFE -REG 0C00000000000000 -REG 0000000000000000 -REG 007AC400007AC400 -REG FFFFFFFFFFFFFFED -REG 0C00000000000001 -REG FB8AF80012BE2024 -REG F3FFFFFFFFFFFFDF -REG 0000000000808000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 007AC400007AC400 -REG AC42C00000000007 -REG 0000000000000000 -REG 0000000052D9B9ED -REG FFFFF40000003276 -REG 00000007FDFF0000 -REG 0000000000000000 -REG 0000000100910090 +GPR0 0000000000000000 +GPR1 FFFFD8309FFFC1F6 +GPR2 FFFFD830A0000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000001600007EFE +GPR7 000000000000001D +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000007FFFEC185 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000007 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFF8 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFE9FFFF8102 +GPR24 000000000000969D +GPR25 0000000000000000 +GPR26 0A0000000FFFFD80 +GPR27 0000000000000001 +GPR28 0000000000000020 +GPR29 FFFFFFFF0000FEFD +GPR30 0000000000000000 +GPR31 +CR 000000003353000B +LR 0000000000000000 +CTR FFFFC7FBE00034AF +XER 0000000080000000 + diff --git a/tests/398.bin b/tests/398.bin index 97ac467..473e0af 100644 Binary files a/tests/398.bin and b/tests/398.bin differ diff --git a/tests/398.out b/tests/398.out index 8192fae..7b60445 100644 --- a/tests/398.out +++ b/tests/398.out @@ -1,32 +1,37 @@ -REG 0000FFA9B27FFF81 -REG 0000FFA9B27FFF80 -REG 0000000000000000 -REG 00000000000780DF -REG 0000000000000000 -REG 0000007FD4D93FFF -REG 0000000000000000 -REG 000000000F000000 -REG 0000FFA9B27FFF80 -REG FFFFFFFF5364FFFF -REG 0000000000000000 -REG 20000000092D4000 -REG 0000000029B27FFF -REG 0000000000000000 -REG 0000000000033000 -REG FFAE5AFE880800A9 -REG 0000000000000000 -REG 0000FFA9B27FFF80 -REG 00000000EC61CDC8 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFEB96BFA202002A -REG FFFFFFFF9BD60000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000CDC8 -REG 000000009E810442 +GPR0 0000000000000000 +GPR1 00000000000041DE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000040 +GPR8 000000000000006F +GPR9 000000000001FFE8 +GPR10 FFFFFFFFFFFFFFFF +GPR11 003A00000000002E +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000002F +GPR16 0000000000000038 +GPR17 003A000000000000 +GPR18 0000000000000000 +GPR19 0000000000001081 +GPR20 0000000000000000 +GPR21 0006000000000000 +GPR22 0000000000000038 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000038 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFD1 +GPR29 0000000000001DE0 +GPR30 0000000000000000 +GPR31 +CR 000000002441B7FB +LR 0000000000000000 +CTR FFFF000000000000 +XER 0000000000000038 + diff --git a/tests/399.bin b/tests/399.bin index b75bc6f..3d663cf 100644 Binary files a/tests/399.bin and b/tests/399.bin differ diff --git a/tests/399.out b/tests/399.out index e8dfeb5..250617e 100644 --- a/tests/399.out +++ b/tests/399.out @@ -1,32 +1,37 @@ -REG CBE840033FA25FE9 -REG 000005BABBBB59FB -REG 00000000000071C5 -REG 0000000000D1AB2A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF9A -REG FFFFFFFFFF97BABD -REG 4760002148844863 -REG 0000000000000000 -REG FFFFFFFFFF96B375 -REG CBE84003B7270000 -REG 0000000000000000 -REG 8CCDC7C67FFFCD9A -REG CBE8400339D10000 -REG 0000000000000000 -REG 0000000000000040 -REG B89FFFDEFFFFFFDE -REG FFFFFFFFFFFFFFF2 -REG 306965E780000000 -REG 0000000000000001 -REG 0000000000000020 -REG 230CDFCCF9D3076F -REG 0000000000000240 -REG 0000000000000000 -REG 0000000000000018 -REG 0000000006965E78 -REG EFEB4D0FBFFFFFFF -REG 0000000000000000 -REG CBE84003B7270000 -REG 00000000807E851D -REG 4760002100000021 -REG 0000000110000871 +GPR0 0000000000000000 +GPR1 0000003F80000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000003F7FFFFFF9 +GPR4 0000000000000010 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000FFFFFFF7 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 000000000000001F +GPR14 FFFFFFFFFFFFFFFD +GPR15 0000002000000020 +GPR16 00000000F7BDEF7C +GPR17 FFFFFFFFFFFFFFFF +GPR18 00000000F7BDEF7C +GPR19 FFFFFFE000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 0000000000000007 +GPR26 000000000001C020 +GPR27 0000000000000014 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFF9 +GPR31 +CR 000000005B9953FF +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/4.bin b/tests/4.bin index d751afd..cd0c4c6 100644 Binary files a/tests/4.bin and b/tests/4.bin differ diff --git a/tests/4.out b/tests/4.out index a3a1057..e410a9c 100644 --- a/tests/4.out +++ b/tests/4.out @@ -1,32 +1,37 @@ -REG FFFFFFFF8D182127 -REG 0000000000000000 -REG 0000000032191E12 -REG 0000000000003551 -REG FFFFFFFFFFFFFA6A -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000020 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 00000000BC770040 -REG 0000000020190000 -REG 0000000000000000 -REG 0000000000000000 -REG 0103FFFFBF016167 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000383FFFFFFCBFA -REG 0000000000000000 -REG 0000000032190040 -REG 004C13C7004C13C7 -REG FFFFFFFFFFFFFFFF -REG FEFC000040FFBF81 -REG 0000000032190040 -REG 0000000049FE9070 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFE0BE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000059A00 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 F83F7DFF801F7DFF +GPR8 0000000050000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFF303E +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFAFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFE0 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000CFC2 +GPR26 0000000000000000 +GPR27 0000000000014739 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000030599D15 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/40.bin b/tests/40.bin index 2100f83..83c634d 100644 Binary files a/tests/40.bin and b/tests/40.bin differ diff --git a/tests/40.out b/tests/40.out index 69cd13f..316f424 100644 --- a/tests/40.out +++ b/tests/40.out @@ -1,32 +1,37 @@ -REG 0FFFE796A32C3CC8 -REG 00000000000000FF -REG FFFFFFFFFFFFFFEF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0FFFFFFFFFF8003F -REG 0FFFFFFFFFF8003F -REG 0000000000000000 -REG FFFFFFFFB5D9C6B3 -REG 000018695C000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000004000 -REG 0000000000000000 -REG F00000000007FFC0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000200000000001 -REG 000000000000003F -REG 0000000000000000 -REG 00000000003FF07F -REG FFFFFFFFFFFFDA9A -REG FFFFE48BBEF2BC8B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080110A88 +GPR0 0000000000000006 +GPR1 FFFFFFFFFCDA0005 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 00000000FFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFF3FA +GPR9 FFFFFFFFFAB7C02A +GPR10 0000000000000000 +GPR11 0000000000000006 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 00000000C0080006 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 00000000FCDA0005 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFC01F +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 FFFFFFFF0000FF00 +GPR28 000000000001C020 +GPR29 000000006E19C02A +GPR30 0000000000000000 +GPR31 +CR 0000000048097055 +LR 0000000000000000 +CTR FFFFFFFF0000FF00 +XER 0000000020040006 + diff --git a/tests/400.bin b/tests/400.bin index 4b9999c..6cf722f 100644 Binary files a/tests/400.bin and b/tests/400.bin differ diff --git a/tests/400.out b/tests/400.out index a1166cc..16d4e64 100644 --- a/tests/400.out +++ b/tests/400.out @@ -1,32 +1,37 @@ -REG E4CEFFFFFFFFFFF4 -REG 0000000000000002 -REG FFFFFF96CF9ED328 -REG CD68000DE2A00630 -REG 00000000E2A00630 -REG 0000000000000009 -REG 0000000067410003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003F0000003F -REG 0000000067410043 -REG 8F00000000000080 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFB7FFBD7C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG F770FFFF6B1C0041 -REG 0000000067410000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG F770FFFF6BE3FFBE -REG FFFFFFFFFFFFFFFF -REG AD00004D70C00240 -REG FFFFFFFFFFFFFFE8 -REG 0000000000000000 -REG FFFFFFFFFFBA9A00 -REG 0000000005020000 -REG FFFFFF96CF9ED328 -REG CD68000DE2A00630 -REG 00000001008A9010 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFC257 +GPR2 0000000000000000 +GPR3 0000000080000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFEE +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000200000000 +GPR11 0000000000000000 +GPR12 0000000000000002 +GPR13 0000000000000000 +GPR14 000000003059F596 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFC257 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000000000FB +GPR22 000000000000001E +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFC0 +GPR30 0000000000000000 +GPR31 +CR 000000003059F596 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/401.bin b/tests/401.bin index 4af4a44..1d5c3fc 100644 Binary files a/tests/401.bin and b/tests/401.bin differ diff --git a/tests/401.out b/tests/401.out index 49ede54..3757772 100644 --- a/tests/401.out +++ b/tests/401.out @@ -1,32 +1,37 @@ -REG 000000022A5D0440 -REG 0000000064000000 -REG 0000000000000000 -REG 00FFFFF8FFE00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9982 -REG 0000000000000000 -REG 0000000020000000 -REG 15232A9500002A95 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFA55D -REG 000000022A46552A -REG 0000001FC3F40000 -REG 0000000000000000 -REG FFFFFFE07FFFFFFF -REG 0000000000000000 -REG 00000000C3F40000 -REG 0000000000000000 -REG 0000000000000003 -REG 000000000016AF16 -REG 000000000000000C -REG 000000000016AF16 -REG 0000000000169717 -REG 0000000000000AF0 -REG 0000000100000000 -REG 0000004000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000080088510 +GPR0 FFFFFFFFFFFFC019 +GPR1 FFFFFFFFB562FFFE +GPR2 FFFFFFFFB562FFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFC019 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000C826C3 +GPR15 000000003AF935D0 +GPR16 FFFFFFFFFFFFB8E1 +GPR17 000000000001C020 +GPR18 0000000000000004 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 000000004A9D0000 +GPR23 3803400000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000002 +GPR29 0000000000007F4D +GPR30 0000000000000005 +GPR31 +CR 000000003AF935D0 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0043FDF + diff --git a/tests/402.bin b/tests/402.bin index 186fa42..5c35895 100644 Binary files a/tests/402.bin and b/tests/402.bin differ diff --git a/tests/402.out b/tests/402.out index 54d11a9..fde5bc2 100644 --- a/tests/402.out +++ b/tests/402.out @@ -1,32 +1,37 @@ -REG 20007B00AA008BF0 -REG FFFFFFFF3F1DAFFF -REG 0000000011CA0000 -REG B43827E3B0000063 -REG DFFFE4FFC0000001 -REG 0000000000000000 -REG FFFFFFFFFFFC007F -REG 0000000000000000 -REG 000000000000D04D -REG FFFFFFFFFFFFFFFF -REG 0000000000000005 -REG 000000000003B00C -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG DFFC34F3BFFC53FA -REG BFAA0700BFAA0700 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000007909 -REG FFFFFFFFFFFFFFFF -REG 2003CB0C4003AC05 -REG FFFFFFFFFF800FFF -REG 0000000000000000 -REG DFFFE4FFC00A0001 -REG DFFC34F3BFFC53FA -REG 0000002000000020 -REG 20001B003F80001E -REG 0000000000000001 -REG 0000000040112831 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 01FE3FE0003803A5 +GPR4 0000000000000000 +GPR5 00000000875ABF9C +GPR6 0000000000000013 +GPR7 00000000875AC74F +GPR8 FFC0405FFE000003 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 00000000875ABF9C +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFF6 +GPR14 00000000875ABFAF +GPR15 0000000000000002 +GPR16 0000008080808050 +GPR17 0000000000000000 +GPR18 01FE3FE0003803A5 +GPR19 FFFFFFFFFFFE0202 +GPR20 00000000875ABF9C +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFF6 +GPR24 00000000875ABF9C +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 01FE3FFE703803A5 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000057F95F0C +LR FFFFFFFFFFFFFFE0 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/403.bin b/tests/403.bin index 79c3c16..abe4e72 100644 Binary files a/tests/403.bin and b/tests/403.bin differ diff --git a/tests/403.out b/tests/403.out index be87b0b..ad3566f 100644 --- a/tests/403.out +++ b/tests/403.out @@ -1,32 +1,37 @@ -REG 0000000000000046 -REG 0000000000000012 -REG 0400000000000000 -REG 0000000000000000 -REG FFFFFF8000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000F0000000F -REG FFFFFF8000000000 -REG 0000000000000012 -REG 0000000000000000 -REG 0000000000000000 -REG FC00000000000000 -REG 000FC00000000000 -REG 00000000000003FD -REG FFFFFF7FFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0080000000000000 -REG 0000000000000000 -REG FFFFFFFFAF3BFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0400000000000000 -REG 000000000000001B -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 0000000088080091 +GPR0 FF8BFBA6FFFFFFF7 +GPR1 0000000000000000 +GPR2 000BD48000000000 +GPR3 0000000000000020 +GPR4 007FD0D900000009 +GPR5 FFFFFFE0000019B1 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFF42B8000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000BD47FFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFB707FFF +GPR17 000000000000001F +GPR18 00000000048F8000 +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFFFFF7 +GPR21 0000000000000000 +GPR22 7759290000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000201FBC0BA +GPR30 0000000000000000 +GPR31 +CR 00000000350100D9 +LR FFFFFFFF0000FFFF +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/404.bin b/tests/404.bin index babca4d..e02d851 100644 Binary files a/tests/404.bin and b/tests/404.bin differ diff --git a/tests/404.out b/tests/404.out index c723949..49eaa6b 100644 --- a/tests/404.out +++ b/tests/404.out @@ -1,32 +1,37 @@ -REG FFFFFFFAC0019AF6 -REG 8E50000000000000 -REG FFFFFFFFFFFF9AF3 -REG 0000000000000000 -REG 0328600000000000 -REG FFFFFFFFFFFF9A7A -REG 00000000000024C0 -REG 0000000000000000 -REG 0000000000000005 -REG 00000000001C432D -REG 00000040000DF140 -REG 0000000000000000 -REG 0000000000000000 -REG 0328600000000000 -REG 0000000000000040 -REG FFFF9A453B06F908 -REG 0000000000000000 -REG FFFFFFBFFFFFCEBF -REG 00002D2D614E9A7A -REG 00000000BA010000 -REG 001BE280000BE280 -REG FFFFFFFFEDE0DAD4 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000001CAFFF -REG FFFF9A453B0726BA -REG 0000000000080000 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000001943000 -REG 0000000000000000 -REG 0000000111110891 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFEBE321 +GPR2 FFFFFFFFFBD86431 +GPR3 FFFFFFFFFFFFFFCE +GPR4 00000000FFFF37A2 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFF3234 +GPR7 FFFFFE41E305A200 +GPR8 9BD0FFFFFFFF3234 +GPR9 FFFFFFFFFFFC0001 +GPR10 0000000000000000 +GPR11 000000000000CDCC +GPR12 39BCDDFFFFFF9BD0 +GPR13 0000000000000004 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000020000 +GPR18 0000000000000000 +GPR19 0000000000039BD0 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000004279BCF +GPR23 0000000000141CDE +GPR24 0000000000000020 +GPR25 0000000000006A0F +GPR26 9BD1000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000004473BC5 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080039BD0 + diff --git a/tests/405.bin b/tests/405.bin index 67c98d6..e3d1568 100644 Binary files a/tests/405.bin and b/tests/405.bin differ diff --git a/tests/405.out b/tests/405.out index 718ac61..0f7761f 100644 --- a/tests/405.out +++ b/tests/405.out @@ -1,32 +1,37 @@ -REG 0000000000006DD0 -REG 080808096BA9BCC8 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000311 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000011 -REG 0000000000000FF8 -REG 0000000163A1BCC0 -REG 0000000000000000 -REG F1F2F219F1F2F219 -REG FFFFFFFDCD1C9221 -REG 00000000008E1800 -REG 0000000000006D50 -REG 0000000000000001 -REG FFFFFFFE01FF9221 -REG F7F7F7F7F7F7F7F7 -REG FFFFC63FFFFFC63F -REG 0000000000000001 -REG 0000000000000000 -REG 39E3864739C00647 -REG FFFFFFFFFFFF0000 -REG 0000000000000001 -REG 39E3864739C00636 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000111 -REG FFFFFFFFFFFFAA7E -REG 0000000089E84411 +GPR0 00000000FFFFEEC4 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFA8 +GPR6 000000000007D64C +GPR7 001247D64C1F225A +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFB0 +GPR11 0000000000000040 +GPR12 00009D73EBF4BE67 +GPR13 0038058000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000056 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFF6152 +GPR20 000000000000003E +GPR21 0000000000000056 +GPR22 000000000001C020 +GPR23 000000000000000C +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFEDB829B3E0DDA7 +GPR28 0000000000000056 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000016 +GPR31 +CR 0000000055FF6999 +LR FFFFFFFFFFFFFFFF +CTR 00000000000059CF +XER 00000000A0040000 + diff --git a/tests/406.bin b/tests/406.bin index 8d8058d..72d817a 100644 Binary files a/tests/406.bin and b/tests/406.bin differ diff --git a/tests/406.out b/tests/406.out index d2bc8c3..428af4a 100644 --- a/tests/406.out +++ b/tests/406.out @@ -1,32 +1,37 @@ -REG 00000000007D0009 -REG FFFFFFFFFFF7FFFF -REG 000000000FFFFFF8 -REG 0000000000000000 -REG 00000000008B92E5 -REG FFFFFFF5FFFFFFF5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000010780000 -REG 000000000F000000 -REG 0000000000FFFF9F -REG 00000040008E4B60 -REG 000108000F000001 -REG 00000000222D0009 -REG 0000004001064B60 -REG 0000004000024B60 -REG 00000000008B92E5 -REG FFFFFFB5FFFDB494 -REG 0000000000000000 -REG 0000000000080000 -REG 000001467C316400 -REG 00000000008B8221 -REG 00000000167E0000 -REG 00010800008C0001 -REG 0000000000780000 -REG FFFFFFFFFF740000 -REG 0000000000000020 -REG FFFFF80000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 000108000F000000 -REG 000000008010011E +GPR0 FFFFFFFFFFFFA828 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFF00000001FA +GPR4 0000000080000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 00000000000001FA +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000001FF800 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFE8 +GPR17 0000000000000000 +GPR18 0001C01F0001C01F +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000007007 +GPR21 0000000000000040 +GPR22 0000000000000001 +GPR23 01C01FFFFC8A40BF +GPR24 0000000000000020 +GPR25 000000FFFFFFFE05 +GPR26 0000000000000000 +GPR27 01C01FFFFC8A009F +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 00000000298FC809 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFDD +XER 000000002007F800 + diff --git a/tests/407.bin b/tests/407.bin index 43a13ab..6f52148 100644 Binary files a/tests/407.bin and b/tests/407.bin differ diff --git a/tests/407.out b/tests/407.out index 4429299..07f116f 100644 --- a/tests/407.out +++ b/tests/407.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFB66E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000499900004819 -REG 0000000000004998 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006A11 -REG FFFFFFFFFFFFFFFF -REG 0000000000000030 -REG 0000000000000000 -REG 10000000000006A1 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFB666 -REG 0000000000000000 -REG 0000000000004999 -REG FFFFFFFFFFFFF647 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040010001 +GPR0 0000000000000000 +GPR1 FFC0000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 0000000000000005 +GPR13 0000000000000000 +GPR14 FFFFFFE000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000200000001F +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 0000001FFFFE3FFE +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000098185010 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/408.bin b/tests/408.bin index 99afa2c..7cfd72b 100644 Binary files a/tests/408.bin and b/tests/408.bin differ diff --git a/tests/408.out b/tests/408.out index 6d2a9f7..943e928 100644 --- a/tests/408.out +++ b/tests/408.out @@ -1,32 +1,37 @@ -REG 0000000019E5006F -REG 0000000000007C01 -REG 0000000000000006 -REG 00000000000066B9 -REG 000000000000F748 -REG 00000A0000000200 -REG 00010000000008B8 -REG 000000000000315B -REG 0000000000003FAC -REG 000000000007FFF0 -REG 0000000000000000 -REG 0000000000D00000 -REG FFFFFFFFFFFFFFF0 -REG FFFFE4D2F9C00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000048 -REG 000000000000311B -REG 0000000000000200 -REG 00000A0000000200 -REG 0000000000000000 -REG 00010000000008B8 -REG 0000000000000000 -REG 0000000000000040 -REG 000000000000315C -REG EDF8000FFFF86E3E -REG FFFFFFFFFFFFFF90 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000014 -REG 0000000080000688 +GPR0 0000000000000000 +GPR1 0000000000008EE2 +GPR2 00000000E0E70005 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFF89F6 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000005A00AA4 +GPR10 FFFFFFFFFFFFF13E +GPR11 0000923EB260F912 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000003 +GPR17 0000000000000000 +GPR18 0000000000180000 +GPR19 0000000000000000 +GPR20 000000000000002B +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFF8 +GPR24 FFFFFFFFFFFFFFC7 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005D20450B +LR 0000000000000000 +CTR FFF00ABFCE2A66FE +XER 00000000A0040003 + diff --git a/tests/409.bin b/tests/409.bin index 887601f..02c4111 100644 Binary files a/tests/409.bin and b/tests/409.bin differ diff --git a/tests/409.out b/tests/409.out index 978556d..ef1af25 100644 --- a/tests/409.out +++ b/tests/409.out @@ -1,32 +1,37 @@ -REG 9FC6000000C638DE -REG 000003E0000003E0 -REG 0000000000000000 -REG 815C2A20815C2A20 -REG 521FFFFF686CE122 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000016 -REG 00000000B2EC0016 -REG 521FFFFF686CE122 -REG 10E7F51610E7F516 -REG FFFFFFFFF006C71B -REG 0000000000001A5F -REG 000000009F000001 -REG FFFFFFFFFFFFEC1F -REG 0000000000000000 -REG 0000000000000980 -REG FFFFFFFFFFFFFFC0 -REG DFEF503FF006C71B -REG 00000000439FD458 -REG 0000000000000000 -REG 0000000000000001 -REG 000010FF87FB4367 -REG FFFFEC1FFFFFEC1F -REG 2315B7C812FD3CE7 -REG 0000000000000000 -REG 0000000000000000 -REG 521FFFFF686CE122 -REG 0305080803040402 -REG 0000000000000000 -REG 000000009FC60000 -REG 0000000040931084 +GPR0 0000000000000000 +GPR1 000000000001C01C +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF85BF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFF88660000 +GPR7 0000000000000000 +GPR8 0000000060000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000088660000 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 FFFFFFFF88660000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 00000000779A0000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000060000000 +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 0000000038990900 +LR 0000000000000000 +CTR 0000000000000A54 +XER 00000000A0040000 + diff --git a/tests/41.bin b/tests/41.bin index 554738b..2ab275e 100644 Binary files a/tests/41.bin and b/tests/41.bin differ diff --git a/tests/41.out b/tests/41.out index dda99b6..62408c1 100644 --- a/tests/41.out +++ b/tests/41.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFDA76 -REG 0000000000000020 -REG FFDAEFFFFFDAEFFF -REG 000002588FFA6DAA -REG 0000000000000040 -REG 00255F087CE7FFFF -REG 0000000002170040 -REG 0000000000000039 -REG 07FFFFFFFFFFFFF8 -REG FFFFFFFFFFFFFFFF -REG 0000000000800000 -REG 00000000ADE10000 -REG 000000000E9D6700 -REG FFFFFFFFFFFFFFFF -REG F7FFFFFFFFFFBF3F -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFAC3DE0CF0000 -REG FFFF531B10000000 -REG FFFEB0F7833C0000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000026 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0FFFFFE0ACE485D3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000091DFFFFD +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 FFFFFFFFFFFE3FE9 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFBF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFE3FE9 +GPR8 0000000000000000 +GPR9 0001C01E0001C01E +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFF12BF +GPR12 00000002C0300000 +GPR13 0000000000004000 +GPR14 0000000000000001 +GPR15 FFFFFFFFFFFFFFF8 +GPR16 FFFFFFFFFFFEC3D9 +GPR17 0000000000000000 +GPR18 0000000000000002 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF7F96 +GPR22 0000000000000001 +GPR23 0000000000005806 +GPR24 0000000000000019 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 000000003BF595F9 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/410.bin b/tests/410.bin index 845cfd3..2b577c3 100644 Binary files a/tests/410.bin and b/tests/410.bin differ diff --git a/tests/410.out b/tests/410.out index 2194796..8d77b4b 100644 --- a/tests/410.out +++ b/tests/410.out @@ -1,32 +1,37 @@ -REG 0000002F6000F9AE -REG FFFFFFFFFCE2E380 -REG 0000000000000000 -REG 0000002C3FB31136 -REG FA13FFFFFF13FFFF -REG 0000000000000F90 -REG 0000000000000000 -REG 000000003FB31136 -REG 0000000000000000 -REG 0079FE0000000E00 -REG FFFFFFFFFFFF0674 -REG FFFFFFFFFCE2E37F -REG 0101010102010203 -REG 00001E9B00001E9B -REG 0000002900000029 -REG FF00000000000000 -REG 0000000000000F90 -REG FFFFFE84FFFFFE84 -REG 0000000000000027 -REG 0000000000000000 -REG 0000000000007C80 -REG FFFFFFFFFCE2E380 -REG 00000000F3FC0000 -REG 00000000031D7F80 -REG 0FED97BCFE0D58AB -REG F012684301F268AF -REG FF00000000000000 -REG 00000000000000FE -REG 4000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000048801089 +GPR0 FFFFE60CFFFFE60B +GPR1 0000000000000000 +GPR2 000000004D0959EC +GPR3 FFFF1F06C1CA1D9F +GPR4 0000000000000000 +GPR5 000000001C99C7E7 +GPR6 000000001C9807E6 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000004A4B +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFE60CFFFFE60C +GPR11 000000001C99C7E6 +GPR12 FFFFFFFFFFFFFFB2 +GPR13 0CFFFFE60C070FE6 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 EE0001FFB2F73F65 +GPR18 00000000000109E5 +GPR19 000000001C99C7E6 +GPR20 00000000003BE000 +GPR21 000000000007B5C0 +GPR22 FFFFFFFE60CFFFFE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFE60CFFFFE60C +GPR26 FFFFFFFFFFFFC020 +GPR27 0000E0F8F12CE261 +GPR28 0000E0F8F12CE261 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000003F997591 +LR FFFFFFFFFFFFFFFF +CTR 000000005555552A +XER 00000000A005000D + diff --git a/tests/411.bin b/tests/411.bin index 70de332..6bc8e7d 100644 Binary files a/tests/411.bin and b/tests/411.bin differ diff --git a/tests/411.out b/tests/411.out index b383664..ebf32db 100644 --- a/tests/411.out +++ b/tests/411.out @@ -1,32 +1,37 @@ -REG 0000000000000019 -REG 0000000000000AD1 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000019 -REG 00000000207F0000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC0270000 -REG 0000000000000000 -REG 0000000000000AF1 -REG FFFFFFFFFFFFF50E -REG 0000000000000000 -REG 00000000000092AE -REG FFFFFFFFFFFFE7E7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000158A000000000 -REG 0000000000001818 -REG 0000000000000019 -REG 0000000000000000 -REG 00000000000092C7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001819 -REG 0000000040900051 +GPR0 0000000000000000 +GPR1 0000000000000020 +GPR2 000000000000002A +GPR3 FFFFFFFFFFFFFFAB +GPR4 0000000000000000 +GPR5 000000000000C020 +GPR6 0000000000000000 +GPR7 0000201F6B0C07DE +GPR8 000000000001C010 +GPR9 0000000000000000 +GPR10 000020000001E010 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000300000000 +GPR13 0000201F6B0BE7DD +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 0000000300000002 +GPR17 0000000000002001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000EF540000 +GPR21 0000000300000000 +GPR22 FFFF00FFFFFF0000 +GPR23 0000000000000000 +GPR24 FFFFE2D1F5400000 +GPR25 0000000000000054 +GPR26 000000000000002A +GPR27 0000000000000004 +GPR28 0000000000000000 +GPR29 FFFFFFFCFFFFFFFD +GPR30 0000000000000000 +GPR31 +CR 0000000059599200 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/412.bin b/tests/412.bin index edf8c22..5b2beae 100644 Binary files a/tests/412.bin and b/tests/412.bin differ diff --git a/tests/412.out b/tests/412.out index b60ff14..bc38852 100644 --- a/tests/412.out +++ b/tests/412.out @@ -1,32 +1,37 @@ -REG 0000A2C0FFF7C525 -REG 0000A2C0FFF7FF7B -REG FFFFFFFFFFFFFFFF -REG 0000000000010000 -REG 00000000000029E8 -REG 0000000000000000 -REG FFFFFFFFFFFFBF23 -REG FFFFFFFFFE0040DF -REG 0000000000000000 -REG 0000000001FFFFF4 -REG 00000000000029E9 -REG FFFFFFFFFFFFFFFF -REG 000000000001000E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF700D -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000029E8 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000A2C035A7FD30 -REG 0000000000000000 -REG FFFFFFFFFE0040DF -REG 0000000004000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF1 -REG 0808080808070304 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF1 -REG 000000010901E8E8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 0000000000000006 +GPR8 0000000000000006 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000206B +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFB93B +GPR14 000000000000C020 +GPR15 0000000034154750 +GPR16 FFFFFFFF8C97FFFF +GPR17 000000030001C039 +GPR18 000000030001C03A +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFE3FDE +GPR21 0000000000000000 +GPR22 0000000073663FDE +GPR23 0000000300000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000002 +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 000000007FF00000 +GPR31 +CR 0000000034154750 +LR 000000030001C03A +CTR FFFFFFFFFFFFC01F +XER 00000000C008001E + diff --git a/tests/413.bin b/tests/413.bin index cc00080..0c0c087 100644 Binary files a/tests/413.bin and b/tests/413.bin differ diff --git a/tests/413.out b/tests/413.out index a373dfd..5e57e08 100644 --- a/tests/413.out +++ b/tests/413.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFBA2B -REG 0000000000000000 -REG 0000000000000000 -REG 0100040201000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF5C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000000A4 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 00000000189C0000 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000041010810 +GPR0 FFFFFFFFB0B4C491 +GPR1 FFFFFFFFFFFFFFF9 +GPR2 FFFEFFFFFFFE3FFA +GPR3 FFFFFFFFFFFFFFFF +GPR4 0001C0010001C001 +GPR5 0000000000000000 +GPR6 0000000000000041 +GPR7 00000000817C03E0 +GPR8 0000070808080808 +GPR9 0000000000000000 +GPR10 FFFEFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 000000000019B6F0 +GPR13 FFFEFFFFFFFC7FF5 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 00000000817C26D8 +GPR17 FFFFFFFFFFFE3FF9 +GPR18 FFFF7FFFFFFE3FF9 +GPR19 000000000019B6F0 +GPR20 FFFEFFFFFFFE3FFA +GPR21 00007FFFFFFFFFFF +GPR22 0000000000000004 +GPR23 FFFFFFFFFFFFFFFF +GPR24 80003FFFFFE00000 +GPR25 FFFFFFFFFFFFFFF0 +GPR26 00000000000022F8 +GPR27 0000000000000040 +GPR28 0000000030000000 +GPR29 0000000000000000 +GPR30 000000000001C005 +GPR31 +CR 0000000096DF0459 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040040 + diff --git a/tests/414.bin b/tests/414.bin index 5c42ec6..1c826a6 100644 Binary files a/tests/414.bin and b/tests/414.bin differ diff --git a/tests/414.out b/tests/414.out index a8ab262..e4b6bf0 100644 --- a/tests/414.out +++ b/tests/414.out @@ -1,32 +1,37 @@ -REG 000001014BF90526 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000014000000 -REG 000000000000000B -REG 000000004A9906A5 -REG 0000000000000000 -REG 000000000000001C -REG 000000004A9A0000 -REG FFFFFF87FFFFFF0B -REG FFFFFF87FFFFFF00 -REG 00000000000001F2 -REG 00000000000047A7 -REG 0000000738C71F2C -REG FFFFFFFFFFFFFFFF -REG 000000000000C329 -REG 0000000000000000 -REG 000000000B8E0000 -REG 000000000000A25F -REG 0000000000000029 -REG FFFFFFFFFFFF8942 -REG 0000000000000000 -REG 00000078000000FF -REG 000000004A9906C2 -REG 0000000000000142 -REG 0000000000000000 -REG 000000004A9906A6 -REG FFFFFFF800E2E0D3 -REG FFFFFFFFFFFF847E -REG FFFFFFFFFFFFC9CE -REG 0000000000000020 -REG 0000000101080880 +GPR0 000000000001C004 +GPR1 0000923EB26138F5 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 000000000000002A +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FD7FFFFFFD001FFF +GPR9 FFFF6DC94D9F070D +GPR10 FFFF6DC14D9F070E +GPR11 00000000350999A0 +GPR12 FD7FFFFFFD001FFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFBDF5 +GPR20 0000000000000000 +GPR21 000000007FFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000007 +GPR25 0000000000000000 +GPR26 FFFF6DC14D9D46DA +GPR27 00000007FFFFFFFF +GPR28 000000000001C020 +GPR29 000000000000002A +GPR30 FD7FFFFFFD001FFE +GPR31 +CR 0000000095100800 +LR 0000000000000000 +CTR 0000000000000001 +XER 000000008003FFFF + diff --git a/tests/415.bin b/tests/415.bin index 11c39ef..6ce9d7d 100644 Binary files a/tests/415.bin and b/tests/415.bin differ diff --git a/tests/415.out b/tests/415.out index 98d9425..a6e03b4 100644 --- a/tests/415.out +++ b/tests/415.out @@ -1,32 +1,37 @@ -REG FFFFFFCFFFFF3F1B -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFC000000 -REG 000000017BFFC136 -REG 0000000000000024 -REG 0000000000100000 -REG 000000003FFFFF6C -REG 0000000000000000 -REG 0000000000000000 -REG BFFFE10000000000 -REG 000000000000000D -REG 0000000007EF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF00FFFF -REG FFFFFFFFFFFFFFFF -REG 0000100000000000 -REG 0000000004000000 -REG FFFFFFFF84003EA4 -REG 0000000004000000 -REG FFFFFFFFFFFFFFF6 -REG 000000000000822C -REG 0000000004000000 -REG 00000000FFFFFFDB -REG FFFFFFFFFFFFFFF2 -REG 00000000900100A8 +GPR0 FC00000000003BB9 +GPR1 90000000000001C1 +GPR2 0000000000000000 +GPR3 0000A8C8ED305B50 +GPR4 FFFFFFFFFFFFFFC6 +GPR5 FFFFFFFFFFFF3DB3 +GPR6 00000000000206E6 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 03FFFFFFFFFE6366 +GPR13 FFFFFFFFFFFE7BB7 +GPR14 0000000005000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFF9196 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFF9B4F +GPR23 0000000000000000 +GPR24 0000000000018448 +GPR25 0000000000006740 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C002 +GPR29 0000A8C8ED305B50 +GPR30 FFFFFFFFFFFF9196 +GPR31 +CR 00000000851C45D9 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000000001C002 + diff --git a/tests/416.bin b/tests/416.bin index a8cab6a..2720893 100644 Binary files a/tests/416.bin and b/tests/416.bin differ diff --git a/tests/416.out b/tests/416.out index c269acd..a7212e6 100644 --- a/tests/416.out +++ b/tests/416.out @@ -1,32 +1,37 @@ -REG 000000000000C1F7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000A0012088 -REG 000000000000001D -REG 000000000000003F -REG 0000000000000000 -REG 00000000000000F7 -REG 0000000000000000 -REG FFFFFFFFFFFFBECB -REG 00000000A0012088 -REG FFFFFFFFBFFF63FE -REG FFFFFFFF1FCAFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000E0000000 -REG 0000000000000000 -REG FFFFFFFFFFCB0000 -REG 000000000000003F -REG 0000000000010000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000080000000 -REG 000000000000001D -REG 0000000000000000 -REG 00000000000000C0 -REG FFFFFFFFBFCB9C02 -REG 0000000000000000 -REG 0000000080010911 +GPR0 FFFFFFFFFFFFFFC0 +GPR1 FFFFFFD07FFE3FD1 +GPR2 FFFFFFD07FFFE33D +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 0000000055D260E0 +GPR6 000000000001C020 +GPR7 000000000000003F +GPR8 000000000000000F +GPR9 FFFFFFFFFFFE3FEE +GPR10 00000000000060E0 +GPR11 FFFFFFFFFFFE3FDF +GPR12 000000000001C010 +GPR13 00000000000007FF +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE3FD4 +GPR19 0000000000000040 +GPR20 000000000001C012 +GPR21 0000000000020000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFDF1CF500 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000010 +GPR29 FFFFFFD07FFE3FD3 +GPR30 FFFFFFD07FFFE33E +GPR31 +CR 000000003A091599 +LR 000000000000000F +CTR FFFFFFFFFFFFFFFF +XER 00000000C00BFFFF + diff --git a/tests/417.bin b/tests/417.bin index 802ee39..5be9d7e 100644 Binary files a/tests/417.bin and b/tests/417.bin differ diff --git a/tests/417.out b/tests/417.out index 1e1ff71..2febd3c 100644 --- a/tests/417.out +++ b/tests/417.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFF6BD -REG 0000000000000000 -REG 0000000000007300 -REG 0000000000000200 -REG 000000060000003C -REG 00000000000073D8 -REG 000000001B750000 -REG E000000000002240 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000023 -REG 000000060000001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000006DD4000 -REG 0000000000000000 -REG FFFFFFFFFFFFE29D -REG FFC000001FFFFFFF -REG 0000000000000000 -REG 0000000000010000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFE -REG 0000000000002EC0 -REG 0000000000000053 -REG 0000000000000000 -REG 1FFFFFFFFFFFDDBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF8C27 -REG 0000000000000023 -REG 00000000885E8528 +GPR0 000000000000C001 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0001555555553016 +GPR7 0000000000000000 +GPR8 FFFFFFFF903B034B +GPR9 0000000000005B4C +GPR10 000000000001C034 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000000000AD +GPR19 0000000000000000 +GPR20 FFFFFFFF13F2C18D +GPR21 0000000000000000 +GPR22 000000000000C000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFF13F2C18E +GPR26 00000000FFFDCAE9 +GPR27 0000000000005C16 +GPR28 00000000FFFD0AE9 +GPR29 0000000000000000 +GPR30 00000000FFFC4AE9 +GPR31 +CR 0000000033080928 +LR 000000000000000F +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/418.bin b/tests/418.bin index 876a28a..bc798fe 100644 Binary files a/tests/418.bin and b/tests/418.bin differ diff --git a/tests/418.out b/tests/418.out index 8cd6d05..42d77bc 100644 --- a/tests/418.out +++ b/tests/418.out @@ -1,32 +1,37 @@ -REG 004000EFE0D3D6C4 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFB070 -REG FFFFFFF853144F4E -REG BFFFFFFFB7FFFFFD -REG 0800000008000000 -REG FFFFFFFFFF000000 -REG 00000000000018CE -REG 0004B6C9B8C33254 -REG 0800000000000000 -REG 0000000000FFFFFF -REG 0000000000000001 -REG 080000000800441F -REG FFFFFFFFFFFF62C6 -REG 0000000000000000 -REG F60E001FFFFFFFFF -REG 0000FFFF0000FFFF -REG 0000000000001FCF -REG 0000000000000080 -REG FFFFFFFFFFFFFFDE -REG 0000000000000000 -REG 000000000003FFF8 -REG F60E001FFFFFFFFF -REG 4000000040000002 -REG 004000E8E0D3D6C4 -REG 0000000008000000 -REG 0000000020000000 -REG 0000000000000000 -REG 004000E8E0D3D6C4 -REG 0000000090004110 +GPR0 000000000010EAAA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 FFFFFFFF6FFF3CC0 +GPR13 000000000000001C +GPR14 FFFFFFFFFFFFFFBF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000009380 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFEDFFE7980 +GPR21 FFFFFFFFFFFFFFC0 +GPR22 FFFFFFFFDC41FFFE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 000000009000C329 +GPR29 000000000001C020 +GPR30 0000001200000000 +GPR31 +CR 000000003290C329 +LR 0000000000000000 +CTR 000000000001C020 +XER 00000000C00BFFFD + diff --git a/tests/419.bin b/tests/419.bin index 62b135e..1d18353 100644 Binary files a/tests/419.bin and b/tests/419.bin differ diff --git a/tests/419.out b/tests/419.out index c015f36..23c3fdb 100644 --- a/tests/419.out +++ b/tests/419.out @@ -1,32 +1,37 @@ -REG 000003C100000000 -REG FFFFFFFFFFFFA47F -REG 0000000000000000 -REG 0000000073DB0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFDB30 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE0 -REG 0000000000000020 -REG FFFFFFFFFFFFA47F -REG 1800000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 567EFFF4567EFFF4 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFF0000 -REG 0000000000000020 -REG 000000001A500000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFF3DFFFF -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 000000001A500000 -REG FFFFFFFFFFFFAEA0 -REG 0000000000000000 -REG 0000000048900288 +GPR0 91FFFE7191FFFFF0 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C031 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE3FE0 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000009E353863 +LR 0000000000003DE2 +CTR 001B8000639B8000 +XER 0000000080000000 + diff --git a/tests/42.bin b/tests/42.bin index 496a2d5..008395d 100644 Binary files a/tests/42.bin and b/tests/42.bin differ diff --git a/tests/42.out b/tests/42.out index dfaf2e8..9ab4b44 100644 --- a/tests/42.out +++ b/tests/42.out @@ -1,32 +1,37 @@ -REG 797FFFFFFFF23116 -REG 59C0047B5000007B -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC5 -REG 00000000000079D4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007CFE -REG 0000400000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003A -REG 0000000040000000 -REG FFFFFFFFF90264D4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF94BFF9C -REG FFFFFFFFDACE0023 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000100000094 +GPR0 0000000000000020 +GPR1 000000000001C2B3 +GPR2 000000007A920000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000001 +GPR7 0000000000000019 +GPR8 0000000000000001 +GPR9 000000000000EC11 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 0000000000000001 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 FFFFFFFFFFE00000 +GPR22 0000000000000000 +GPR23 0000000055A00000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001D822 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE27DD +GPR30 0000000000000000 +GPR31 +CR 0000000050828000 +LR 0000000000000038 +CTR 0000000000000000 +XER 0000000080007F85 + diff --git a/tests/420.bin b/tests/420.bin index 9aca7f3..60eae71 100644 Binary files a/tests/420.bin and b/tests/420.bin differ diff --git a/tests/420.out b/tests/420.out index 9d05893..0541531 100644 --- a/tests/420.out +++ b/tests/420.out @@ -1,32 +1,37 @@ -REG F000200040040880 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004000000 -REG 0000000000000019 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG F000200001FFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000880 -REG 00000000FFFFFFFE -REG 0000000000000001 -REG FFFFFFFFFFFFFFFE -REG 0000000000000040 -REG 00000000000002E2 -REG 00000000FFFFFFFE -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000010F64 -REG FFFFFFFFFFFF9956 -REG F000200002000000 -REG 000000000000000B -REG FFFFFFFFFFFFF87F -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFF4 -REG 0000000044080100 +GPR0 0000000000000000 +GPR1 0000000000000020 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00FF00FF000000FE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C004 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFE460 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 FFFFFFFFFFFFFF23 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000005 +GPR25 923FF5B5FFFFF248 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003FFE25F5 +LR 00000000C0080000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C020 + diff --git a/tests/421.bin b/tests/421.bin index 91e2441..111a2bc 100644 Binary files a/tests/421.bin and b/tests/421.bin differ diff --git a/tests/421.out b/tests/421.out index e1bbce0..76c2ce2 100644 --- a/tests/421.out +++ b/tests/421.out @@ -1,32 +1,37 @@ -REG F9AFFFFFDF7DDCDE -REG 0000000000000000 -REG FFFFFFFFFFFFAD77 -REG 0000000000000000 -REG 006D800000002361 -REG 0000000000000000 -REG 0000000000000000 -REG F7FCFEFCF9F7F7F9 -REG 000002F5FFFF7B00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000057D7 -REG 0000000000000000 -REG 0650000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0803010306080806 -REG 0000000000001800 -REG 0000000000000000 -REG 0000000040F50000 -REG 0000000000000000 -REG 00935D3C00935D3C -REG FFFFFFFFFFFFFFFF -REG 927680000C23AD77 -REG 00000000000057D7 -REG 927680000C23AD77 -REG 0000000000000000 -REG 0000000000000000 -REG 0803010306080806 -REG 00000000408446C2 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFC1ACAEFC +GPR7 0000000000000040 +GPR8 FFFFFFFFAD83F800 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFE7FFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000036535003 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C020 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000E00FF800 +GPR20 000000003E535103 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000003E535103 +GPR24 FFFFFFFFFFFFF800 +GPR25 0000000000000000 +GPR26 00000000E00FF7FF +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000003E535103 +GPR31 +CR 0000000056535003 +LR FFFFFFFFFFFE7FFF +CTR 00000000000DFFFF +XER 00000000A007F800 + diff --git a/tests/422.bin b/tests/422.bin index 59e3ba4..9c9289c 100644 Binary files a/tests/422.bin and b/tests/422.bin differ diff --git a/tests/422.out b/tests/422.out index 7df70fb..d4cd0b9 100644 --- a/tests/422.out +++ b/tests/422.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000002F -REG 0800000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000002E002C08 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000C08B0000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 800B000380000003 -REG 0000000000000000 -REG 0000000000000141 -REG 000000002E002C08 -REG FFFFFFFFFFFFFE9F -REG 0000000000000000 -REG 0800000000000000 -REG 07FFFFFFD1FFD3F7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000021 -REG 000000009C009810 +GPR0 F87FFFFFF87FFFFF +GPR1 0000000000000000 +GPR2 000000032383FFAB +GPR3 0000000000000000 +GPR4 0000000000000011 +GPR5 000000000000001F +GPR6 0000000000000029 +GPR7 FFFFFFFF0001C023 +GPR8 0000000000000000 +GPR9 000000000001FFCC +GPR10 FFFFFFFF90066414 +GPR11 0000000000000000 +GPR12 00000000FFFFFFFC +GPR13 00000000F5566607 +GPR14 FFFFFFFFFFFFEF69 +GPR15 000000003FF99BD9 +GPR16 0000000000000001 +GPR17 FE00000000000000 +GPR18 000000006FF99BEC +GPR19 D8FF0000D8FF0000 +GPR20 0000000000000014 +GPR21 FFFFFFFF90066414 +GPR22 FFFFFFFFFF607FFF +GPR23 000000000001C020 +GPR24 000000000001C029 +GPR25 0842108421031CE7 +GPR26 000000002F43FFFB +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FE00000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000055F55D99 +LR 0000000016FDFFFF +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/423.bin b/tests/423.bin index 888e665..031a7e3 100644 Binary files a/tests/423.bin and b/tests/423.bin differ diff --git a/tests/423.out b/tests/423.out index 22f3426..5f0b709 100644 --- a/tests/423.out +++ b/tests/423.out @@ -1,32 +1,37 @@ -REG 0000000000000502 -REG 0000000040000000 -REG FFFFFFFFFFFFA858 -REG FFFFFFFFF8BC0000 -REG 0008000000359C00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000F12 -REG 0000000000000000 -REG 0000000000003BFF -REG FFFFFFFFFFFFFFF0 -REG 0000000000000000 -REG FFFFFFFFFFFF8776 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF0 -REG 0000000020000000 -REG FFFFFFFFFFFFF56A -REG 0000000000000000 -REG 000000000000795B -REG 0000000000000000 -REG FFFFFFFE36359C11 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000003C00 -REG 0000000040000000 -REG FFFFFFFFFFFFFFFF -REG 0000202C0000202C -REG 000000000000C766 -REG FFFFFFFFFFFFFFF0 -REG 00000000480F8880 -REG 00000001FFFFFFFF -REG 00000000901F1101 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000FFFFFFFFFF00 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 FFF7FFFFFFFE3FD1 +GPR5 FFFFFFFFFFFE3FDC +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 007FFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000019FCA +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 000000000001C03C +GPR16 FFF8000000000000 +GPR17 000000000000001F +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000000001F +GPR21 0000000000000020 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000070 +GPR31 +CR 0000000029F7935F +LR 0000000000000000 +CTR 0000000000000000 +XER 000000000001C03C + diff --git a/tests/424.bin b/tests/424.bin index bd05d0b..6ee3c6b 100644 Binary files a/tests/424.bin and b/tests/424.bin differ diff --git a/tests/424.out b/tests/424.out index 1f8076b..47f0cda 100644 --- a/tests/424.out +++ b/tests/424.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG FFFFFFA0220756D5 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000F69 -REG 0000000000000021 -REG 0000005F8CA8A92B -REG FFFFFFA0220756D5 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000000 -REG FFFFFFA0735756D5 -REG 0000000000007B62 -REG 0000000000000002 -REG 0000000000000F69 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFA0220756D5 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000004000000 -REG 2222201F222243C2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF83400000 -REG FFFFFFFFFFFFFFFF -REG 0000000088088110 +GPR0 FFFFFFFFFFFE3FDF +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C030 +GPR5 00FE0000000000FF +GPR6 0000403F80000000 +GPR7 0000000000000020 +GPR8 000000000001C020 +GPR9 FF00FFFFFF000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 0000000000003FDF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000080000000 +GPR17 FFFFFFFFCC90BDD0 +GPR18 FFFFFFFFFFFFD0C3 +GPR19 0000403F80000000 +GPR20 00FE0000000000FF +GPR21 000000007B6E0000 +GPR22 0000000000000000 +GPR23 0000000080000000 +GPR24 FFFFFFFFFFFA1800 +GPR25 FFFFFFFFFFFA1800 +GPR26 000000000001C020 +GPR27 000000000001C030 +GPR28 0000000000000038 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050359510 +LR 0000000000000000 +CTR 0000000000000040 +XER 0000000080004C3F + diff --git a/tests/425.bin b/tests/425.bin index 5955914..be040c9 100644 Binary files a/tests/425.bin and b/tests/425.bin differ diff --git a/tests/425.out b/tests/425.out index a33899c..4137d19 100644 --- a/tests/425.out +++ b/tests/425.out @@ -1,32 +1,37 @@ -REG 1FF7E10000800000 -REG E000000000000000 -REG E00000000000003A -REG FFFFFFFFFBFFF2D0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003D -REG 0000000800000008 -REG 03FFFFFF51E7E1E6 -REG FFFFFFFFFFFFE461 -REG FFFFFFFFFFFF8000 -REG FFFFFFFFFFFFFBFF -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG E00000000000003A -REG 0000000000000040 -REG FFFFFFFFFBFFF2D0 -REG 0000000000000000 -REG 0000000000000000 -REG 03FFFFFF51E781A6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF7FFE5A -REG 0000000000000020 -REG FFFFFFFFFF7FFE5A -REG 0000000000000028 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000101149084 +GPR0 FFFFFFFFFFFFC91F +GPR1 FFFFFFFFFFFFFFFF +GPR2 00000000000036E0 +GPR3 0000000055260000 +GPR4 000000000003BF81 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFC91F +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFB03B +GPR10 D174600000030F46 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFF2 +GPR14 0004AA4724BCF041 +GPR15 FFFFFFF788704906 +GPR16 000000000000239A +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFD81 +GPR23 99D1745D81D1745D +GPR24 FFFFFFFFFFFFFFFE +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 00000000000036E0 +GPR28 00000000000036E0 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000094BDBF01 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/426.bin b/tests/426.bin index cb1c41e..210f296 100644 Binary files a/tests/426.bin and b/tests/426.bin differ diff --git a/tests/426.out b/tests/426.out index ba5fd07..79a7a0f 100644 --- a/tests/426.out +++ b/tests/426.out @@ -1,32 +1,37 @@ -REG 0000002000E4A4B8 -REG 0000002000000017 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0808080803070000 -REG FFFFFFFFFFFFFFED -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG FFFF800000000000 -REG 0000000000000000 -REG 00000000FF5FDB70 -REG 0000000000E4A42F -REG 0000000000000000 -REG 07FFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF000000 -REG 0000002000000017 -REG 0000000000000038 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000013 -REG FFFFFFFFFF1B5B50 -REG 0000000000000000 -REG 00000000D7050000 -REG FFFFFFFFFF1B5B90 -REG 0000000000000000 -REG 0000000000000000 -REG 0000125400001254 -REG 0000000040100081 +GPR0 00B1C02000017F50 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000AC4 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFC020 +GPR7 0000000000000000 +GPR8 000000000FFFB019 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 8551C81E170ECD28 +GPR12 0000000000000040 +GPR13 000000000FFFFFFC +GPR14 0000000000000000 +GPR15 8551C81E17108D48 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000000164CA +GPR20 000000000FFFFFFD +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000003FFFFFF4 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000BF7 +GPR31 +CR 000000003FFFF020 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/427.bin b/tests/427.bin index 869673f..4d75282 100644 Binary files a/tests/427.bin and b/tests/427.bin differ diff --git a/tests/427.out b/tests/427.out index 8535c98..35006b8 100644 --- a/tests/427.out +++ b/tests/427.out @@ -1,32 +1,37 @@ -REG FD7B2FB7FFFFFFFF -REG 00000000000056A4 -REG 810050EF810050EF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FFFFDFFFF -REG 0000000000000000 -REG 87780000077C0802 -REG 7EFFAF107EFFAF10 -REG FFFFFFFFFFEFFFFF -REG 0000000000009249 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000007D -REG 0000000040802888 -REG FFFFFFBF7FD7FAFB -REG 00000009ABA19F3F -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000100000 -REG 0000000000000051 -REG FFFFFFFFBF7FD777 -REG 000000090000001A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080808488 +GPR0 0000000090B90898 +GPR1 0000000090B93DAE +GPR2 00000001C4D5EB35 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000006E9F +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000001 +GPR10 0000000000003119 +GPR11 000000000000311B +GPR12 0001C30000000010 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFCEE5 +GPR23 FFFFFFFFFFFFFFFF +GPR24 00000007FFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFF6F46C66B +GPR27 0000000000000000 +GPR28 0000000000B00000 +GPR29 0000000000000000 +GPR30 FFFFFFFF6F46952E +GPR31 +CR 000000009BB0B9B0 +LR 0000000000000000 +CTR 0000000000003119 +XER 00000000A0040000 + diff --git a/tests/428.bin b/tests/428.bin index 2e15f03..5f6884e 100644 Binary files a/tests/428.bin and b/tests/428.bin differ diff --git a/tests/428.out b/tests/428.out index 3e0ffd7..7c2c605 100644 --- a/tests/428.out +++ b/tests/428.out @@ -1,32 +1,37 @@ -REG 04002E82C000F916 -REG 0000000000004A63 -REG 0000000097AF0108 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFB7090000 -REG FBFFD17DFBFFD17E -REG FFFFFFFF6B109CD2 -REG FFFFFFFFFFFFFFFF -REG 8CEFFC3FD0BA5420 -REG FFFFFFFF6B109CC9 -REG 0000000094EF632D -REG 04002E8204002E82 -REG 731001402B45815D -REG E3FFFF7FE3FFFF7F -REG FFFFFFFFFFFFFFFE -REG 8CEFFC3FD0849020 -REG 0000000000000000 -REG 00F00BCFFD400000 -REG 0000000000000000 -REG 0000000080428801 -REG FFFFFFFF6B109CD2 -REG 00F00BCFFD400001 -REG FFFFFFFFFFFF28CC -REG 0000000000000000 -REG 000000000000001B -REG 0000000D17AF7000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF7FBD7801 -REG 8006434FE0000000 -REG 0000000100851083 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000CB9300 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C00C +GPR10 0000000000000020 +GPR11 000000000000000F +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 00000000003FFFF8 +GPR26 0000000000CB9300 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000006B720000 +GPR30 0000000000000000 +GPR31 +CR 0000000033408050 +LR FFFFFFFFFFFFFFFF +CTR 0000000000CB9300 +XER 00000000E00C0000 + diff --git a/tests/429.bin b/tests/429.bin index 28f79e9..1327266 100644 Binary files a/tests/429.bin and b/tests/429.bin differ diff --git a/tests/429.out b/tests/429.out index 453d3c8..a31d5d8 100644 --- a/tests/429.out +++ b/tests/429.out @@ -1,32 +1,37 @@ -REG FC004006FC004004 -REG 0000000000000000 -REG 0000000000003FF8 -REG 81FFD80000000000 -REG 0000000000000000 -REG 0000000000007FF5 -REG 000000000007FFF8 -REG 0000000000000000 -REG 0000000000000000 -REG 0340000003000000 -REG 0000000000000000 -REG 000000001FFE01BF -REG 0000000000000001 -REG 03FFBFF903FF4004 -REG 0000000000000000 -REG 000000000000000E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFDFFFFFFFD -REG 0000000000000000 -REG 000000000000000E -REG 0000000000000000 -REG FFFFFF0000000000 -REG 0000000000000000 -REG 000000001FFFFE0F -REG 01F8009FFFFFFF0B -REG 0000000000000000 -REG 00FFFFFE00000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF0 -REG FC000000000001F1 -REG 0000000088000808 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 1000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFC0 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000001FCE0000 +GPR11 0000000000000000 +GPR12 000000000000E512 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 FFFFFFFFCFFFFFFF +GPR16 00000000FE3FFFE0 +GPR17 0000000000000000 +GPR18 1B81D7721B839792 +GPR19 E47E288DE47C686D +GPR20 FFFFFFFFFFFE3FDF +GPR21 0000000000000000 +GPR22 0000000000000042 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFC0 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 000000002DAFB328 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000098124540 +LR 0000000000000000 +CTR 00000000FFFFFFFF +XER 00000000E00FFFFE + diff --git a/tests/43.bin b/tests/43.bin index 27d3390..0569857 100644 Binary files a/tests/43.bin and b/tests/43.bin differ diff --git a/tests/43.out b/tests/43.out index 37f61bc..ef18e3b 100644 --- a/tests/43.out +++ b/tests/43.out @@ -1,32 +1,37 @@ -REG 0000013F8442FF99 -REG FFFFFFFF337DD358 -REG 8000000000000061 -REG 000000001DFC1654 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFE203E9E7 -REG 0000000000000000 -REG FFFFFFFFE203E9AC -REG FFFDEFFFFDC0EFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000044440004 -REG FFFFFEC000000001 -REG F674AB97F674AB97 -REG 0000000000000040 -REG 0000000008040044 -REG 0000000000000000 -REG 000000001DFC1653 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000006 -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFE1FF0100 -REG 0000000088040044 -REG 098B5468098B5468 -REG 000000007BBD0000 -REG 0000000000000000 -REG 098B5468098B5468 -REG FFFFFFFF99BEE9AC -REG 0000000000000040 -REG 2FECE9572FECE957 -REG 0000000088880008 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000000044FA +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFCE +GPR11 0000000000000000 +GPR12 0000000000000030 +GPR13 0000000000000000 +GPR14 E0200000DF1FE667 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 2777000000000000 +GPR18 0000000000000002 +GPR19 0000000000000040 +GPR20 000000000000EFEE +GPR21 00000000000009D3 +GPR22 00000000000044FA +GPR23 0000000000000002 +GPR24 0000000000000000 +GPR25 1FE000001FDFFFCF +GPR26 0000000000000000 +GPR27 E0200000DF200071 +GPR28 00000000317C0000 +GPR29 00000000000009D3 +GPR30 0000000000000000 +GPR31 +CR 000000009000071C +LR FFFFFFFFFFFFFFFF +CTR 0000004000000040 +XER 00000000A005C01E + diff --git a/tests/430.bin b/tests/430.bin index 65fd635..2328bf9 100644 Binary files a/tests/430.bin and b/tests/430.bin differ diff --git a/tests/430.out b/tests/430.out index e073e7c..8094afc 100644 --- a/tests/430.out +++ b/tests/430.out @@ -1,32 +1,37 @@ -REG 007F1CD5FFBE1CD7 -REG 0000000000000000 -REG 0000000000001CD5 -REG ABFFFE39ABFFFE39 -REG 0000000000000000 -REG 0000E32A0000E32A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF0000000000 -REG 0000000097345208 -REG FFFFFFFFFFFC001F -REG FF80E32A0000E32B -REG 0000000000000000 -REG 0000000000000020 -REG 0001D28000001281 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 007F1CD5FFFF1CD5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG ABFFFE39AC000458 -REG 0000000000000000 -REG FFFFFFFFFFBEFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFC6FEFFFFC7 -REG 0000000000000000 -REG 0000000000410000 -REG 000000010100E908 +GPR0 0000000000000018 +GPR1 0000000000000001 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000055094553 +GPR5 000054903B6F54B5 +GPR6 FFFFFF491AC39C61 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 00000000C29B1380 +GPR10 0000000005000000 +GPR11 00000000000051E2 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFD57B5D55 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000055094553 +GPR20 01F2736E51DD6E00 +GPR21 00000000000051E0 +GPR22 0000000005000000 +GPR23 000000002A84A2A9 +GPR24 FFFFFFFFAAF6BAAD +GPR25 0000000000000000 +GPR26 0000000000000018 +GPR27 0000000000000000 +GPR28 00000000028FB3C4 +GPR29 0000000000000A3E +GPR30 0000000000000018 +GPR31 +CR 0000000055099453 +LR FFFFFFFFFFFFFFFE +CTR 000000000001C029 +XER 0000000080035FB9 + diff --git a/tests/431.bin b/tests/431.bin index 00d6b48..d4846bf 100644 Binary files a/tests/431.bin and b/tests/431.bin differ diff --git a/tests/431.out b/tests/431.out index b924a63..ee7b2c0 100644 --- a/tests/431.out +++ b/tests/431.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000027 -REG 0000000000000000 -REG 000000000000A20C -REG 000000007FD1003C -REG FFFFFFFFFFFFFEBB -REG FFFFFFFFFFFF5DF0 -REG 0000000000000000 -REG FFFFC00000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF800052BA -REG 0000000000000020 -REG FFFAEF87FFFAEF87 -REG FFFFFFFF802EB25B -REG 0000000000000000 -REG FFFFC00000000000 -REG 0200000000000000 -REG FFFFFFFFFFFFCB87 -REG 000000000000003C -REG 25B0003FFFF802EB -REG 0000000000000000 -REG 00000000000FFA20 -REG 0000000000000000 -REG 0000000000000027 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000451F1108 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000000FFFFD +GPR4 0000000000000000 +GPR5 00000000000FFFFD +GPR6 0000000000000040 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFEC280 +GPR9 00000000BFD65753 +GPR10 0000000000005753 +GPR11 000000000001FFED +GPR12 0000000000000000 +GPR13 00000000000FFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000080004420 +GPR19 FFFFFFFFFFFFFFFE +GPR20 FFFFFFFFBE07FFFE +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000000001FFED +GPR26 00003001C8111CA3 +GPR27 000000000000FD5F +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009FFF9F39 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080004420 + diff --git a/tests/432.bin b/tests/432.bin index b036aea..144a182 100644 Binary files a/tests/432.bin and b/tests/432.bin differ diff --git a/tests/432.out b/tests/432.out index e091b09..ac587f1 100644 --- a/tests/432.out +++ b/tests/432.out @@ -1,32 +1,37 @@ -REG 000000007C989B58 -REG 7C0F5AA700000000 -REG 000000000000201C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000012EE84000 -REG FFFFFF92C139C661 -REG 000000000097BFFF -REG 0000000000000000 -REG 0000006D3EC639A0 -REG 0000000000000000 -REG 000000003FE00000 -REG FFFFFFFFFFFFDFE4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000000001FF -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000097C000 -REG 000000003FE00000 -REG 0000000000000000 -REG 000000007C0FC000 -REG 0000000000002000 -REG 000000007C989AA7 -REG 000000003FF30000 -REG 000000011D887350 +GPR0 FFFFFFFFFFFFFFFF +GPR1 00000000C6A10001 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 00000000000040D2 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000011 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000011 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000000040D2 +GPR30 0000000000000000 +GPR31 +CR 00000000920F0099 +LR FFFFFFFFFFFFFFFF +CTR 00000000000040D2 +XER 000000008001C020 + diff --git a/tests/433.bin b/tests/433.bin index 5887473..ed5d267 100644 Binary files a/tests/433.bin and b/tests/433.bin differ diff --git a/tests/433.out b/tests/433.out index 8d3731e..c4ad995 100644 --- a/tests/433.out +++ b/tests/433.out @@ -1,32 +1,37 @@ -REG 000004000000202E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003B -REG 000000000000006E -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFBFFFFFFA8D5 -REG 00000377FF506F6E -REG DF7FFFFFFFFFFFFF -REG 0000000000002003 -REG 0000000000000001 -REG FFFFFBFFFFFFFFFF -REG 0000000000000015 -REG FFFFFFFFFFFFFFD1 -REG 0000000000000000 -REG 00000FFFFCD63020 -REG 0000004000000040 -REG 0000000000000001 -REG 0000000020000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000067 -REG 0000000000000000 -REG 2080000022510015 -REG FFFFFFFFFFFFDFFF -REG 0000000001FEF000 -REG 000000008090C6B1 +GPR0 0000000080600000 +GPR1 FFFFFFFF5FF80100 +GPR2 FFFFFFFFFF600000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFF5 +GPR5 0000000000000000 +GPR6 0000000000009FAE +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000000000F1 +GPR11 000000000000000B +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00009EBD0000000D +GPR15 0000000000009EBD +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000004 +GPR22 00000000A007FF00 +GPR23 0000000000000000 +GPR24 7AF400027BDBC022 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFFFFFFF5 +GPR29 FFFFFFFFFFFFFF24 +GPR30 0000000000000000 +GPR31 +CR 0000000030000000 +LR 0000000000003C40 +CTR 0000000000000000 +XER 00000000C00BFF00 + diff --git a/tests/434.bin b/tests/434.bin index b44ab12..d559410 100644 Binary files a/tests/434.bin and b/tests/434.bin differ diff --git a/tests/434.out b/tests/434.out index b500008..8244e24 100644 --- a/tests/434.out +++ b/tests/434.out @@ -1,32 +1,37 @@ -REG 3FFFFE4FFFFFB846 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000D8000000 -REG 000000000000001A -REG 0000000000000640 -REG FFFFFFFFB27AFFBC -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 000000002E440000 -REG 0000000000000000 -REG FFFF000000000041 -REG 0000000000000006 -REG FFFFFFFFFFFFFFFF -REG 000000000000001B -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE5 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFFFFF -REG 000000000000001B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG FFFFFFFFFFFFFFFF -REG 00000000D8000000 -REG 0000000000000020 -REG 000000002E440000 -REG 0000000080800009 +GPR0 000000000001C020 +GPR1 FFFFFFFFFFFFD64F +GPR2 0000000000000000 +GPR3 0000000000000032 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFD650 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFD645 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000000029BA +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000700D0000700D +GPR25 0000700D0000700D +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C021 +GPR29 00000000000029BB +GPR30 0000000000000000 +GPR31 +CR 0000000030015055 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/435.bin b/tests/435.bin index 813141a..cebb720 100644 Binary files a/tests/435.bin and b/tests/435.bin differ diff --git a/tests/435.out b/tests/435.out index ad77cac..c1a92f6 100644 --- a/tests/435.out +++ b/tests/435.out @@ -1,32 +1,37 @@ -REG C007A0A03FFFA757 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000EDDD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF847E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFE0000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041081100 +GPR0 000000000403FFE0 +GPR1 FFFFFFFFFFFFFFFC +GPR2 FFFFFFFFFFFFFFDF +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFE0 +GPR6 0000000000000000 +GPR7 0000000000000021 +GPR8 0000000004040000 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFE0 +GPR15 000000000000001F +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 000000001FFFFF20 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFE +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFC +GPR31 +CR 00000000331008D9 +LR 0000000000912346 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/436.bin b/tests/436.bin index b56b29c..292b7fe 100644 Binary files a/tests/436.bin and b/tests/436.bin differ diff --git a/tests/436.out b/tests/436.out index 36ffde0..10ed976 100644 --- a/tests/436.out +++ b/tests/436.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFDFF84D5 -REG 0000000000000000 -REG 0000000800000008 -REG 00000000DCFFFFFE -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007B0A -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0C0FFFFC03FFFFFC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEE -REG FFF8000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000011 -REG E42F90640BFF8FF4 -REG 0C0FFFFC03FFFFFC -REG 0000000000000000 -REG 0000000000000020 -REG 0000000040001080 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000006DFF9250 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFC63085E +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00000000000000BD +GPR16 0000000000000000 +GPR17 00000000FFFFFFFF +GPR18 000000000001C020 +GPR19 FFFFA670FFFFA670 +GPR20 00000000FFFFFFFF +GPR21 000000000000C08D +GPR22 0000000000000000 +GPR23 00000000FFFFFFFF +GPR24 FFFFFFFFFFFE3FDF +GPR25 00000000039CF7A0 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000188EE15BD +GPR30 000000000001C7FC +GPR31 +CR 0000000050893300 +LR 0000000000000000 +CTR 000000005089530C +XER 0000000080000000 + diff --git a/tests/437.bin b/tests/437.bin index b6c9dc6..f9cc356 100644 Binary files a/tests/437.bin and b/tests/437.bin differ diff --git a/tests/437.out b/tests/437.out index c0a4984..d67eec2 100644 --- a/tests/437.out +++ b/tests/437.out @@ -1,32 +1,37 @@ -REG B09E294EC8CFC295 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000282 -REG 0000000000000000 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000000 -REG 00904415B2D70020 -REG 000000000FFFAFAF -REG 0000000024047140 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 077FED441CD40000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000024047140 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000400000004 -REG FFFFFEDFFFFFFAE0 -REG FFFFEEFABFDC0000 -REG 0090441500900020 -REG FFF8000000000000 -REG 0000000040000000 -REG 0000000000000002 -REG 0000000000400000 -REG 0000000000000504 -REG 077FED441CD40001 -REG FF6FBBEAFF700000 -REG 000000008808E281 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFBF +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000000524D +GPR12 FFFFFFFFFFFFFFF0 +GPR13 0000000000000000 +GPR14 000000000000002F +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000000276B +GPR27 000000000000524D +GPR28 000000000000524D +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000092511105 +LR FFFFFFFFFFFE7FE7 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/438.bin b/tests/438.bin index c94f096..9999aab 100644 Binary files a/tests/438.bin and b/tests/438.bin differ diff --git a/tests/438.out b/tests/438.out index fcd090c..80a287b 100644 --- a/tests/438.out +++ b/tests/438.out @@ -1,32 +1,37 @@ -REG 0000001500000047 -REG 000000003FFFFFF1 -REG 0787FFFE8FB6E068 -REG 0000000000007F00 -REG 0000000000006F14 -REG 0000000000000000 -REG 0000000000001FFF -REG 00000005FE000000 -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000014 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000004DFD9 -REG 0000001500000028 -REG 0000000000006F15 -REG 0000000000006F15 -REG FFFFFFFFC000002B -REG 0000000000000000 -REG 0787FFFE8FB6E068 -REG 07877FFE931A0100 -REG 0000001500000008 -REG FFFFFFFF8CDD0000 -REG 0000000000000000 -REG 0000000000000017 -REG 0000000000000001 -REG 0000000000001FFF -REG 0000000000000019 -REG 0000000100891048 +GPR0 00000000E00C0000 +GPR1 000000000000001C +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 000000000000001E +GPR7 000000000000001C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFC005 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 FFFFFFFFFFFFFFEC +GPR20 0000000000000014 +GPR21 000000000000001C +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFEC +GPR25 FFFFFFFFFFFF8AB1 +GPR26 000000000000001C +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 000000000001C004 +GPR31 +CR 0000000050005F90 +LR 0000000000000014 +CTR 0000000000000B51 +XER 0000000080000000 + diff --git a/tests/439.bin b/tests/439.bin index 13dc1aa..5083d38 100644 Binary files a/tests/439.bin and b/tests/439.bin differ diff --git a/tests/439.out b/tests/439.out index f743baf..d95b34e 100644 --- a/tests/439.out +++ b/tests/439.out @@ -1,32 +1,37 @@ -REG FFFD856FFFFFFFE9 -REG 0000000000009316 -REG 0000000042D30000 -REG FFFFFFFFFFFFFFFF -REG B64E0C001FFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000052DD -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFF800000000000 -REG 0000000000000040 -REG FFFFFFFFBD201FFF -REG FFFFFFFFFFFFFFFA -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG FFFFFFFFFDFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000080000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFA -REG 0000000000000000 -REG 000000011011E811 +GPR0 0000064600000420 +GPR1 0003C58A22E33DC2 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFF01000645 +GPR4 0000000000000000 +GPR5 000000007FFFFFFF +GPR6 0000000000000000 +GPR7 FFFFFFFF80000001 +GPR8 000000010000000C +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFBFFFFFC4 +GPR14 00000000000043E0 +GPR15 0000000000000000 +GPR16 000000004000003C +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000FFFFFF +GPR25 FFFFFFFFBFFFFFC4 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000034007990 +LR 000000003EEBFFF3 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/44.bin b/tests/44.bin index 630931f..41549f2 100644 Binary files a/tests/44.bin and b/tests/44.bin differ diff --git a/tests/44.out b/tests/44.out index 9f8daec..6013f23 100644 --- a/tests/44.out +++ b/tests/44.out @@ -1,32 +1,37 @@ -REG 03050807B8BE0807 -REG 0000000006040300 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000004400000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000005050304 -REG 0000000036E30000 -REG 0000000000000000 -REG 0000000000000000 -REG 00243C3D00243C3D -REG 0000000000000000 -REG 0000000300000000 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000040 -REG 00243C3D00243C3D -REG 0000000000000000 -REG 0000000000000000 -REG 0000700000000000 -REG 0000000000000000 -REG 5BC5F2F05BC5F2F0 -REG FFFFFFFFFAFAFCFB -REG 0000700000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 5BC5F2F05BC5F2F0 -REG 00243C3D00243C3D -REG 0000000000000000 -REG 000000005E43E488 +GPR0 FFFFFFFFFFFFFFE5 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000006D3E +GPR7 0000000000000020 +GPR8 000001C000000000 +GPR9 0000000000000000 +GPR10 FFFFFE4000000000 +GPR11 000000000016A73E +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C00C +GPR15 0000000000006D3E +GPR16 FFFFFFFFFFFFFFE5 +GPR17 000000000001C020 +GPR18 0000000000000002 +GPR19 0000000000000000 +GPR20 0000000000007007 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFE2 +GPR25 00000000000012D6 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F8B0999 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008005C00C + diff --git a/tests/440.bin b/tests/440.bin index a8ea6a9..9e1ec4b 100644 Binary files a/tests/440.bin and b/tests/440.bin differ diff --git a/tests/440.out b/tests/440.out index 87f0f3e..ac4aa36 100644 --- a/tests/440.out +++ b/tests/440.out @@ -1,32 +1,37 @@ -REG 00000000D78CFF65 -REG 048820000489FFF0 -REG 0000000000000004 -REG FFFFFFFFFFFF963D -REG 0000000000000020 -REG 00000000B24B0004 -REG FFFF9E39FFC00001 -REG 00000000B24B0000 -REG 0000000000000008 -REG 0000000000000000 -REG FCFFFFE3A4FF0004 -REG 0000003FA3C9FFFF -REG 0000000000000000 -REG 00000000B24B0000 -REG 0000000000000620 -REG 0300001C5B000000 -REG 0000000000000000 -REG 0000000000009B5F -REG 0000000000000040 -REG 0000000000000000 -REG 048820001489FFF0 -REG 0000000000000018 -REG 000000000000009A -REG FCFFFFE3A4FF0000 -REG 0000000000009104 -REG 0000000000000620 -REG 0000000000000000 -REG 0000000000000004 -REG 00000000B3440000 -REG 1200000000000000 -REG 0000000000000000 -REG 000000009E804080 +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFEFFFC012803 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 1FF0000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000002904 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000002904 +GPR19 0000000000000006 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000001 +GPR23 FFC0000000000000 +GPR24 FFFFFEFFFC012803 +GPR25 000000000000EFFF +GPR26 FFFFFEFFFC00FEFF +GPR27 FFC0000000000001 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000002904 +GPR31 +CR 000000005500808B +LR 0000000000000000 +CTR 0000000000000001 +XER 000000008003FFFF + diff --git a/tests/441.bin b/tests/441.bin index d9c8ac1..ffd9cf7 100644 Binary files a/tests/441.bin and b/tests/441.bin differ diff --git a/tests/441.out b/tests/441.out index c0e0313..4b19ef9 100644 --- a/tests/441.out +++ b/tests/441.out @@ -1,32 +1,37 @@ -REG 0808080857D20828 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 6FFFFFFFFFFFFFDF -REG 0184008000000000 -REG 0000000000000000 -REG 7000000000000000 -REG 0000000000007146 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000007FFFFFFFFC -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 8FFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFF44C2 -REG 7000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FF00000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 000000009FE9FF1E +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000000001BF5F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000014744 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 000000000001C020 +GPR30 000000000001C005 +GPR31 +CR 0000000039040011 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A00400A5 + diff --git a/tests/442.bin b/tests/442.bin index ba707fd..06fc1a8 100644 Binary files a/tests/442.bin and b/tests/442.bin differ diff --git a/tests/442.out b/tests/442.out index fd54c40..d00e19a 100644 --- a/tests/442.out +++ b/tests/442.out @@ -1,32 +1,37 @@ -REG FFFFFE6B653195B1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFE6FFFFFFE6F -REG 0000019000000190 -REG 0000000000000000 -REG FFFFFFFB65310041 -REG 0000000000009420 -REG 7FFF000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFFF9AD30000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000652DFFFF -REG 0000000000000000 -REG 000000003FFFFFBF -REG 0000000000000000 -REG FFFFFFFFB5630000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000009420 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF000000000000 -REG 0000000000000000 -REG 000000009E8A5E09 +GPR0 FFFFFFFFFFFF3D62 +GPR1 0000000007FFFFE6 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 000000000001C068 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000000053B7 +GPR14 000000000000001F +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFF8819 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000005 +GPR21 0000000000000000 +GPR22 000000000000003A +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000C7C80000 +GPR30 0000000000000000 +GPR31 +CR 00000000599FC899 +LR FFFFFFFFFFFFFFFF +CTR 00000000006AAAAA +XER 00000000E00C4000 + diff --git a/tests/443.bin b/tests/443.bin index 2073c3d..cee822b 100644 Binary files a/tests/443.bin and b/tests/443.bin differ diff --git a/tests/443.out b/tests/443.out index 96d7e56..3af4da9 100644 --- a/tests/443.out +++ b/tests/443.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFA3F2 -REG 800000000008081F -REG 0000000000000000 -REG 000000000000003A -REG 0000000000000000 -REG FFFFFFFFFFFFF6D8 -REG 0000000000000000 -REG 0000000000000000 -REG 80000000000807FF -REG 1C000000000000C2 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000002C2 -REG 1C0000C21C0802C2 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC2 -REG 0000000000000925 -REG 0000000000000000 -REG 0000003FFFFF3FFF -REG FFFFFFFFFF000003 -REG FFFFFFFF00FF9C7E -REG 0000000038000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 9267CE169267CE16 -REG 0000000000000003 -REG 0000000000000001 -REG 0000000000000014 -REG FFFFFFFFFFFFFFD8 -REG 00000000489FE088 +GPR0 FFFFFFFFFFFFFFA2 +GPR1 FFFFFFFFFFFE3FC8 +GPR2 0000000000000000 +GPR3 000000000000005C +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000004BD0 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 000000000018B86E +GPR10 000000000000001A +GPR11 000000000000001E +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFF5A2CFFA2 +GPR15 0000000000000000 +GPR16 000000000000000C +GPR17 0000000000000000 +GPR18 0000000008E24788 +GPR19 0000000000000003 +GPR20 0000000000000001 +GPR21 FFFFFFFFFFFFFFE1 +GPR22 0000000000000000 +GPR23 000000000000001F +GPR24 000000000000005C +GPR25 000000000001C036 +GPR26 0000000000000000 +GPR27 000000000000000C +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFF3 +GPR31 +CR 00000000513339D4 +LR 000000000D04C9E0 +CTR F8C5E81875DAC0E7 +XER 0000000080000010 + diff --git a/tests/444.bin b/tests/444.bin index af3bf88..626fe5e 100644 Binary files a/tests/444.bin and b/tests/444.bin differ diff --git a/tests/444.out b/tests/444.out index ec4e052..fcc0a7c 100644 --- a/tests/444.out +++ b/tests/444.out @@ -1,32 +1,37 @@ -REG FFFFFFC013D12A43 -REG 0000000000000001 -REG 0000003FC6EB2A43 -REG 0000000000000001 -REG 00000000000001FF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000FFFFFFF8000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000001F8000 -REG 000000000000E5DB -REG 00000FFFD53A0000 -REG 0000000000002A43 -REG 000000000000BF1A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFC03914D5FC -REG 0000000000142F04 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004000000 -REG 0000000000000000 -REG 0000000000001D4A -REG 0000000000000000 -REG 0000000048028008 +GPR0 000000000001C01F +GPR1 0000000000000000 +GPR2 0000009AE81DCAA2 +GPR3 000102AA064DDC85 +GPR4 000000000001C01F +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFF8 +GPR7 0000000000000000 +GPR8 000000005F89D575 +GPR9 00000000026C0001 +GPR10 0000026BA0772A8A +GPR11 0000026C00010000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFDF7E66FFE8 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFE7A71FF7EFFFF +GPR18 000000000001C01F +GPR19 0000000000000000 +GPR20 000000000CC484FD +GPR21 00000000000009B9 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF3FE0 +GPR24 FFFFFFFF3D38FFBF +GPR25 00001A6D01A6D01A +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFE3FFC +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000000FD5D +GPR31 +CR 000000003F89D575 +LR 0000000000000000 +CTR FC000000FFFFFFFF +XER 00000000C0080000 + diff --git a/tests/445.bin b/tests/445.bin index c52f8ff..6dd615d 100644 Binary files a/tests/445.bin and b/tests/445.bin differ diff --git a/tests/445.out b/tests/445.out index 0ede460..c774316 100644 --- a/tests/445.out +++ b/tests/445.out @@ -1,32 +1,37 @@ -REG 00000000000025CD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000009C9F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000258D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD2450000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004A590000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080511110 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFC4 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFE3FDE +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C022 +GPR12 FFFFFFFFFFFE3FDF +GPR13 FFFFFFFFFFFFFFC4 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 0000000000000019 +GPR22 0000000000000000 +GPR23 0000000000003DF9 +GPR24 000000000001C020 +GPR25 0000000000000014 +GPR26 0000000080000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000339E3536 +LR 000000620E008000 +CTR 0000000000000017 +XER 00000000A0063FDE + diff --git a/tests/446.bin b/tests/446.bin index 9d7fe05..b0a6d31 100644 Binary files a/tests/446.bin and b/tests/446.bin differ diff --git a/tests/446.out b/tests/446.out index 1bc9ca1..d7bf7a8 100644 --- a/tests/446.out +++ b/tests/446.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG FFFFFF8FEFFF8D9D -REG FFFFFFFF58182097 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF91382B5B6F -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFCD46 -REG 00000000A083FFFF -REG 0000000000000020 -REG 000000000001E000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG F4FBED00A083EE6C -REG FFFFF80000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE000 -REG 0000000000000000 -REG FFFFFFFFFFFF8D9C -REG FFFFFFFFFFBFFFFF -REG 00000000A7A70020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG C0000000A7A72018 -REG FFFFFFFFFFFFFFFE -REG 00000000A7A7DF68 -REG 0000000040000060 +GPR0 FFFFFFFFFFFE3FDF +GPR1 0000000000000000 +GPR2 000000000001C01F +GPR3 0000000000014E0D +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 00000000000003CF +GPR7 000000009C9E0000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000000000B +GPR18 FFFFFFFFFFFE3FDF +GPR19 0000000000001C04 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFF970E +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000005 +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 000000000001C020 +GPR30 0000000000021C57 +GPR31 +CR 00000000315435B5 +LR 000000000001C020 +CTR FFFFFFFFFFFE3FDE +XER 00000000C0080000 + diff --git a/tests/447.bin b/tests/447.bin index 6f0470f..6884139 100644 Binary files a/tests/447.bin and b/tests/447.bin differ diff --git a/tests/447.out b/tests/447.out index 890796d..8699772 100644 --- a/tests/447.out +++ b/tests/447.out @@ -1,32 +1,37 @@ -REG FFFFFFFF032CFFD8 -REG 0000000000002F0A -REG 0000000000000000 -REG 0000000000000000 -REG 00000000032CFFFE -REG 00000000032CFFFE -REG D7C420F6FFFFFFFF -REG 0000000000000006 -REG 0000000000000000 -REG 00000000032CADAA -REG 0000000000000000 -REG FFFFFFFFFCD35255 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000800000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00003FFC00000000 -REG 00020FFFFE000000 -REG 0000000000800000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFADAB -REG 0000000000000000 -REG 0000000089108C90 +GPR0 00000000005A771A +GPR1 0002070330858DAC +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFC8B607CA +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFF836 +GPR7 0000000000001FFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000E00900 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000E09450 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000040 +GPR21 00000000000007C9 +GPR22 0000000000000000 +GPR23 FFFFFFFFC8B607CA +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 FFFFFFFFC8B987EE +GPR29 0000000000000000 +GPR30 0000000030000000 +GPR31 +CR 000000003099D090 +LR FFFFFFFFFFFF8376 +CTR 0000000000000000 +XER 000000008003FF80 + diff --git a/tests/448.bin b/tests/448.bin index 277ea50..f6b6a49 100644 Binary files a/tests/448.bin and b/tests/448.bin differ diff --git a/tests/448.out b/tests/448.out index 9fd3a55..18ac89e 100644 --- a/tests/448.out +++ b/tests/448.out @@ -1,32 +1,37 @@ -REG FF00000000000003 -REG 3BB3F7E3A31FF7D0 -REG C3280001C3200001 -REG 0000000000000000 -REG FF00000000000012 -REG 0000000000008000 -REG 788BF7E1DFFFF7CF -REG 0000000000000000 -REG 000000002CBE0000 -REG 0000000000000000 -REG 0874081F0000001E -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 788BF7E1DFFFF7CF -REG C3280001C3208001 -REG 0000000000000000 -REG 00000000DD000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000900000070CA -REG FFFFFFFFD9C40000 -REG 767100003FFFFFFF -REG 0000000000000000 -REG 0874081F0000081E -REG 0000000000000000 -REG 00000000FFFF0000 -REG 7EFFFFFF20000012 -REG FFFFFFFFFFFFFFFF -REG 00000000000070CA -REG 000000009FFE9000 +GPR0 FFFFFFFFFFFFC709 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFD514 +GPR4 0000000000000000 +GPR5 FFFFCB6F1FFDC209 +GPR6 FFFFFFFFFFFFFFEB +GPR7 FFFFFFFFFFFFFFF8 +GPR8 FFFFFFFFFFFFFFFC +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 00000000000042EF +GPR12 0000000000000016 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000003F0000003F +GPR16 000000000000003F +GPR17 000000000000056A +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000003F0000003F +GPR25 0000000000000000 +GPR26 0000000000000004 +GPR27 0000000030000000 +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 0000000000000008 +GPR31 +CR 000000003848D715 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 00000000C0080037 + diff --git a/tests/449.bin b/tests/449.bin index ac01475..cd81e3c 100644 Binary files a/tests/449.bin and b/tests/449.bin differ diff --git a/tests/449.out b/tests/449.out index 8875748..8d37fb5 100644 --- a/tests/449.out +++ b/tests/449.out @@ -1,32 +1,37 @@ -REG 0021000000001DCD -REG 0000000000000000 -REG 0000000024084844 -REG 0000000000000000 -REG 002100006F811DDD -REG 0000000000000000 -REG 0021000000001DDD -REG 0000000000000000 -REG FFFFFFFFFFFFD923 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000222A0000 -REG 000000000000003F -REG FFFFFFFFFFFFFFE2 -REG 0000000024084844 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE2 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 00000000222A0000 -REG D97D000000039DC3 -REG 0000000000000022 -REG 0000000400000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040000080 +GPR0 0E38E3AE38E38E18 +GPR1 0000000000000000 +GPR2 00000F8000000000 +GPR3 0000000001881C00 +GPR4 0000000000000040 +GPR5 7FFFFFFFFFFFFF7F +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFE3FDF +GPR11 0000000000000000 +GPR12 000000000000001C +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 00000F8000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0001F00000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 7FFFFFFFFFFFFF7E +GPR27 0000000000000010 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050E03419 +LR 0000002000000020 +CTR 000000000000FFFF +XER 00000000A0040000 + diff --git a/tests/45.bin b/tests/45.bin index fb3e34c..9a99f00 100644 Binary files a/tests/45.bin and b/tests/45.bin differ diff --git a/tests/45.out b/tests/45.out index 72dc20e..792329b 100644 --- a/tests/45.out +++ b/tests/45.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFF98081 -REG 0000000000000000 -REG 9307FFFFFFAD38D5 -REG FFFFFFFFFFAD38D5 -REG 0000000000000000 -REG FFFFFFFFAD38FFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFF5 -REG 0000000000000000 -REG 0000005000000000 -REG FFFFFFFFFFFFD593 -REG 0000005052C72A6D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9CB8 -REG 000000000000000A -REG 0000000004000000 -REG FFFFFFFFFFFFFF93 -REG 0000000000000000 -REG 0000000000002A8D -REG FFFFFFAA277FFFF5 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFAD38D593 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000048400108 +GPR0 FFFFFFFFFDBE8020 +GPR1 0000000000000000 +GPR2 000000003909B7EC +GPR3 FFFFFFFFFFFE4000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFDF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFF425E +GPR9 FFFFFFFFFFFDEF18 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 C000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFF6F30000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFBE00000 +GPR25 0000000000000000 +GPR26 0000000002434000 +GPR27 00000000000185A1 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000003909B7EC +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040040 + diff --git a/tests/450.bin b/tests/450.bin index ebb8573..7deef4a 100644 Binary files a/tests/450.bin and b/tests/450.bin differ diff --git a/tests/450.out b/tests/450.out index 91bbc72..b70e6fa 100644 --- a/tests/450.out +++ b/tests/450.out @@ -1,32 +1,37 @@ -REG FCFF21D7F1071576 -REG 000000002000BACE -REG 0000000000000000 -REG C000096500000E0B -REG 0000000028044840 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000028044840 -REG 0000000000000000 -REG 351B000035000000 -REG 00000000000081FD -REG 0000000000000001 -REG 0000000100000000 -REG 0000000000000000 -REG FFFFFFFFD02AF800 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 93FFFF2B9FFFFF2C -REG 000000000000BACE -REG 00000000000081FD -REG 6C0000D4600000D4 -REG C000096500000E0B -REG 90805008B28D2808 -REG 0000000000000001 -REG 0000000000006A36 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006A36 -REG 3FFFF69A728D2000 -REG 000000000D400000 -REG 0000000090089090 +GPR0 FFFFFFFFFFFE3FE1 +GPR1 0000000000000000 +GPR2 000000000001C01F +GPR3 0000000000000000 +GPR4 FFFE4870097197CD +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFC1 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000FFE +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFC1 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000010 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039048B78 +LR FFFFFFFFFFFFFFC1 +CTR 0808080808080806 +XER 00000000C0080040 + diff --git a/tests/451.bin b/tests/451.bin index a37cf76..ff6d3b8 100644 Binary files a/tests/451.bin and b/tests/451.bin differ diff --git a/tests/451.out b/tests/451.out index fdbde76..017fcb2 100644 --- a/tests/451.out +++ b/tests/451.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFC -REG 0000000000004E92 -REG 0000000000000000 -REG 000000000000155E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000080003AF9 -REG 000000002004E8E5 -REG FFFFFFFFFFFFFFA0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 000000007FFFED50 -REG FFFFFFFFFFE557FE -REG 0000000300000000 -REG 0000000080000000 -REG 000000007FFFED50 -REG 0000000080002808 -REG 0028080000000000 -REG 00000000001AA800 -REG 0000000000000000 -REG 00000000000069AF -REG FFFFFFFFFFE5C29F -REG 0000000080004259 -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FFFED50 -REG 0000000020044800 -REG 0000000000000000 -REG 00000000179E0000 -REG 0000000110005110 +GPR0 00000000FFFDBC83 +GPR1 0000000000000000 +GPR2 FFFFFFFF0900A67B +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 00000000E6DF5984 +GPR7 0000000000000020 +GPR8 00000000FFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000050000000 +GPR11 00000000E6DF59A4 +GPR12 0000000000000000 +GPR13 00000020000059A0 +GPR14 0000000000000001 +GPR15 00053FFFFFFDBCA3 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFB3918F1D8D00 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFF00000000 +GPR23 FF09000000000000 +GPR24 00053FFF0902E506 +GPR25 000000005001A408 +GPR26 0000000000000405 +GPR27 FFEBC000000B5170 +GPR28 00053FFFFFFDBC83 +GPR29 0000000000000000 +GPR30 00000000E6DF5984 +GPR31 +CR 0000000035975585 +LR 0000000000000000 +CTR 00000000F6FF5985 +XER 0000000080000000 + diff --git a/tests/452.bin b/tests/452.bin index f861510..eb6d803 100644 Binary files a/tests/452.bin and b/tests/452.bin differ diff --git a/tests/452.out b/tests/452.out index b0e4d04..951c241 100644 --- a/tests/452.out +++ b/tests/452.out @@ -1,32 +1,37 @@ -REG 000041239FFFDBBC -REG CFCA6977FFFDF6E3 -REG FFFFFFFFFFFFC4FB -REG 000000000000334C -REG CFCA6977FFFDF6E3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020007E55 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020007E55 -REG 0000000000000000 -REG 000000000018CA00 -REG FFFFFFFFDFFF89BE -REG CFCA6977FFFDF6E3 -REG 0000000000000000 -REG CFCA6977FCFDF6E3 -REG 0000000000000000 -REG 00000000701B0000 -REG CFCA6977FFFDF6E3 -REG 0000000000000000 -REG 0000000000000000 -REG 1C8D400000000000 -REG 1C8D400000000000 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000110010103 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000097760000 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000090039000 +LR 0000000000000000 +CTR FFFFFFFFAF26CCD7 +XER 00000000C0080040 + diff --git a/tests/453.bin b/tests/453.bin index 4dd3fc1..8550449 100644 Binary files a/tests/453.bin and b/tests/453.bin differ diff --git a/tests/453.out b/tests/453.out index 3c0d5d6..b3b54bd 100644 --- a/tests/453.out +++ b/tests/453.out @@ -1,32 +1,37 @@ -REG 00009B9600000001 -REG 0000000700000007 -REG 0000000000000001 -REG 0000000000000000 -REG 0094680000900000 -REG 0000000000000000 -REG 0000004800000048 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020380000 -REG 00000000000001FF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00009B9600000001 -REG 0000000000000380 -REG 0094680000900000 -REG 0000000000000020 -REG 0000000000002508 -REG 0000000000000000 -REG 0000000700000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF7F -REG 0000000000000000 -REG 0000000051FFFE9E +GPR0 000000000001D8A4 +GPR1 0000000000000000 +GPR2 0000000000000173 +GPR3 0000000000000000 +GPR4 0000000001FFFFF8 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000062100000621 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000000002F +GPR20 0000000000000173 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 00000000F6898D12 +GPR30 0000000000000000 +GPR31 +CR 000000005B05D5CA +LR 00000000FA390000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/454.bin b/tests/454.bin index 8c9cd5f..4558add 100644 Binary files a/tests/454.bin and b/tests/454.bin differ diff --git a/tests/454.out b/tests/454.out index 7d6069a..b407bfc 100644 --- a/tests/454.out +++ b/tests/454.out @@ -1,32 +1,37 @@ -REG 00000001FFA1916A -REG 5FFBF1AF5FFBF1A6 -REG 0000000000000000 -REG A0040E40A0000449 -REG 00000001FFA1916A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFAFFFFFFFA -REG 0000000000000000 -REG 0000003400000033 -REG 00000001AB8D1DB7 -REG A0040E40A0000448 -REG 0000008000000080 -REG 0000000000000020 -REG 0000017FFFFFCF7F -REG A0040E50A0040E5A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF00FFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0038FB570038FB57 -REG 0000000000000000 -REG 0000000000000193 -REG 19A990FF00A990FF -REG 0000000100000012 -REG 0000000000000020 -REG 000000005FFFB608 +GPR0 FFFC7FDCFFFC7FD9 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFE38E +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE001D +GPR7 0000000000006FF8 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000FFFFFFFD +GPR12 FFFFFFFFFFFFFFF5 +GPR13 FFFFFFFFFFFFFFFC +GPR14 FFFFFFFFFFFFFFFF +GPR15 00000000D5500000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFE38E +GPR20 0000000000000000 +GPR21 0000000003FFFFFF +GPR22 00000000FAF0ACA0 +GPR23 0000000000001051 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFCE9EC400000 +GPR28 FFFFFFFFFFFFFFDF +GPR29 0000000000000FF8 +GPR30 0000000000000000 +GPR31 +CR 000000003FFBFF5B +LR FFFFFFFFFFFFE38E +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/455.bin b/tests/455.bin index d522739..62fc585 100644 Binary files a/tests/455.bin and b/tests/455.bin differ diff --git a/tests/455.out b/tests/455.out index b74736c..012b7b3 100644 --- a/tests/455.out +++ b/tests/455.out @@ -1,32 +1,37 @@ -REG 0520F0000BD00046 -REG FFFFFFFFFDFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFE4BC80 -REG 0100000123FB0527 -REG 0000000000000020 -REG FEFFFFFEDC04FAD9 -REG 0000000000000026 -REG FFFFFFFFF0000000 -REG 01E0F0000F000000 -REG FFFFFFFFF1000000 -REG 00000000000050E1 -REG 00CEE027D6000000 -REG 000000000FFFFDA9 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFB -REG 00004C2300004BFD -REG 7013EB6700D30067 -REG E0000001DFF4BC80 -REG 1EFFFFFDBBF4FAD8 -REG 0000000000000000 -REG 1FFFFFFEDFEFFFFF -REG FFFFFFFFF1000000 -REG 00000000FFFFFFFB -REG 0000000000000000 -REG 0003FFFFFFFF8800 -REG 0000000000000000 -REG FFFFFFFF001B437F -REG 8000000000000000 -REG 0000000000000000 -REG 000000009FE01025 +GPR0 FFFFFFFFFFFFFFDF +GPR1 0000000000000008 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFE1A41FFFE1A41 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000002000000020 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C01F +GPR16 00000000631DC01F +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C01F +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFE1A41FFFE1A41 +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 00000000995D2891 +LR 000000000001C020 +CTR FFFE1A41FFFE1A41 +XER 00000000C00BFFFF + diff --git a/tests/456.bin b/tests/456.bin index d27d204..7939249 100644 Binary files a/tests/456.bin and b/tests/456.bin differ diff --git a/tests/456.out b/tests/456.out index fa22eb5..25feb4c 100644 --- a/tests/456.out +++ b/tests/456.out @@ -1,32 +1,37 @@ -REG 000000000081F0F1 -REG 00000000003FFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFF98 -REG 000000014756AC6A -REG 000000014756AE98 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001AFDDAE98 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFEFDF876BFF -REG 0000000000000008 -REG 0000000000007618 -REG 0000000000000000 -REG 000000014756AC6A -REG 0000000005573F14 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 8000001020789401 -REG 8000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001AFDDAE98 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000003FFFFF -REG 000000014756AE98 -REG 0000000000000025 -REG 0000000091E91F10 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FF01000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFF5 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 FFFFFFFFFF000000 +GPR10 000000000001FD0C +GPR11 000000000001C021 +GPR12 FFFFFFFFFFFE3FE0 +GPR13 FFFFFFFFFFFFC2E4 +GPR14 0000000000000000 +GPR15 FF00000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFDDCB +GPR18 00000000FEFFFFFF +GPR19 FF00000000000000 +GPR20 0000000000003D1D +GPR21 0000000000000000 +GPR22 00000000FFFFFFFF +GPR23 0000000000000000 +GPR24 FFFFFFFFFFB00000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFF5 +GPR27 000000000000FF9F +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000030 +GPR30 FFFFFFFFFF00D62C +GPR31 +CR 0000000099D3508F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080014DA8 + diff --git a/tests/457.bin b/tests/457.bin index ebcda56..cd52178 100644 Binary files a/tests/457.bin and b/tests/457.bin differ diff --git a/tests/457.out b/tests/457.out index 080b456..ec9d5b4 100644 --- a/tests/457.out +++ b/tests/457.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDFFC6921 -REG FFFFFFFFD0DE0000 -REG 0000000000000001 -REG 0000000001060808 -REG 0000000000000040 -REG 00000000686F2202 -REG 7F171F5A46C7FFFF -REG 0106080701060807 -REG FFFFFFFFFFFFFFFF -REG 6194360E61943602 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000007E67 -REG 0000000000000000 -REG 7F171F5A46C7C112 -REG 0000000000000000 -REG 00001FFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000010698A8 -REG 0000000000000730 -REG 7F171F5AF6F7FFFF -REG FFF0000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF99D1 -REG FFFFFFFFFFFFFFFF -REG 00000000010698A8 -REG FFFFFFFF9335000C -REG 7F171F5A46C7FFFF -REG 00000000CA1B0730 -REG FFFFFFFFFFFFFFFF -REG 0000000040870801 +GPR0 0000000600000000 +GPR1 0000000000000001 +GPR2 000000000001C020 +GPR3 0000000000018738 +GPR4 0000000000000000 +GPR5 FFFFFFFFC999FF7C +GPR6 000000000001496A +GPR7 FFFF000000000000 +GPR8 0000000000000000 +GPR9 0000000000000011 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFF00 +GPR15 0000000000000000 +GPR16 0000000000000E74 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 000000200000001F +GPR20 FFFFFFFFFF0007FF +GPR21 00000000000000FC +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 00000000E00C0000 +GPR25 FFFFFFFFFFFFFFDF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 007FFFFFC999FF7C +GPR30 000000000001C020 +GPR31 +CR 0000000037950888 +LR 0000000000000000 +CTR FFFFFFFFFFFE0000 +XER 0000000080000000 + diff --git a/tests/458.bin b/tests/458.bin index 10989fc..e347d1a 100644 Binary files a/tests/458.bin and b/tests/458.bin differ diff --git a/tests/458.out b/tests/458.out index d1cc0c4..f242855 100644 --- a/tests/458.out +++ b/tests/458.out @@ -1,32 +1,37 @@ -REG FFFFFFFF7C6B34DC -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000003050603 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000088 -REG 00000000DD070001 -REG FFFFFFFF2CC377FE -REG 00000000DD070001 -REG 000000000E3BF6A4 -REG 0000000022F884D9 -REG 0000000000002E7E -REG 00000000DD070089 -REG 00000000FFFF84DA -REG FFFFE926F8187B25 -REG 0000000000000006 -REG 0000000000000000 -REG FFFFFFFFDD077B25 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFE927F8180000 -REG 0000000068000000 -REG FFFFFFFFFFFFB01A -REG 0000000000000000 -REG 0000000000000000 -REG 000000009FE51110 +GPR0 FFFFFFFFCF6AF2A4 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 AF2A30000001C0F6 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000643 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000FFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003505090D +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00C0004 + diff --git a/tests/459.bin b/tests/459.bin index df4c1bd..e46a8c8 100644 Binary files a/tests/459.bin and b/tests/459.bin differ diff --git a/tests/459.out b/tests/459.out index 741a21e..5a5fef7 100644 --- a/tests/459.out +++ b/tests/459.out @@ -1,32 +1,37 @@ -REG E03FFFE33F62C723 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000001BFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000012C42A40 -REG FFFFFFFFFFC0014D -REG 0808080508080805 -REG FFFFFFFFFFFD3DF7 -REG FFFFFFFFED3C3DF7 -REG 0000000020444088 -REG 0000000000000000 -REG FED390F777ED46FC -REG 0000000000000000 -REG 346FFFE651EDDFE6 -REG FFFFFFFFED3C3DF7 -REG 0808080508080805 -REG 012C6F08014EF6FB -REG E03FFFFFFDA787BE -REG FFFFFFFFFFFFFFFF -REG 0000000075780000 -REG E03FFFFFFDA787BE -REG FFFFFFFFFFFFFFFF -REG 000000000000000E -REG FF0FF88CFF0FF88C -REG 97FFFCC690000CC6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080890110 +GPR0 3333333333333332 +GPR1 11D5955211C00002 +GPR2 FFFFFFFFFFFFFFFE +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000016D9CA +GPR6 FFFFFFC1000007FD +GPR7 3333333333333332 +GPR8 0000000000000000 +GPR9 0808080808080705 +GPR10 9990000099999999 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE4001 +GPR17 00000000000073EF +GPR18 FFFFFFFF80000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFEB6DC +GPR24 666FFFFF66666667 +GPR25 0000000000000025 +GPR26 0000000080000000 +GPR27 FFFFFFFFFF000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033500158 +LR FFFFFFFFFFFFFFFD +CTR 0000000000112358 +XER 00000000C0080001 + diff --git a/tests/46.bin b/tests/46.bin index 8015dfb..fb16fe9 100644 Binary files a/tests/46.bin and b/tests/46.bin differ diff --git a/tests/46.out b/tests/46.out index 91bd278..a0a3286 100644 --- a/tests/46.out +++ b/tests/46.out @@ -1,32 +1,37 @@ -REG FFFFFFFFA7CF3C1F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000FF00 -REG 0000000000008080 -REG 0000000000000000 -REG FFFFFFFFFFFD95DF -REG FFFFFFFFFFFFB28D -REG 0000000000000000 -REG 00000000000001AC -REG FFFFFFFFFFF0000E -REG 0000000000000000 -REG 000000007FFFFFFF -REG 0000000000000004 -REG 0000000000000019 -REG 0000000000002999 -REG FFFFF0000EFFFC00 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000022 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004D70 -REG FFFFFFFFFFF0000F -REG 0000000000000000 -REG 00000000000FB283 -REG 0000000000817A98 -REG F000000000000000 -REG 0000000110491101 +GPR0 0000000000000000 +GPR1 0000000000000008 +GPR2 0000000000000000 +GPR3 000000000000000D +GPR4 000000000000000D +GPR5 000000000001A875 +GPR6 FFFFFFFFFA891FFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 000000000000001C +GPR10 0000000000000001 +GPR11 FFFFFFFFFFFFFFF8 +GPR12 0000000000000000 +GPR13 3FFFF5123FFFF512 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFE856 +GPR16 0000004B9F9F35C0 +GPR17 000000000576E000 +GPR18 0000000000000000 +GPR19 00000000000017AA +GPR20 0000000000002BB7 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 0000000000000010 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFF8 +GPR30 000000000001C020 +GPR31 +CR 0000000099520000 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/460.bin b/tests/460.bin index e0ce594..bb383d1 100644 Binary files a/tests/460.bin and b/tests/460.bin differ diff --git a/tests/460.out b/tests/460.out index 76cfe7a..4ba1968 100644 --- a/tests/460.out +++ b/tests/460.out @@ -1,32 +1,37 @@ -REG 000000002B4727FE -REG 0000000025000000 -REG FFFFFFFFFFFFE03A -REG FFFFFFFE00000000 -REG 000025782513B86E -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF97FFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG FFFFFFFFFFFFA3C9 -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFFAD7970A1 -REG 0000000000000040 -REG 0000000023FD0000 -REG FFFFFFFFFFFFE03A -REG 0000000000000000 -REG FFFFFFFF97FAFFFE -REG 0000000000000000 -REG 0000000000006F54 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001EEFD7F -REG FFFFFFFFFFCBFD7F -REG 1000000001EEFD7F -REG F000000000000000 -REG 00000000000070A1 -REG 0000000080000008 +GPR0 0000000000000000 +GPR1 F8F877F3FAFCE7E1 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00007FFC00000000 +GPR6 0000000000000012 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000005030808 +GPR15 7FFFFFEF0FFF40EC +GPR16 0000000000000000 +GPR17 00007FFFD8200000 +GPR18 070808080503181F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0708080805030808 +GPR23 0000000000000000 +GPR24 00007FFBD8200000 +GPR25 00007FFC00000000 +GPR26 0000000000000000 +GPR27 0708080800000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000045052020 +LR 0000000000000000 +CTR 00000000FFFFFC69 +XER 0000000000000000 + diff --git a/tests/461.bin b/tests/461.bin index bafb98c..ba6565d 100644 Binary files a/tests/461.bin and b/tests/461.bin differ diff --git a/tests/461.out b/tests/461.out index 6f85008..007cf46 100644 --- a/tests/461.out +++ b/tests/461.out @@ -1,32 +1,37 @@ -REG 003FFFFEC00003EE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFB1260000 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000100000011 -REG 000000000000003F -REG 000000004EDA0000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FFF9FFF -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG 000000003FFFFC00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000FFFF62 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF2F7D -REG 000000003FFFFC00 -REG EC49813BEC49813B -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000088910891 +GPR0 00000003C9D22E6E +GPR1 0000000030F55D30 +GPR2 FFFFE306362C11C3 +GPR3 0000000000000022 +GPR4 FFFFFFFFFEFF0000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 00000000000015ED +GPR8 0000000000000001 +GPR9 000000001B1608E0 +GPR10 FFFFE306362DD1F4 +GPR11 000000000001C030 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFE306362BFBD4 +GPR15 00FFFFFFFEB68134 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 00000000FFFFF089 +GPR25 0000000000000000 +GPR26 FFFFFFFFFEFF0000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 0000000000000000 +GPR29 0808050204040704 +GPR30 00000000FFFE3FAF +GPR31 +CR 0000000090F55D30 +LR FFFFFFFFFFFFEA13 +CTR FFFFFFFEADA04DFF +XER 00000000A004021F + diff --git a/tests/462.bin b/tests/462.bin index 4d6b53d..f973341 100644 Binary files a/tests/462.bin and b/tests/462.bin differ diff --git a/tests/462.out b/tests/462.out index 49dd793..cc7de86 100644 --- a/tests/462.out +++ b/tests/462.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBD -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000062000000 -REG FFFFFFFFFFFFFFB2 -REG 0000000000000000 -REG 0000000025A3FFFF -REG FFFFFFFF25A3FFFF -REG 00000000DA5C0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000039 -REG 0000000000000040 -REG 000000000000246A -REG 00000000000003FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000000000000 -REG 000000007FFFF7BF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000061FFE42B -REG 0000000040000801 +GPR0 000000000001C036 +GPR1 FFF7FFFFFF00007F +GPR2 0000000000003C0A +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 000000000000F83A +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFE +GPR25 FFFFFFFFFFFE3FDF +GPR26 0000000000000033 +GPR27 0000000003FFFFF8 +GPR28 000000000001C020 +GPR29 0000000000000035 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000090013009 +LR FFFFFFFFFFFFE495 +CTR 0000000000000000 +XER 00000000A004F838 + diff --git a/tests/463.bin b/tests/463.bin index 427705b..93a81df 100644 Binary files a/tests/463.bin and b/tests/463.bin differ diff --git a/tests/463.out b/tests/463.out index 3503499..5ff9835 100644 --- a/tests/463.out +++ b/tests/463.out @@ -1,32 +1,37 @@ -REG 00000000000063FA -REG 0000000000248000 -REG 000000000000614C -REG FFFFFFFF98EFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005159 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF8AB2 -REG 0000000000000000 -REG 0000000008080000 -REG 0003A00000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFC5FFFFFDB7FFF -REG FFFFFFFFF7F85849 -REG FFE55CFFFFFFCABA -REG FFFFFFFFFFFFFFFF -REG 00000000000002B6 -REG 0000000005844DCB -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 000000000000FF5C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000248000 -REG FFFFFFFFFFFFFFFF -REG 00000000098A0000 -REG FFFFFE5425D00000 -REG 0000000040800918 +GPR0 000000000000000C +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 C020000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000004000000001 +GPR9 0000000000000000 +GPR10 FFFFFFFF0805FFF4 +GPR11 0160000000000000 +GPR12 00000000F7FA000B +GPR13 0000004000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000F7FA000C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000F7FBC02B +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 FFFFFFFFFFFE3FE0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000080 +GPR29 0000000000000000 +GPR30 00020019228000AA +GPR31 +CR 000000005F054583 +LR 0000000000000000 +CTR 0000004000000000 +XER 000000008000000C + diff --git a/tests/464.bin b/tests/464.bin index 8686104..d399fad 100644 Binary files a/tests/464.bin and b/tests/464.bin differ diff --git a/tests/464.out b/tests/464.out index 30c95f6..bd1771b 100644 --- a/tests/464.out +++ b/tests/464.out @@ -1,32 +1,37 @@ -REG 0000FFFCBE27C400 -REG 0000000000000001 -REG 000000000007FFFF -REG 000000000000003A -REG 000000000000003C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000009C1600000 -REG 000000000000924E -REG FFFFFFFFFFFFFFFC -REG 000000004E0B0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000004 -REG F800004000000004 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000FFFFFF -REG FFFFFFFF211D0000 -REG 0000000000000000 -REG 0808080806000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000FFFF -REG FFFFFFFF211E0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000040008001 +GPR0 0000000000980004 +GPR1 0000000000000001 +GPR2 000000000000001F +GPR3 0000000000000000 +GPR4 0000000000000008 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000017 +GPR10 0000000000000001 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000033301B00 +GPR13 00000000FFFFFFFF +GPR14 0000000000000017 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000033301B00 +GPR22 0000000000000000 +GPR23 0000000000000012 +GPR24 FFFFFFFFFFFFFFFE +GPR25 0000000033901B4B +GPR26 FFFFFFFFFFFFFFF7 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000017 +GPR30 0000000000000000 +GPR31 +CR 0000000053901B4B +LR FFFFFFFFFFFE3FE0 +CTR FFFFFFFFFFFF87F5 +XER 00000000A0063FDF + diff --git a/tests/465.bin b/tests/465.bin index 5b03c97..fa20613 100644 Binary files a/tests/465.bin and b/tests/465.bin differ diff --git a/tests/465.out b/tests/465.out index d2eee3d..64b2e06 100644 --- a/tests/465.out +++ b/tests/465.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFA26D -REG 000003FE3A2FFFFE -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000100 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000003FE3A2FFEFD -REG 0000000000032F50 -REG 0000000000000001 -REG 0000000040000000 -REG 0000000000001FC7 -REG 0000000000000000 -REG 0000000045330000 -REG FFFFE262B8C00000 -REG FFFFFFFFC0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080807 -REG FFFFFFFFFFFFCBD4 -REG E000000000000000 -REG FFFFE00000007FF8 -REG 0000000040000000 -REG 0000000000000000 -REG 000030B2000030B8 -REG FFFFFFFF90E50000 -REG 0000000000000000 -REG 0000000000000196 -REG 0000000000000804 -REG 00000000489E5089 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF1F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000021C3A +GPR12 0000000000000000 +GPR13 000000198CCCC7B0 +GPR14 8040000000000000 +GPR15 FFFFFFFFFFFE3FDF +GPR16 0000000000000000 +GPR17 FFFFFFFFCDE20000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000FFFFFFFFFFFF +GPR30 FFFFFFFFFAFFFFFF +GPR31 +CR 0000000035505010 +LR F6FFFFFFFFC63A91 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/466.bin b/tests/466.bin index 76d8914..ba6fc4f 100644 Binary files a/tests/466.bin and b/tests/466.bin differ diff --git a/tests/466.out b/tests/466.out index c30ae52..6634cfb 100644 --- a/tests/466.out +++ b/tests/466.out @@ -1,32 +1,37 @@ -REG 0000000034958881 -REG 0000000000000040 -REG 0000000031D80000 -REG FFFFFFFFFFFFFFC0 -REG FFFFFFFFFBFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFF97A9 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000016 -REG 0000000000000000 -REG 0000000005000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9120 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF93E0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9120 -REG 0000000000000001 -REG 0000000049001110 +GPR0 0000000000000000 +GPR1 000000000000CC45 +GPR2 0000000000000000 +GPR3 000000000000BA08 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 00000000000007FF +GPR9 000000000000CF5F +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000006930 +GPR15 0000000000000000 +GPR16 0000000000000027 +GPR17 0000000080000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFCD8AC021 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 FFFFFFFFFFFE3FDF +GPR24 000000000000CC27 +GPR25 0000000032770000 +GPR26 0000000000000027 +GPR27 000000000000CC26 +GPR28 000000000001C002 +GPR29 FFFFFFFFCD8AC020 +GPR30 0000000000000000 +GPR31 +CR 0000000032755430 +LR 0000000000000000 +CTR 0000000000008F1F +XER 0000000080000000 + diff --git a/tests/467.bin b/tests/467.bin index 605dee7..bd211ed 100644 Binary files a/tests/467.bin and b/tests/467.bin differ diff --git a/tests/467.out b/tests/467.out index dd42933..92bc010 100644 --- a/tests/467.out +++ b/tests/467.out @@ -1,32 +1,37 @@ -REG 00000107808F0752 -REG 0000000000000000 -REG FFFFFFFFFFFF95DA -REG 0000000000004000 -REG 00000107808AF0AB -REG 01178EBBA0028000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 740326631868F489 -REG 0000000000000000 -REG FFFFFFFF20DFD360 -REG FFFFFFFFFFFFFFF2 -REG 0000000000000600 -REG 0000000006783F4C -REG 00000000C0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 01178EBBA0028000 -REG 00000000011230D0 -REG FFFFFFFFFFFFFFF2 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000020000000 -REG 0000000012C930D0 -REG 01178EBBA0028000 -REG 0000000000000000 -REG 0000000000000020 -REG 000000007F74D277 -REG 000000007F74D277 -REG FFFFFFFFFFFAFFFF -REG FFFFFFFFFFFFFFF2 -REG 0000000049B90819 +GPR0 000036BC000DAF00 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FD8 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 FFFFFFFFFFFFFFC0 +GPR11 0000000080000020 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 000000000001ACA2 +GPR15 000000000001C020 +GPR16 FFFFFFFF150CDC6B +GPR17 FFFFFFFFFFFFFFFE +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 000000000001ACA2 +GPR21 7A00000000000000 +GPR22 0000000000000000 +GPR23 0000000066A70000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 337B5D60A128A4A0 +GPR31 +CR 0000000050090525 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000020 + diff --git a/tests/468.bin b/tests/468.bin index 231493f..f11f28d 100644 Binary files a/tests/468.bin and b/tests/468.bin differ diff --git a/tests/468.out b/tests/468.out index c59dbb3..938f3ca 100644 --- a/tests/468.out +++ b/tests/468.out @@ -1,32 +1,37 @@ -REG 00000C8A007F878C -REG 0000000000000000 -REG 0000000000000000 -REG 000000004847ED7D -REG 0000000000000040 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000035 -REG 0000000000000020 -REG 0000000000800000 -REG FFFFFFFFFFFFFFBF -REG FFFFFFFFFFFFFD41 -REG 0000000000000000 -REG FFFFFFFFFFFF8905 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 000000002847FD41 -REG 000000000BF00000 -REG 00000000000076FB -REG 0000000000000035 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFD41 -REG 0000000000000000 -REG 0000000000004DF8 -REG 0000000048484000 -REG 0000001211FB5F40 -REG 0000000048484000 -REG 0000000090908101 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000A0045584 +GPR4 000000000000003A +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000003F0000003F +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 1000000010000000 +GPR12 0000000030010C09 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFE3FFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 CFFEF3F7CFFEF3F6 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 00000000E00C5584 +GPR23 0000000000000002 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0000000000000002 +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000002 +GPR31 +CR 0000000050090191 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00C5584 + diff --git a/tests/469.bin b/tests/469.bin index e53dc5b..0be046e 100644 Binary files a/tests/469.bin and b/tests/469.bin differ diff --git a/tests/469.out b/tests/469.out index 2cc94d3..270967d 100644 --- a/tests/469.out +++ b/tests/469.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFE2703 -REG 7360900073608000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFBFF000 -REG 0000000000000000 -REG FFFFFFFFFFFF0703 -REG 0000000000000000 -REG FFFFFFFFFFF0BB2D -REG 0000000000401000 -REG FE8A2A9EC34249C5 -REG 0000000000008000 -REG FE8A2A9EC34249C5 -REG 0000000000011FFF -REG 00000000000E4BD6 -REG 00000000000E4BD6 -REG FFFFFFFFFFFFFFFE -REG 0000000000012000 -REG 0000000000000000 -REG 0000000000000001 -REG BFFFFBFFF6A8DA2C -REG 00000000000E6F24 -REG FFFFFFFED51B4580 -REG 000000000000078E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000108891088 +GPR0 0000000000000000 +GPR1 0000000035005A99 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000E0000000E00 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000002000000020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000D00 +GPR15 E9FDDB2E00000000 +GPR16 FFFFFFFFFFFE3FCB +GPR17 0000000000000000 +GPR18 582D1F5B302CF1E7 +GPR19 160224D200000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 000000000001C034 +GPR27 0000000000000000 +GPR28 00000E0000000E00 +GPR29 0000000000000000 +GPR30 0000000000000007 +GPR31 +CR 0000000055005A99 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080023FE0 + diff --git a/tests/47.bin b/tests/47.bin index 2b16c01..6d27818 100644 Binary files a/tests/47.bin and b/tests/47.bin differ diff --git a/tests/47.out b/tests/47.out index c39d860..2a24e6f 100644 --- a/tests/47.out +++ b/tests/47.out @@ -1,32 +1,37 @@ -REG 0000002420404474 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF7 -REG 000000000000016E -REG 0000000800111BFF -REG 0000000000000009 -REG 000000000000016F -REG 00000000000001EF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000241 -REG 0000000000006CA1 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF1F67 -REG 0000000000000000 -REG 0000000040000000 -REG FFFFF945EE5F6CA1 -REG FFFFFFFFFFFEED5F -REG 0000000000000000 -REG 0000000000000000 -REG 000000002000446F -REG 000000004F00756F -REG FFFFFFFFFFFFFFF8 -REG 000000000000003D -REG 000000002000446F -REG FFFFFFFFFFFFFFFF -REG 0000000079EDE58B -REG 0000000040000241 -REG 000000004F00000F -REG 0000000000000000 -REG 00000000408088DE +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000039F00000 +GPR4 0000000200000002 +GPR5 0000001800000018 +GPR6 0000000000000000 +GPR7 0000000000116DED +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000040 +GPR13 FFFDB3FFFFFC13FF +GPR14 0000000000000000 +GPR15 FFCD38F9FFCD0600 +GPR16 0000000000000004 +GPR17 FFFFFFFFFFFFFFE4 +GPR18 FFFFFFFFF3995F3E +GPR19 0000000000000004 +GPR20 FFCD38F9FFCD05FF +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FCD0600FE010600F +GPR26 0000000000000000 +GPR27 0000000000002A1A +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFEA4AD9D8656A +GPR31 +CR 0000000030D00590 +LR 0000000000000000 +CTR 5000000000000000 +XER 0000000080023ADD + diff --git a/tests/470.bin b/tests/470.bin index f397603..890696d 100644 Binary files a/tests/470.bin and b/tests/470.bin differ diff --git a/tests/470.out b/tests/470.out index 828992e..19b8f01 100644 --- a/tests/470.out +++ b/tests/470.out @@ -1,32 +1,37 @@ -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF9CAFFFC6 -REG 0000000000000700 -REG 0000000000000000 -REG 0000D65800000000 -REG F8F0909FFFFFB7C4 -REG 0000000008000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000200000001C -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 8F0F6F600000483B -REG 000000000000411A -REG 00000000C2706060 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG 000000000000483B -REG 0000000000001000 -REG 0000000000000000 -REG 0000000000000070 -REG 0000D6579CAFFFC6 -REG 000000000000000C -REG FFFFFFFFFFFFFFFF -REG 0000000050885E10 +GPR0 0000000000000028 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000002 +GPR4 0000000000000000 +GPR5 000000000000000A +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000004028 +GPR11 000000000001C020 +GPR12 000000000001C021 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 000000000001247C +GPR16 0000000000000000 +GPR17 0000000000000401 +GPR18 0000000030230000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000F8F9 +GPR22 FFFFFFFFFFFFFBFE +GPR23 FFFFFFFFFFFE3FE0 +GPR24 0000000000000000 +GPR25 0000000000004028 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000401 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009499C048 +LR 0000000000000000 +CTR FFFFFFFFFFFE3FE0 +XER 00000000A0040000 + diff --git a/tests/471.bin b/tests/471.bin index 1017839..08f9fcd 100644 Binary files a/tests/471.bin and b/tests/471.bin differ diff --git a/tests/471.out b/tests/471.out index c12e644..505c49d 100644 --- a/tests/471.out +++ b/tests/471.out @@ -1,32 +1,37 @@ -REG FFFFFFFF70701FB5 -REG 00000000000068A7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000062020 -REG 0000000000000001 -REG 000357E000033D21 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000800000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000200000001F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001ABF -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000040 -REG 0000000000001ABF -REG 0000000000000020 -REG 000000000000001A -REG 0000000000000003 -REG 00000000000068C8 -REG 0000000000000000 -REG 000000200000001F -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 000000009F1FE908 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 000000000D000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFB0E8799D +GPR5 0000000000000000 +GPR6 FFFFFFF000000000 +GPR7 00000000FEC3A1E6 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 FFFFFFFFB0E8795E +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFF2FFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFB2A6 +GPR19 0000000000000000 +GPR20 FFFFFFF000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFF000000000 +GPR24 2000000020000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFF8CCA +GPR30 0000000000000000 +GPR31 +CR 000000009D52B5B9 +LR 000000000000001F +CTR 0000046D6D7CE9A0 +XER 00000000A0040000 + diff --git a/tests/472.bin b/tests/472.bin index 5263fe2..a423125 100644 Binary files a/tests/472.bin and b/tests/472.bin differ diff --git a/tests/472.out b/tests/472.out index d8660c3..b759ccd 100644 --- a/tests/472.out +++ b/tests/472.out @@ -1,32 +1,37 @@ -REG 000000000000000A -REG FFFFFE0000000007 -REG FFFFFFFFFFFFFFFF -REG 0000000FFFFFFFFF -REG 000000000000001D -REG 0000000000000000 -REG 0000000000000001 -REG 000000000000047A -REG FFFFFFFFFFFF803C -REG FFFFFFFFFFFFFFFF -REG 0000000000000015 -REG 0000000000000000 -REG 000000003FC40E10 -REG 000000000000CD13 -REG 000000000501101C -REG 000000008C800D09 -REG 0000000000000500 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAA08 -REG 0000000000000000 -REG 0000000000000000 -REG C133228B01337FFF -REG 0000000000000001 -REG 0000000000000014 -REG 0000000000000001 -REG FFFFFFFFFFFFFFEB -REG FFFFFFFFFFFFFFFF -REG 0000000119001A12 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFCF36FFFF3CDC +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000004020 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFF3CDB +GPR10 000000004A31FFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000002 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 000000008001C012 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 000007FFF0000000 +GPR21 FFFFCF36FFFF3CDB +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 000000004A31FFFF +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFD5A8 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000010000 +GPR31 +CR 0000000090B0099B +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C012 + diff --git a/tests/473.bin b/tests/473.bin index 3637297..f3319e6 100644 Binary files a/tests/473.bin and b/tests/473.bin differ diff --git a/tests/473.out b/tests/473.out index 828b8d9..d20100c 100644 --- a/tests/473.out +++ b/tests/473.out @@ -1,32 +1,37 @@ -REG 000000004F401FF5 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFBB -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 7FFFFFF77B055B88 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 8000000884FAA478 -REG 010000007C36C1EE -REG 000000007FC00000 -REG 0000000000000044 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000000000A6 -REG FFFFFFFFFFFFFFFF -REG 0000000000001FB2 -REG 000000008F408444 -REG 0808080808080808 -REG 0000000084FAA478 -REG 000000007C36C1EE -REG 000000004F520044 -REG 0000000064CB0000 -REG 0000000000000000 -REG 00000000000072E5 -REG 0000000000400000 -REG 0000000000000000 -REG 000000009E810888 +GPR0 FFFFFFFFC0A3F05B +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C015 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 000000003F5C0FA4 +GPR10 000000000001C020 +GPR11 FFFFFFFFFFFFFFED +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFED +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000030E20040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F5C0FA4 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFE0 + diff --git a/tests/474.bin b/tests/474.bin index cce0a6a..52e0a43 100644 Binary files a/tests/474.bin and b/tests/474.bin differ diff --git a/tests/474.out b/tests/474.out index aeea13d..6c06122 100644 --- a/tests/474.out +++ b/tests/474.out @@ -1,32 +1,37 @@ -REG BFFFFFFEC755FF30 -REG 00001E0005851E00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF9 -REG 0000000000000000 -REG FFFFFFFFFFFFF8C0 -REG 0000000000000000 -REG 4E3C00000003F601 -REG 0000000200000002 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC755FFC8 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG B1C3FFFFFFFC02A7 -REG 00000000000000FF -REG 000000000000003A -REG 00001E0005851E00 -REG 0000000000002387 -REG 00000000000054F7 -REG 67ADCD3860000038 -REG FFFFFFFFFFFFFFFF -REG 0000000000000003 -REG 0000000000000007 -REG 0000000000000020 -REG 4E3C00000003FDA7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 67ADCD3860000038 -REG 0000000088000907 +GPR0 FFFFFFFFFFFFFF98 +GPR1 00000000A8590040 +GPR2 0000000039FE3FD1 +GPR3 0000000000000000 +GPR4 000000000001C01F +GPR5 0000000000000000 +GPR6 0000000000004000 +GPR7 FFFFFFFFC600000E +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 000000000004028A +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 000000000001C020 +GPR17 0000000039FE3FD1 +GPR18 000000000001C01C +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFF89FE +GPR21 0000000000018868 +GPR22 0000000000000000 +GPR23 0000000000000040 +GPR24 0000000000004000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 FFFFFFFFFFFF89FE +GPR28 0000000000000000 +GPR29 0000000039FE3FD5 +GPR30 000000000000002F +GPR31 +CR 000000009D583580 +LR 0000000000000001 +CTR 04D4873ECADE304D +XER 00000000A004CED9 + diff --git a/tests/475.bin b/tests/475.bin index 1f054ef..d9d603e 100644 Binary files a/tests/475.bin and b/tests/475.bin differ diff --git a/tests/475.out b/tests/475.out index 94c8e2c..0fea071 100644 --- a/tests/475.out +++ b/tests/475.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFABA1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000021F427C8 -REG FFFFFFFFFFFFFFDF -REG 000000000000425E -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG 0000000000800000 -REG 0000000000000006 -REG FFFFFFFFFFFFFFFC -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFC -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFC6000000 -REG 0000000000000080 -REG 0C0000007FFFFF80 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000000 -REG 0000000021F42B66 -REG F8000000000001DF -REG FFFFFFFFC6000000 -REG 0000000000000000 -REG 0000000018000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000043E84850 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 00000000E00EFFFF +GPR3 0000000000000001 +GPR4 0000FFFE0FA10007 +GPR5 000000000001C020 +GPR6 FFFFFFFFFFFEFFFF +GPR7 0000FFFF00000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000F05F00F0 +GPR11 0000000000000001 +GPR12 FFFFFFFFFFFFFFC1 +GPR13 0000000000000000 +GPR14 000000008002FFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE4024 +GPR19 000000000001C01C +GPR20 00000000000000F7 +GPR21 0000000000000000 +GPR22 0000FFFEFFFFFFFF +GPR23 000000014F4CFFFF +GPR24 0000000007B80000 +GPR25 FFFFFFFFFBE00000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 0000000091000100 +LR 000000000001C020 +CTR 0000000000000000 +XER 000000008002FFFF + diff --git a/tests/476.bin b/tests/476.bin index 05ea22f..d2b2ebb 100644 Binary files a/tests/476.bin and b/tests/476.bin differ diff --git a/tests/476.out b/tests/476.out index 5605103..9978b32 100644 --- a/tests/476.out +++ b/tests/476.out @@ -1,32 +1,37 @@ -REG FFFFFFFFB800B1F3 -REG 0000000000000000 -REG 0000000000000010 -REG FFFFFFFFFFFFA7A9 -REG 000000000000000D -REG 00000000DCFD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000030 -REG 000000007BC50000 -REG 0000000000000000 -REG 0000000000379C87 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFAC7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020A4FF48 -REG 0000000080A4FF4F -REG 0000000000000000 -REG 000000000000000D -REG 0000000000000000 -REG FFFFFFDD35BFFFB0 -REG FFFFFFFFFFFFFFF0 -REG 000000001FFFFC00 -REG 0000000000000010 -REG 0000000000000000 -REG 000000004149FE90 +GPR0 FFFFFFFFFFFFF8FD +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000701 +GPR4 0000000000000000 +GPR5 00000000000031C0 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 8FE43FDF8FE43FDF +GPR11 0000000000000000 +GPR12 0000000000000009 +GPR13 FFFFFFFFFFFFE000 +GPR14 8FE43FDF8FE43FDF +GPR15 0000000000000000 +GPR16 0000000000740000 +GPR17 00000000938A0020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFC00 +GPR20 0000000000000000 +GPR21 0000000030B7FFFF +GPR22 000000000000108F +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 FFFFFFFFFFFFFC00 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003938D369 +LR FFFFFFFFFFFFFFFF +CTR 000000000001C01E +XER 000000008003FFFF + diff --git a/tests/477.bin b/tests/477.bin index 5481aac..b9fbb7a 100644 Binary files a/tests/477.bin and b/tests/477.bin differ diff --git a/tests/477.out b/tests/477.out index ce221d9..ccfe69f 100644 --- a/tests/477.out +++ b/tests/477.out @@ -1,32 +1,37 @@ -REG FFFFFFF27EBB1B29 -REG 0000000000000105 -REG 91FFFE3180000001 -REG FFFFFFFF7FFF0000 -REG FFFFFFE140004E5B -REG 0000000000000197 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000048000000597 -REG 00000000000082C9 -REG 0000000000000000 -REG 0000000100000000 -REG 2BAF10002BAF1000 -REG 0000000000000000 -REG 0000048000000000 -REG 0000000080000000 -REG 0000000000004A96 -REG 0000007E0001FFFF -REG 91FFFE31C0D60001 -REG 0000001E00006992 -REG 0000000000000000 -REG FFFFFFFF96400000 -REG 0000000000000000 -REG 0000000000002397 -REG 0000000000000000 -REG 0000000081FE90DA +GPR0 FFFFFFFFFFFFFFDF +GPR1 0000000000000020 +GPR2 0000000000000040 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000001FFE0000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 0000000000000020 +GPR18 00000000ECFB0000 +GPR19 0000000000000000 +GPR20 000000000001FFFF +GPR21 000000001FFE0040 +GPR22 0000000000000020 +GPR23 0000020000000040 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 000000000001C020 +GPR28 0000000000000016 +GPR29 00000000003FFFFF +GPR30 000000001FFE1002 +GPR31 +CR 0000000059229A96 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/478.bin b/tests/478.bin index d41b604..93cd1ec 100644 Binary files a/tests/478.bin and b/tests/478.bin differ diff --git a/tests/478.out b/tests/478.out index 995edbc..9ca98bf 100644 --- a/tests/478.out +++ b/tests/478.out @@ -1,32 +1,37 @@ -REG 0084002000002BDF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFD43D -REG FF7FFFFFFFFFD43A -REG 0000000000000000 -REG 9FFFFFFFF8000000 -REG 0080000000000000 -REG 0000000000000001 -REG 000000000000003F -REG FFFFFFFFFFFFD43C -REG 0000000004000000 -REG 0000000000000000 -REG FF7FFFFFFFFFD402 -REG 00000000000059F4 -REG FFFFFFFF7BF7BBB7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004002BC2 -REG FFFFFFFFFFFFD43C -REG FFFFFFFFFFFFFFC7 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000007FD43C -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF9316 -REG FFFFFFFFFFFFFFFF -REG 0000000108108810 +GPR0 00000000FFFD84E2 +GPR1 0000000700115DFD +GPR2 FFFFFFFFFFFFFF95 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFDFA8 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 BFFFFFEFBFFF1FEF +GPR11 0000000000000000 +GPR12 00000000001104E0 +GPR13 FFFFFFFFFFFFFF7D +GPR14 00000000FFFD84E2 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 00000000FFFFFF7C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 000000000001C020 +GPR22 FFFFFFFFFFFFFFF8 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 00000046CBEE0634 +GPR26 0000000000000040 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFF00027B1D +GPR31 +CR 0000000095F6B9B2 +LR FFFFB763BB1E8D7D +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040010 + diff --git a/tests/479.bin b/tests/479.bin index 1af192c..c966c36 100644 Binary files a/tests/479.bin and b/tests/479.bin differ diff --git a/tests/479.out b/tests/479.out index 42ead0a..68582c6 100644 --- a/tests/479.out +++ b/tests/479.out @@ -1,32 +1,37 @@ -REG 00000000EBBAFFFF -REG 0000000000000000 -REG 0000000000000848 -REG 000000000000001F -REG 00ED4F6FD5FF4B25 -REG 000000004FB40000 -REG FFFFFFFFB04C0000 -REG 0000000000000000 -REG FFEFEF8000000015 -REG FFE8000000000000 -REG FFFFFFFFFFFFFFFF -REG 00FD5FEFD4FD4809 -REG 0000000000000015 -REG 000000A0B8844802 -REG 0000000000000000 -REG 00FD5FEF2CDF4809 -REG 0000000000000000 -REG FFFFFFFFB04C0003 -REG 0000000000000000 -REG 00ED4F6FD5FF4B25 -REG 0000000000000000 -REG 0000000001020307 -REG 0000000000000000 -REG 0CC59780000A6485 -REG FFFFFFFFFF7BFFF6 -REG 0000000000000000 -REG 0000000000000016 -REG 000000000000000B -REG 000000000000000B -REG 0000000000000020 -REG FFFFFFFFFF7BFFF6 -REG 0000000089011110 +GPR0 FFFFFFFFFFFFB082 +GPR1 FFFFFFFFFF0002C0 +GPR2 0000000000000000 +GPR3 000000000001F000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 003F8000003E0000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 000000000001C014 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030339415 +LR 0000000090000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/48.bin b/tests/48.bin index cc6a358..326ccec 100644 Binary files a/tests/48.bin and b/tests/48.bin differ diff --git a/tests/48.out b/tests/48.out index 59edbf2..d219ba2 100644 --- a/tests/48.out +++ b/tests/48.out @@ -1,32 +1,37 @@ -REG 0FFFDFFFFBB0E001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFAFFFFFF0 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 000000004864401B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000E55 -REG 000000004FFF1384 -REG 0000000000000000 -REG 000000004FFF1384 -REG F0002000544F1FFF -REG 000000004864400B -REG 000000007A99FFFF -REG 0000000000000000 -REG 000000006BC30000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000010 -REG 00000000000007FF -REG 0000000000000010 -REG 0000000050000010 -REG 0000000009410000 -REG 0000000000000020 -REG FFFFFFFFAEB8FF82 -REG FFFFFFFFFFFFBA2F -REG FFFFFFFFFFFFFFEF -REG 0000000110C88017 +GPR0 FFFFFFFFFFF20C02 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0808080808080808 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFE6F06E6F115F88 +GPR8 0000000000000000 +GPR9 0000000000000080 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFE0 +GPR14 FFFFFFFFFF3184FF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0404040404000404 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000002 +GPR22 00190F9110EEA063 +GPR23 0000000080000014 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000A93CE79 +GPR29 000000000001C006 +GPR30 0000000000000000 +GPR31 +CR 0000000030985585 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/480.bin b/tests/480.bin index ce2a00c..a7250bd 100644 Binary files a/tests/480.bin and b/tests/480.bin differ diff --git a/tests/480.out b/tests/480.out index 067511d..d0ab3e2 100644 --- a/tests/480.out +++ b/tests/480.out @@ -1,32 +1,37 @@ -REG 0000002003000015 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFFFFF -REG 0000000000000025 -REG 00000000037BC000 -REG 0000000000006246 -REG 0000000000007B81 -REG 6800000068000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFCAFFFFFFCA -REG FFFFFFFFFFFFFFF5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFEFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 680000006B7BC000 -REG 000000000000001A -REG 0000000000000000 -REG 0000004000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000031B8 -REG 0000000000000000 -REG FFFE0000037BC000 -REG 00000000FFFFFFFF -REG 0000000100004052 -REG 000000011E1FE81F +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C018 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FF00000007E0F3FF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 000000000000399C +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000399C +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFF8BB3 +GPR30 0000000000000000 +GPR31 +CR 0000000030F843F0 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000100 + diff --git a/tests/481.bin b/tests/481.bin index 5676b5b..16f54cd 100644 Binary files a/tests/481.bin and b/tests/481.bin differ diff --git a/tests/481.out b/tests/481.out index e9002fe..ac4df0d 100644 --- a/tests/481.out +++ b/tests/481.out @@ -1,32 +1,37 @@ -REG 40C3002000001059 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF3FFEB6C0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000C73380 -REG 00000000000044D5 -REG 0000000000000040 -REG 000000000000A318 -REG 0003080808080405 -REG 00000000C0014940 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000040 -REG BFFFFFDFFFFFEF86 -REG 0000000000000000 -REG BFFFFFDFFFFFEF86 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000C73380 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000005053 -REG 0000000000000040 -REG 00000000C00144D5 -REG FFFFFFFF3FFEBB2B -REG 0000000090010009 +GPR0 00000080000000A0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000900000 +GPR6 FFFFFFFFFFFFC008 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 DFFFF90107FFF900 +GPR12 1FFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 000000000000C008 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 DFFFF90107FFF8FF +GPR18 0000000000000020 +GPR19 000000000000C008 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 DFFFF90107FFF900 +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 180000000000FFFF +GPR28 FFFFFFFFFFFFFFFD +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000004 +GPR31 +CR 0000000051905700 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFC +XER 00000000A0046FF2 + diff --git a/tests/482.bin b/tests/482.bin index 26e19c9..911b6c2 100644 Binary files a/tests/482.bin and b/tests/482.bin differ diff --git a/tests/482.out b/tests/482.out index d49529b..2746b20 100644 --- a/tests/482.out +++ b/tests/482.out @@ -1,32 +1,37 @@ -REG 0000177600003257 -REG 000000000000AA83 -REG 0000000000000001 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFE000000000175 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFE889FFFFE889 -REG 0000000000000000 -REG FFFFE88A00005936 -REG 0000000000000000 -REG 000000000000001A -REG FFFE000000000175 -REG FFFFFFFF0000FFFE -REG 00000000FFFF0000 -REG 0000000000000001 -REG FFFDE889FFFFF5A8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000177600001776 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000031 -REG 0000000000000000 -REG FFFFE889FFFFEDA9 -REG 0000000000000000 -REG 0000000040881111 +GPR0 0000000000000012 +GPR1 0000000000000012 +GPR2 FFFFFFFFFFFFFFEC +GPR3 0000000000000000 +GPR4 0001C01F0001C01F +GPR5 0000003FFFFF8000 +GPR6 0339700000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000000CE5C +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFED +GPR12 0001C01F0001C01E +GPR13 0000000008000000 +GPR14 000000000001C038 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000038 +GPR18 0000000000000000 +GPR19 000000000000FFFF +GPR20 0000000000000020 +GPR21 00000000FFFFFFEA +GPR22 0000000000001797 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFEB +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000038 +GPR29 000000000000001D +GPR30 000000000000FFFF +GPR31 +CR 000000003F080930 +LR 0000000007FFFFFE +CTR 000000000001C038 +XER 00000000A0040000 + diff --git a/tests/483.bin b/tests/483.bin index 9052f1e..4e9ae22 100644 Binary files a/tests/483.bin and b/tests/483.bin differ diff --git a/tests/483.out b/tests/483.out index a62ed06..925bc01 100644 --- a/tests/483.out +++ b/tests/483.out @@ -1,32 +1,37 @@ -REG FFFFFFFF26F9BAD8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000100000001FC0 -REG 0000000000000000 -REG 0000000000000039 -REG 0000000000000000 -REG 00000005FFFFF37B -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000080 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFA00000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000018860000 -REG 0000000044840404 -REG 0000000000000000 -REG 0000000089080809 +GPR0 080808027E31653D +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFCFF6BFA70A +GPR4 0000000000000000 +GPR5 FFFFFFFFFFF1FF48 +GPR6 0000000000000000 +GPR7 0000000000007428 +GPR8 0000000000000000 +GPR9 0000000033C10995 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000003009400007 +GPR13 000000000001C01F +GPR14 0000000067FC6733 +GPR15 0000000000001B37 +GPR16 FFFFFFFFFFFFA70F +GPR17 03FFFFFFFFFFF8FF +GPR18 000000000000001C +GPR19 0000000000000008 +GPR20 0000000000001B36 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000067FCC025 +GPR23 FFFFFFFFFFFE3FE0 +GPR24 0000000000000000 +GPR25 00000000000058F2 +GPR26 00000000000058F1 +GPR27 E82E1FF200000000 +GPR28 FFFFFFFFFFED7F4E +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033C10995 +LR FFFFFFFFFFFE3FE0 +CTR 0000000000000003 +XER 00000000A0040001 + diff --git a/tests/484.bin b/tests/484.bin index 5b28855..fb7ce9c 100644 Binary files a/tests/484.bin and b/tests/484.bin differ diff --git a/tests/484.out b/tests/484.out index 6ddfd34..1ea914d 100644 --- a/tests/484.out +++ b/tests/484.out @@ -1,32 +1,37 @@ -REG 7E97FFFFFFFF9FFE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF1C0100001 -REG FE97FFFFFFC09FFF -REG 0000000E3FF00000 -REG FFFFFFFFFFFFFFFF -REG 2A47F80000000001 -REG 0000000000000000 -REG 795180000005346C -REG 0000000000000000 -REG 0000000000007B1A -REG 0000000000000000 -REG 00000F0E3FF00000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 2A47F80000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 2A47F80000000001 -REG 0000000000000002 -REG 0000000000000001 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003E -REG 0000000000001523 -REG 0000000000000000 -REG 0000000084000002 +GPR0 0000001C02C16C69 +GPR1 0000000000000000 +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000005245E0 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFD1 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00003804B9E40005 +GPR12 00000000D2F9DFFD +GPR13 0000000000000000 +GPR14 0000000000000006 +GPR15 FFFFFFFFFFFE0C51 +GPR16 000000000000002F +GPR17 0000000000000000 +GPR18 0000000000000007 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000017C50 +GPR22 000000000001C02C +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFC0 +GPR25 00000000D2F9DFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F05001F +LR 000000000001C014 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/485.bin b/tests/485.bin index 52bb46e..c09e03b 100644 Binary files a/tests/485.bin and b/tests/485.bin differ diff --git a/tests/485.out b/tests/485.out index 8b2c909..3d1d28d 100644 --- a/tests/485.out +++ b/tests/485.out @@ -1,32 +1,37 @@ -REG 000006909E7861FF -REG FFFFFD6FFFFFBD7A -REG 00000000001FFFFF -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG FFFFFFFFFFFFC6B6 -REG 0000000000000000 -REG FFFFFD6FFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFBFFFFF3FFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 00BFFFFF3FFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00400000E0710000 -REG 000000002070FFFF -REG 0000000064BE0000 -REG 0400000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0440000000020800 -REG 0000000000000010 -REG 000000000000E610 -REG FFFFF5BFFFFFFFFC -REG 000000000000064B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF5BFFFFFFFFC -REG 000000009FFFE142 +GPR0 000000002DA1BFE0 +GPR1 FFFFFFFFFFFEF978 +GPR2 FFFFFFFFFFFFFFC0 +GPR3 FFFFFFFFFFFEF978 +GPR4 0000000000000000 +GPR5 FFFFF5FEE8440000 +GPR6 000000000000003E +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000006B2E4B1 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000040 +GPR25 000000000001C020 +GPR26 00001F0000000000 +GPR27 0000000006B2E4B2 +GPR28 0000000000000001 +GPR29 FF0000000038043E +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000005599250D +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/486.bin b/tests/486.bin index 67d808b..9be41bf 100644 Binary files a/tests/486.bin and b/tests/486.bin differ diff --git a/tests/486.out b/tests/486.out index d410ad2..f388a56 100644 --- a/tests/486.out +++ b/tests/486.out @@ -1,32 +1,37 @@ -REG 000000000000000D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 2FFFF8682FFFF868 -REG 0808080808080808 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000003FC00 -REG 000000000003FC00 -REG 000000000003FC00 -REG F7F7F7F7F7F7F7F8 -REG 0000000000000000 -REG 0000000000000000 -REG FB0E90C8FB0E90C8 -REG FFFFFFFFFFC0797E -REG 0000000000000000 -REG 0000000000000003 -REG 000003FFE5A30000 -REG 0000000000005400 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000007D -REG 0000000000000000 -REG 000000000000797D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000053FF000053FF -REG 0000000040800810 +GPR0 FFFFFFFFB655FFFF +GPR1 000000000000003C +GPR2 FFFFFFFFF80FFE0F +GPR3 000000000000003C +GPR4 FFFFFFFFF5FF7FFF +GPR5 000000000001C016 +GPR6 0000000000000000 +GPR7 0100083E0100083F +GPR8 D5555554E39D55D8 +GPR9 D5555555555555D7 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000001485E000000 +GPR14 0000000000700580 +GPR15 00000000000045C8 +GPR16 FFFFFFFFFFFFFFFF +GPR17 00009241F5E754A3 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000A42F0000 +GPR21 0000000000000040 +GPR22 0000000000000040 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFE +GPR25 0000000000001000 +GPR26 000000000001C005 +GPR27 D5555554E39D55D7 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005290930D +LR 0000000000000000 +CTR 0000000000000040 +XER 00000000C008000C + diff --git a/tests/487.bin b/tests/487.bin index 2536fda..ad75d0e 100644 Binary files a/tests/487.bin and b/tests/487.bin differ diff --git a/tests/487.out b/tests/487.out index 75e354d..66f12e2 100644 --- a/tests/487.out +++ b/tests/487.out @@ -1,32 +1,37 @@ -REG 00000EFFD8F7FFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000204D0000 -REG FFFFFFFF80800000 -REG FFFFFFFFC835158C -REG 07CAE37737CAEA73 -REG 0000000000003F12 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000001800003F12 -REG FFFFFFFFFFFFFFFF -REG FFFFFFBEFFFFFFBE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000066F95D4E60F9 -REG 00000000000F0000 -REG 0000000000000000 -REG 000000007F80ABA6 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000005F1E9010 +GPR0 007BE21A007BE29A +GPR1 FFFFFFE0FFFFFFFB +GPR2 00000000419FE24B +GPR3 FFFFFFE0FFFFFFFB +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000002F120000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFF80 +GPR14 0000000000000040 +GPR15 00000000098076D3 +GPR16 0000000000000000 +GPR17 0000000000000180 +GPR18 0000000000000000 +GPR19 8000001680000016 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 8000001680000016 +GPR23 0000000000000000 +GPR24 B0963E69FFE7E24C +GPR25 0000000000000180 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFE9FFFFFFFF +GPR29 B0963E69419FE24B +GPR30 0000000000000000 +GPR31 +CR 000000005D599999 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000020 + diff --git a/tests/488.bin b/tests/488.bin index 2a646e4..a7f06d3 100644 Binary files a/tests/488.bin and b/tests/488.bin differ diff --git a/tests/488.out b/tests/488.out index 064767d..91f1bba 100644 --- a/tests/488.out +++ b/tests/488.out @@ -1,32 +1,37 @@ -REG 00000000032523D6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF9291FE000091 -REG CAD6CD2EFE1C0A11 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFF9291FE000091 -REG FFFFFFFFFFFFFFFF -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000F00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041E04108 +GPR0 FFFFFFFFFFFF98EB +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 000000000000007F +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFF006715 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 FFFE3FDFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFF80 +GPR12 00000000F90F007F +GPR13 0000000000000000 +GPR14 0000000000006714 +GPR15 00000000FFFFFE00 +GPR16 FFFFFFFFFFFB3705 +GPR17 0001C02000000000 +GPR18 0000000000000000 +GPR19 00000000F90E4D50 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 00000000F90EB464 +GPR26 FFFFFFFFFFFFFF80 +GPR27 0000000000000002 +GPR28 000000000000007F +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000034000930 +LR FFFFFFFFFFFFFFFF +CTR 0000000085CF0006 +XER 00000000A0040000 + diff --git a/tests/489.bin b/tests/489.bin index 64c5cfc..ee9a539 100644 Binary files a/tests/489.bin and b/tests/489.bin differ diff --git a/tests/489.out b/tests/489.out index a7a8f70..3da414d 100644 --- a/tests/489.out +++ b/tests/489.out @@ -1,32 +1,37 @@ -REG 00000000B53F2BCC -REG 0808080808080808 -REG 000003B000000000 -REG 00000000B53E8044 -REG 0000000000000000 -REG FFFFFFFF7CBEDABA -REG 0000000000000011 -REG 014448AFD47D4B14 -REG FFFFFFFFFFFFFFFF -REG 000000002D6B0011 -REG AFFAEEDD44000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000003B -REG 500511233F412557 -REG 000000007F7FB100 -REG FEBBB7510C94B4EB -REG 0000000000000000 -REG 00000000E1120000 -REG 0000000083412557 -REG FFFFFFFFFFFF8ECD -REG 0000000000003614 -REG FFFFFFFFFFFFFFFF -REG 014448AFD47D4B14 -REG 0000000000000003 -REG 0000000000000000 -REG FFFFFFFFE116F0BF -REG 0000000000000000 -REG 80804EFF80804EFF -REG 0000001000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 000000009E811A08 +GPR0 000000002B0617D9 +GPR1 0000000000BC5264 +GPR2 F7F7F7F7FFFEE7AB +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFB6FF +GPR6 000000002B0717F8 +GPR7 0000000000000000 +GPR8 0000000000008000 +GPR9 FFFFFFFEFFFFEDAA +GPR10 FFFFFFFF00000000 +GPR11 0000000000000001 +GPR12 0000000015838BFC +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 FFFFFFFFD4F9E827 +GPR17 F7F7F7F7FFFE3FDF +GPR18 FFFEB071D4F8403B +GPR19 0000000000000000 +GPR20 0000000000001894 +GPR21 F7F7F7F7FFFE3FDF +GPR22 080808080001C021 +GPR23 FFFFFFFF6AABAC00 +GPR24 00000000955453FF +GPR25 FFFFFFFFD4FA1617 +GPR26 000000000000A7CC +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 00014F8E2B07BFC5 +GPR30 0000000000000057 +GPR31 +CR 0000000059B4D284 +LR 00FFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/49.bin b/tests/49.bin index 039a185..85aad9b 100644 Binary files a/tests/49.bin and b/tests/49.bin differ diff --git a/tests/49.out b/tests/49.out index 7639298..5f865e4 100644 --- a/tests/49.out +++ b/tests/49.out @@ -1,32 +1,37 @@ -REG 000000000000002D -REG 0000000000000000 -REG 0000000000000020 -REG FFFF9788AE000000 -REG 0000000000003AFC -REG 0000000000800000 -REG 0000000000000037 -REG 0000000000000EC7 -REG 00000000DA000000 -REG FFFFFFFFFFFFFBB4 -REG 0000000000000000 -REG 0AA071370AA07003 -REG FFFFFF9EBFFFFFFF -REG 0000000000000004 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000082000008 -REG 0000000082000008 -REG 0000000040000000 -REG 0000000840000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000004254 -REG 0000000082000004 -REG 0000006140000000 -REG 0000000000000000 -REG 00000000097B5800 -REG 0000000000000006 -REG 0000000089E90008 -REG 0000000000003C21 -REG 0000000000000000 -REG 0000000004101088 +GPR0 FFFFFFFFFFFFADE3 +GPR1 0000000000000FFE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE40DF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000005 +GPR13 0000000000000000 +GPR14 000000006ED20000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFADE2 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000003200 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE40DF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F0F9FD0 +LR 0000000000000000 +CTR FFFFFFFFFFFE40DF +XER 0000000080000000 + diff --git a/tests/490.bin b/tests/490.bin index 92f1365..5d0bf4e 100644 Binary files a/tests/490.bin and b/tests/490.bin differ diff --git a/tests/490.out b/tests/490.out index 2c32fa8..ba9f1a3 100644 --- a/tests/490.out +++ b/tests/490.out @@ -1,32 +1,37 @@ -REG 06F2C00007FFE000 -REG FFFFFFFF9D47FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF37FB7D4 -REG 0000000000000000 -REG 4000000000000000 -REG FFFFFFFFDD680000 -REG 0000000000000000 -REG FFFFFFFFFFFBFFDF -REG 0000000000000000 -REG FFFFFFFFFFFB31BD -REG 000000000007CE63 -REG 0000000070000000 -REG 000000002297978A -REG 0000000000000000 -REG FFFFFFFFFFF831BD -REG 0000000000000000 -REG 0000000000080000 -REG FFFFFFFFFFFFFFCD -REG 0000000070000000 -REG 000000000008182A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000040021 -REG 0000000000040021 -REG 0000000000000000 -REG 0000001B0000001B -REG 0000000000000020 -REG 000000009081110C +GPR0 FFFFFFFFFE5A86E0 +GPR1 FA7FFFFFFFFFFF0F +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FA8000000000FA0A +GPR5 0000000000000000 +GPR6 00000000000042FA +GPR7 FFFDE8A2FFFDE8A2 +GPR8 0000000000000000 +GPR9 FFFFFC7FD3FFFC7D +GPR10 40000000340000D0 +GPR11 00000000001FFF80 +GPR12 000000000000FAFB +GPR13 000000000000FAFC +GPR14 4FFFFFFFFE5A21E0 +GPR15 FFFFFFFFFFE00080 +GPR16 058000000BFEB50A +GPR17 0000000000000001 +GPR18 0000000000000007 +GPR19 40000000400000D0 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000144DF40 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FA8000000000FA0A +GPR26 0000000000000001 +GPR27 058000000BFF05F6 +GPR28 000000000C000000 +GPR29 0000000000000000 +GPR30 03FFFFF1FF4FFFF1 +GPR31 +CR 0000000050495590 +LR 0000000000000000 +CTR 00000000000042F9 +XER 00000000E00C2F28 + diff --git a/tests/491.bin b/tests/491.bin index f66a704..acde073 100644 Binary files a/tests/491.bin and b/tests/491.bin differ diff --git a/tests/491.out b/tests/491.out index 68e52c2..2eaf18b 100644 --- a/tests/491.out +++ b/tests/491.out @@ -1,32 +1,37 @@ -REG 7FFFE0FFFFFFFE09 -REG 004000007FBFF000 -REG 23E627FFFFFFFFCB -REG DC19D7FF9EFF54F9 -REG 0000000000000000 -REG 0040000000400000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000FF000000FF00 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFF00000000FF -REG 2808000328080003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG F7F7FFF8FE003FFF -REG 000000000038B9BA -REG 0000000001FFFFBF -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000102A -REG DC19D7FFFFFFFFFF -REG 0000001001FFFFBF -REG 000000007FFFF000 -REG FFFFFFFF9EFF54C4 -REG FFFFFFFFFFFFFFFF -REG 4545F7574545F757 -REG FFFFFFFFFFFFFFCA -REG 0000000000000000 -REG 0000000085085008 +GPR0 000000000006C000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFF8 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 00000000000B0000 +GPR8 FFFFFFFFFFFFFFF8 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000001000F020 +GPR12 FFFFFFFFFFFFFFFF +GPR13 34FEFF010006C000 +GPR14 0000006C12000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 00000000C0080000 +GPR18 CB0100FEFFF93FFF +GPR19 0000006C12000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 0000000000000000 +GPR23 FFFFFFFFEFFF35CC +GPR24 0000000000000000 +GPR25 0000000000000005 +GPR26 0000000000000000 +GPR27 34FEFF010006C000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFF810C +GPR30 34FEFF010006C000 +GPR31 +CR 00000000959B3305 +LR FFFFFC7FC7FFFFFF +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/492.bin b/tests/492.bin index d27fe6c..6822bf1 100644 Binary files a/tests/492.bin and b/tests/492.bin differ diff --git a/tests/492.out b/tests/492.out index c351ae8..d117085 100644 --- a/tests/492.out +++ b/tests/492.out @@ -1,32 +1,37 @@ -REG F986AFFFC43F2865 -REG 0000000013A90000 -REG 0000000000000005 -REG 00000000000022A9 -REG 0000000000000020 -REG 0000000000000000 -REG 000000080E94507A -REG 0000000000000000 -REG FFFFFFFFFFFFA9D7 -REG 19E5400000014000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00111800000138D9 -REG 00111800000138D9 -REG FFFFFFFFFFFFEF47 -REG FFFFFFFFFFFFFFED -REG 0000000000000000 -REG 0000000000000020 -REG 000000080E945085 -REG 0000000000000000 -REG FFFFFFFFFFFF991E -REG 000000080E945085 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF7F16BAF80 -REG 000000D800000000 -REG 0000000000008016 -REG 0000000000000001 -REG 000000000000689B -REG FFFFB7FFFFFFF000 -REG 0000000048111008 +GPR0 00000000000046AC +GPR1 0000000002010604 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFF3666DE76 +GPR5 0000000000000000 +GPR6 0000000002010603 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFC +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFE3FDF +GPR11 0000000000000000 +GPR12 000000003EFFCFFF +GPR13 FFFFFFFBE4EFD319 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 000000041B102CE6 +GPR21 FFFFFFFFFDFEF9FC +GPR22 FFFFFFFFFFFFDE76 +GPR23 00000000007F8E00 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000007FAF89 +GPR27 0000000000000000 +GPR28 FFFFFFFFFDFEF9FC +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000090505909 +LR FFFFFFFBE4EFD319 +CTR 000000003EFFFCDA +XER 0000000080000000 + diff --git a/tests/493.bin b/tests/493.bin index 75e7890..7574ff1 100644 Binary files a/tests/493.bin and b/tests/493.bin differ diff --git a/tests/493.out b/tests/493.out index 4889a15..072f60b 100644 --- a/tests/493.out +++ b/tests/493.out @@ -1,32 +1,37 @@ -REG 00003D5CBE61AB6F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFDFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 008080487FFC729A -REG FFFFFFFF9C9C0030 -REG 0000000000004AAA -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 00003D5CF652AB6F -REG 0000000000000020 -REG 0000000000000000 -REG 00000000517D0000 -REG 00007FFFFFFFCE4E -REG 00000000517D0000 -REG FFFE000000000000 -REG 0000000000000000 -REG 000000011E908100 +GPR0 FFFFFFFFFFFF7A98 +GPR1 0000000000000003 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000051000290 +GPR4 FFFFFFFFFFFFFFD9 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFF765D +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000180 +GPR10 FFFFFFFFFFFE3FD7 +GPR11 0000000000008381 +GPR12 0000000000000000 +GPR13 0000000006EB3E45 +GPR14 0000000000000240 +GPR15 FFFFFFFFFFFFFFE8 +GPR16 0000000051000290 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFE +GPR19 FFFFFFFFFFFE3FD7 +GPR20 00000000000000C0 +GPR21 000001FF00000003 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000001FFFFFF0 +GPR26 0000000000000025 +GPR27 FFFFFE0100008564 +GPR28 000001FF00000003 +GPR29 0000000000008542 +GPR30 0000000000000000 +GPR31 +CR 0000000055001990 +LR FFFFFFFFFFFFFFFB +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/494.bin b/tests/494.bin index 0f0fa69..0695e4f 100644 Binary files a/tests/494.bin and b/tests/494.bin differ diff --git a/tests/494.out b/tests/494.out index 1dfad35..602bc77 100644 --- a/tests/494.out +++ b/tests/494.out @@ -1,32 +1,37 @@ -REG 0000000080768C4B -REG 0808080801050808 -REG 0000000000000000 -REG FFFFFFFFFFFFEF59 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000200000000000 -REG FFF7AC7FFFFFF22A -REG FFFFFFFF8076FC0F -REG 0000000000000000 -REG 0000000058200000 -REG 0000000000000000 -REG 0000000000000000 -REG 003FFFFF46AFEF59 -REG 0000000000000000 -REG FFF7AC800000001F -REG FFFFFFFFFFFFEF59 -REG 0000000082000000 -REG FFFFFFFFFFFFF20B -REG 0000000027890000 -REG 0000000000000080 -REG FFFFFFFFFFFFF000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFF8076FFFF -REG 003FDFFFFFFFC624 -REG FFFFFFFFFC000000 -REG 0000000058200000 -REG 000000007F890000 -REG 000000009051E100 +GPR0 0000000023AC0000 +GPR1 FFFFFFFF00000011 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000003070011 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000FFFFFF00 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000FFFFFFEE +GPR12 0000000000000000 +GPR13 0000000003070008 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 00000000FFFFFFEF +GPR20 00000000FFFFFFEE +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000008 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 FFFFFFFF00000012 +GPR31 +CR 0000000050579045 +LR 0000000000000000 +CTR 0000000000000002 +XER 00000000A0040000 + diff --git a/tests/495.bin b/tests/495.bin index 3e1d0fb..070785a 100644 Binary files a/tests/495.bin and b/tests/495.bin differ diff --git a/tests/495.out b/tests/495.out index aa5dbb3..37af308 100644 --- a/tests/495.out +++ b/tests/495.out @@ -1,32 +1,37 @@ -REG 00008122FC99222B -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000029 -REG 9BFFFFFFFFFFFFD8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG F385D240BCD9D241 -REG 0000000000000000 -REG 0000000029A70000 -REG 0000000040000000 -REG 0000000000000020 -REG 007A5C92007A5C92 -REG 0000000000000010 -REG 0000000000000040 -REG 00000000BCD9D241 -REG 0000000028280080 -REG 0000000000000000 -REG 0000000000000000 -REG 00008122FC99222B -REG 0000000000003EA7 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG F4002ED2BD548C0E -REG 0000000000000000 -REG 0000000050500100 +GPR0 000000000001C000 +GPR1 0000000018000000 +GPR2 FFFFFFFFFE004000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 00000000000007CD +GPR7 00000000000007CD +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFE3FE1 +GPR11 0000000000000000 +GPR12 FFFFFFFFFE003FFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFCBDA +GPR21 FFFFFFFFE8000000 +GPR22 0000000018000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFF7F9B +GPR25 FFFFFFFFFFFFFFBF +GPR26 FFFFFFCBDA8565EA +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000040BEFFFF +GPR31 +CR 000000005F0FD90B +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/496.bin b/tests/496.bin index 5503145..94f5a3e 100644 Binary files a/tests/496.bin and b/tests/496.bin differ diff --git a/tests/496.out b/tests/496.out index 1efc575..0a5266e 100644 --- a/tests/496.out +++ b/tests/496.out @@ -1,32 +1,37 @@ -REG FFFFFFC1C380FFFF -REG 0000000000000F21 -REG 0000000000000020 -REG 0000001F00000020 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFF72F68 -REG 0000000010000000 -REG FFFFFFFFA35EFFFF -REG 0000000000000000 -REG 0000000790000000 -REG 0000000000000000 -REG 0000003E3F7F49DC -REG 00000000000077D9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000010000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 00000000000077D9 -REG 0000000010000000 -REG FFFFFFFFFFFFFFFF -REG 0000000048900900 +GPR0 000000017FFFFFD9 +GPR1 000000000000001B +GPR2 FFFFFFFF8000001B +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000000001C020 +GPR6 FFFFFFFFFFFFFFFE +GPR7 FFFFFFFFFFFFFF28 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000FFFFFFD9 +GPR17 0000000000000000 +GPR18 000000000000001B +GPR19 0000080000000800 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0300000000020000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000001C010 +GPR25 FCFFFFFFFFFDFFFF +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000080000000 +GPR28 0000000080000000 +GPR29 FFFFFFFFFFFFFE00 +GPR30 0000080000000800 +GPR31 +CR 0000000090035159 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000800C0000 + diff --git a/tests/497.bin b/tests/497.bin index 56494cd..b8d30da 100644 Binary files a/tests/497.bin and b/tests/497.bin differ diff --git a/tests/497.out b/tests/497.out index 65a9b3c..2f51242 100644 --- a/tests/497.out +++ b/tests/497.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF819BA6C -REG FFFFFFFF98193B03 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000002000000014 -REG 0000000000000001 -REG 818002CB44000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000040 -REG 000000000000001D -REG FFFFFFFF9FFF4D0D -REG FFFFFFFFFFFCA377 -REG FFFFFFFFFFFFFFFE -REG 0000000000001100 -REG FFFFFFFFF819EDF5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000005799 -REG 00000004FFFF02CD -REG 0000000000000000 -REG 0000000000000000 -REG 00000004FFFF02CE -REG 0000000077650000 -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 818002CB44000000 -REG 0000000000000000 -REG 0000000000000040 -REG 00000001001E9190 +GPR0 FFFFFFFF9F81C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 00000000607C7FBF +GPR6 0000000000000000 +GPR7 0000000000000031 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000607C7FBF +GPR12 000000000001E3F3 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 00000000A0040000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 FF9E3FFF80000000 +GPR22 000000000001F571 +GPR23 FFFFFFFFFFFFECCC +GPR24 FFFFFFFFFF000000 +GPR25 0000000000000000 +GPR26 0000000000001000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFB350 +GPR29 FFFFFFFFDEFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000059990090 +LR 0000000021000000 +CTR 0000000000000040 +XER 000000008003ECCC + diff --git a/tests/498.bin b/tests/498.bin index a72cfeb..d506670 100644 Binary files a/tests/498.bin and b/tests/498.bin differ diff --git a/tests/498.out b/tests/498.out index fff62b8..b88ab57 100644 --- a/tests/498.out +++ b/tests/498.out @@ -1,32 +1,37 @@ -REG 0000FFFF903071C3 -REG 0000000000FFFFF8 -REG 00000000000001FF -REG 0000000000000020 -REG 00000000000040BA -REG FFFEFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF90300AEF -REG 0000000000000000 -REG 0000000000FFFFF8 -REG 0000000000000000 -REG 000000000000229B -REG FFFF00000000FAF0 -REG 0000000000FFFFF9 -REG 000000005A420001 -REG 000000000000003F -REG 0000000000000201 -REG 0000000000000000 -REG 0000000000000000 -REG 0000FFFFFFFFE6A1 -REG FFFFFFFFFFFFFDFF -REG 001561FFFFFFFF20 -REG 0000000000007B73 -REG 0000000000000000 -REG FFFFFFFFFFFF8573 -REG 0000400000000000 -REG 7D80000F47800000 -REG FFFFFFFFFFFFFFFF -REG 0000000085090108 +GPR0 FFFF1FEEFFFF1FEA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFF6ECA3C786DB2 +GPR4 00000000000082D4 +GPR5 0000000000000020 +GPR6 00000000215122FE +GPR7 FFFFFFFFFE232C41 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000002151A02A +GPR11 00000000000082D4 +GPR12 0000E01100000000 +GPR13 0000000000000000 +GPR14 0126673D0126673D +GPR15 00000000000003C0 +GPR16 0000000000000000 +GPR17 0000000008D60000 +GPR18 0000000000000000 +GPR19 00009135C387924D +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFC50 +GPR22 00000000000019DD +GPR23 00000000208DF140 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000000082D4 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFE232C41 +GPR29 00000000000082D4 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000039F56559 +LR 000000000000700A +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/499.bin b/tests/499.bin index ee110d8..547b726 100644 Binary files a/tests/499.bin and b/tests/499.bin differ diff --git a/tests/499.out b/tests/499.out index 5ae93a5..55113b1 100644 --- a/tests/499.out +++ b/tests/499.out @@ -1,32 +1,37 @@ -REG 0100C8DB0100AD96 -REG FFFFFFFFFFFFF1FE -REG 00000000FFFFFFDE -REG 0000000000000000 -REG 12D31DE2ADA00000 -REG 0000000000000E01 -REG FFFFFFFFFFFFFFF6 -REG FFFFFFFFFFFFFFDE -REG 56CFFFFFFE678EF1 -REG 56D0000009698EF1 -REG 8000000000000700 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000E01 -REG 1FFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG FF918438FF918438 -REG 56D0000009698ED1 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFF1FEFFFFF1FEFF -REG 0000000000000003 -REG 0000000000000E01 -REG 0100C8DB0100C8DC -REG FFFFFFFFFFFFFFFF -REG 0000000000000021 -REG 0000000000000000 -REG 0000000110081016 +GPR0 00000000227D0005 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFC020 +GPR3 0000000000000000 +GPR4 FFFFFFFCEF8E3BE0 +GPR5 0001FFFC7FC1C020 +GPR6 0000000000000000 +GPR7 000000008394C000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000FFFE3FE0 +GPR11 0000000000000000 +GPR12 0000000000003FFC +GPR13 0000000000000005 +GPR14 000000000091C000 +GPR15 0000000021ED0003 +GPR16 000000007FC00000 +GPR17 0000000000000000 +GPR18 FFFFFFFFDE12FFFB +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000F3FF0005 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0001080607020000 +GPR25 FFFFFFFFFFFE3FDF +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030919F84 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFB4 +XER 00000000A00417A3 + diff --git a/tests/5.bin b/tests/5.bin index c9b6bed..1c09dab 100644 Binary files a/tests/5.bin and b/tests/5.bin differ diff --git a/tests/5.out b/tests/5.out index 5f04ed0..8e17149 100644 --- a/tests/5.out +++ b/tests/5.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFEFFFDF -REG 000000000000D077 -REG 0000000000000000 -REG FFFFFFFFFFFFEE00 -REG 00000000FFFFFFDF -REG FFFFFFFFFFF00000 -REG 0000000000001200 -REG 0000000000000020 -REG 00016AAAFFFD7BBD -REG 0000000000000000 -REG 0000000000001200 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000021 -REG FFFFEDFFFFFFEDFF -REG 000000000000000D -REG 0000000000000000 -REG 0000000000000000 -REG DFFFFFFFC0007FFF -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000020 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFEFFFFF -REG 0000000000000000 -REG 0000000080000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFE55551264 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000099998180 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 000000000000E01A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFE55551263 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFF85B6 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039998180 +LR FFFFFFFFFFFE3FE0 +CTR 0000000000000000 +XER 00000000E00D7F40 + diff --git a/tests/50.bin b/tests/50.bin index e033224..291015d 100644 Binary files a/tests/50.bin and b/tests/50.bin differ diff --git a/tests/50.out b/tests/50.out index 9e4c39a..240ec5a 100644 --- a/tests/50.out +++ b/tests/50.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000002000000020 -REG FFFFFFFF58BCFFFC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE000 -REG FFFFFFFFFFFFFFFF -REG FFFA000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 01118C0000000000 -REG 00000000CF4E0000 -REG FFFFFFFF00000040 -REG 000000000000103F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000B9B4 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000011E110001 +GPR0 00000000AEB80000 +GPR1 0000000000000020 +GPR2 0000000000000000 +GPR3 0000085600000856 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFDFDA +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000043E0000 +GPR15 0000000000000000 +GPR16 0000000000002BCD +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000000003FF +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000000086CD +GPR25 FFFFFFFFFFFFFFFD +GPR26 000000000001C034 +GPR27 0000000000000000 +GPR28 00000000000086CD +GPR29 0000000000000000 +GPR30 0000085600000856 +GPR31 +CR 000000003FFF6FFD +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/500.bin b/tests/500.bin index fec62f2..41d4d56 100644 Binary files a/tests/500.bin and b/tests/500.bin differ diff --git a/tests/500.out b/tests/500.out index bba0be3..06ddd0d 100644 --- a/tests/500.out +++ b/tests/500.out @@ -1,32 +1,37 @@ -REG 2000DF7F00FC3C8F -REG 0000000007E00000 -REG FFFF208000003C8F -REG 0000000000000010 -REG 0000000000000000 -REG FFFF208000003C8F -REG 0000DF80AAF48244 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF8F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000DF8044048244 -REG FFFF208000003C8F -REG DFFFFFFF00000000 -REG 0000000100000001 -REG FFFFFFFFF8000000 -REG 0000000000000000 -REG DFFFFFFF00000000 -REG FFFF208000003C8F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000006 -REG 0000000000088090 -REG 0000000000000000 -REG 0000DF8044048240 -REG FFFFFFFFFFFFFFFF -REG 0000000090088B04 +GPR0 0000000000000000 +GPR1 0000000030000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 000000000000625E +GPR12 0000000000000000 +GPR13 FFFFFFFFFE00FFFE +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000003000000 +GPR20 0000000000000000 +GPR21 FFFC00003FFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000001 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003259E489 +LR 0000000000000002 +CTR 000000000000BAA6 +XER 0000000080000000 + diff --git a/tests/501.bin b/tests/501.bin index 2df6ce0..26dc8a3 100644 Binary files a/tests/501.bin and b/tests/501.bin differ diff --git a/tests/501.out b/tests/501.out index 7cbc80b..e1a0fde 100644 --- a/tests/501.out +++ b/tests/501.out @@ -1,32 +1,37 @@ -REG 0000000000000020 -REG 0000000000000014 -REG 0000000000000002 -REG 00000000FFF852C8 -REG 0000000000000020 -REG 0013000000030000 -REG FFFFF2FFFFFFFEFD -REG 01C0000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FFFFFC07C -REG 00000000288848F4 -REG 00000D0000000102 -REG 0000000000000000 -REG 0000000000000016 -REG 00000000000002BF -REG 00000000F6EDFD40 -REG FFFFFFFFFFFFFFFF -REG FFFFFFE3FFFFFFE3 -REG FFFFFFFFFFF852C8 -REG 00000000F6EE0000 -REG 0000000000000000 -REG 000000482AA3FFFB -REG FFFFFFFFFFFFFFC7 -REG FFFFFF7BFFFFFF78 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFCBA4000B -REG FFFFFFFFFFFFFFFF -REG 000000011F109189 +GPR0 0000000000000000 +GPR1 0000000035500050 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000008 +GPR7 12000000FFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C030 +GPR11 FFFFFFFFFFFFB052 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFB052 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFC020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000035500051 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030500052 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/502.bin b/tests/502.bin index 3581b11..853783b 100644 Binary files a/tests/502.bin and b/tests/502.bin differ diff --git a/tests/502.out b/tests/502.out index b5f37a1..51225a0 100644 --- a/tests/502.out +++ b/tests/502.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD77760C8 -REG 0000000000000040 -REG 0000000000000000 -REG 4000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 000000000000F275 -REG FFFFFFFFFFFFFFFE -REG 0000000000000020 -REG 0000000000000000 -REG 000007BE00000000 -REG 0000000000000001 -REG 0000000000009F86 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000082A88D9 -REG FFFFFFFFFFFFC3FF -REG FFFFFFFFFFFFC3DE -REG 0000000000000000 -REG 0000000040000000 -REG 00000000082A3EAB -REG 0043FFFFFFF80000 -REG 0000000000009FA7 -REG 0000000000000040 -REG 0000000000000000 -REG 0808080808080408 -REG FFFFFFFFFFFF9FA7 -REG 0000000040000000 -REG 0000000000000080 -REG 000007BE00003C00 -REG 0000000000000040 -REG 000000011F100000 +GPR0 0000000000000000 +GPR1 0000001CE1BDE90F +GPR2 0000000000000000 +GPR3 00000000EBB70000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFF14490000 +GPR7 0000000000000000 +GPR8 0000003FFEF8B93B +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 FFFFFFFFFFFE3FDF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000003FFEF8B93B +GPR17 00000000EBB70001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000006000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000000001C030 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000E00D832F +GPR30 0000000000000000 +GPR31 +CR 0000000096191199 +LR 000000000001C010 +CTR 00000000008FFFFF +XER 000000008001832F + diff --git a/tests/503.bin b/tests/503.bin index 0b99123..c4762eb 100644 Binary files a/tests/503.bin and b/tests/503.bin differ diff --git a/tests/503.out b/tests/503.out index c92d403..4cfbb82 100644 --- a/tests/503.out +++ b/tests/503.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD0BDFBBF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FF00000 -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000400F0007 -REG 0000000000000000 -REG 000100003FC00000 -REG 0000000000000040 -REG 0000000000000000 -REG BFFFFFFFC000001F -REG 000000000000001F -REG 0010000000000007 -REG FFFFFFFFFFFFE734 -REG 0000000000000000 -REG 0001000000000000 -REG 0000000000000000 -REG 00001FBFFFFFFFC0 -REG 4000000040000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001001E000E +GPR0 FC0000000000E15C +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001B91F +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000140 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 FFFFFFFFF800FB00 +GPR18 00000000000032AF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000140 +GPR26 0EF8000000000000 +GPR27 FFFFFFFFF800FB00 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000001DF0000 +GPR31 +CR 0000000030515193 +LR FC00000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/504.bin b/tests/504.bin index a42b56e..916289c 100644 Binary files a/tests/504.bin and b/tests/504.bin differ diff --git a/tests/504.out b/tests/504.out index 615cfc9..64c4b54 100644 --- a/tests/504.out +++ b/tests/504.out @@ -1,32 +1,37 @@ -REG 0000000080000FEB -REG 0000000000000040 -REG 0000000036A30FEB -REG 0000000000000020 -REG 0000000000000000 -REG 000004A97520CE27 -REG 0000800000008800 -REG 0000000000000040 -REG 0000000000000FEB -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 03FFFFFFFFFE0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FFFFF0000001FFA0 -REG 0000000000000FEB -REG FFFFF0000001FFEB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000FFFFFFE005F -REG 00000001FFFFC000 -REG FC00000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000100001000 +GPR0 00000000FFFFFFCD +GPR1 0000000000000004 +GPR2 0000000000000005 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFF0000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 00000000000049A4 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000010 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000002F +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 FFFFFFFFFFFFFF00 +GPR18 000000000000DCCC +GPR19 0000000000000000 +GPR20 000000000000FB79 +GPR21 000000000001C028 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFF3E8 +GPR24 00000000FFFFFFCD +GPR25 01C0280000002800 +GPR26 0000000000000000 +GPR27 0000000030207949 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030050908 +LR FFFFFFFFFFFFFFFE +CTR 0000000000000000 +XER 00000000E00C002F + diff --git a/tests/505.bin b/tests/505.bin index 2f7a4ae..ce9885d 100644 Binary files a/tests/505.bin and b/tests/505.bin differ diff --git a/tests/505.out b/tests/505.out index bf194db..ceab21f 100644 --- a/tests/505.out +++ b/tests/505.out @@ -1,32 +1,37 @@ -REG FFFEFFFFFFFF1757 -REG F7FFFFFFF803FFFF -REG FFFFFFFEBF6EFFFF -REG F7FFFFFFF803FFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFB6F7 -REG FFFFFFFFFFFF4968 -REG 9746FE169746FBA6 -REG FFFFFFFFD4D7B88A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 883D2D208830D660 -REG FFFFFFFF7F0B4BA3 -REG 0000000000004BA3 -REG FFFFFFFEFFFFFFFF -REG 00000000000C0000 -REG 0000000000000000 -REG FFFFFFFEFFF1FFFF -REG 000000000000E1EB -REG 0000000000000000 -REG 9746FE169746FBA6 -REG 0000000140910000 -REG 0000007FFFE00000 -REG 0000000000000000 -REG FFFFFFFFFFFF6DEE -REG FFFFFFFFFFFFB45C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000101F16905 +GPR0 00FFC00100FFFFA0 +GPR1 00FFFFFF00FFFFFE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 8001D0028001BED3 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 00FFFFFF00FFFFFF +GPR18 0000000000000000 +GPR19 1C0200003FFE0000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 7FFE2FFD7FFDD711 +GPR28 000000000001C020 +GPR29 0000000000003FFF +GPR30 3FFDFFFF3FFDFFFF +GPR31 +CR 0000000095229929 +LR 0000000000000000 +CTR 000000000001C022 +XER 00000000A005C020 + diff --git a/tests/506.bin b/tests/506.bin index d3761ce..ac495f5 100644 Binary files a/tests/506.bin and b/tests/506.bin differ diff --git a/tests/506.out b/tests/506.out index 0acacc6..e687495 100644 --- a/tests/506.out +++ b/tests/506.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDCCFFFC0 -REG 0000000000000000 -REG 6FFC7FF06FF00000 -REG 01FFFF9800000000 -REG FFFFFFFFFCFFFFC0 -REG 0000000000000000 -REG FFFFFFFFDCCFFFC0 -REG 0000000000000000 -REG 0000000000006820 -REG 0000000000006820 -REG FFFC807FFFFC007F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDCCFFFC0 -REG 000000003C20FFC1 -REG 0000000000000000 -REG 6FFC7FF06FF00000 -REG FFF8001FFFFFFFE6 -REG 6FFC7FF06FF00000 -REG 0000000000000000 -REG FB29D6E5BF2FE040 -REG 0000000000000039 -REG 0000000000000011 -REG 1B29D6E5BF2FE040 -REG 0000000000000000 -REG 0000000000040000 -REG 0000000000000000 -REG 0000000000000006 -REG FFFFFFFFFFFC0000 -REG 0000000000000031 -REG 0000000000000040 -REG 0000000000000000 -REG 000000008040D040 +GPR0 0000000067FE0000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000003E00001B61 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFF010B3FE4 +GPR10 0000000000000000 +GPR11 FFFFFFC200000000 +GPR12 0000003E00000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 000000000000210A +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFDF15 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 8000007FFFFFC7FC +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000003800 +GPR30 0000000000000000 +GPR31 +CR 0000000030005005 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/507.bin b/tests/507.bin index bc724d0..2d3bebb 100644 Binary files a/tests/507.bin and b/tests/507.bin differ diff --git a/tests/507.out b/tests/507.out index 72df068..7d000b9 100644 --- a/tests/507.out +++ b/tests/507.out @@ -1,32 +1,37 @@ -REG FFFFFEFFE8B6E004 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000FFFFFFFFFC00 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG CFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050111068 +GPR0 000BFFFFFFFF72FC +GPR1 0000000000000000 +GPR2 0000000000007703 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFF72FB +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFF72FA +GPR7 00000000A5A5A9CB +GPR8 FFFFFFFEE5F40000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000003F +GPR12 0000000000000000 +GPR13 000F7000F7009C75 +GPR14 000BFFFFFFFF72FC +GPR15 FFFFFFFE08710000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000000003F +GPR20 FFFFFFFFFFFF72FA +GPR21 0000000000000000 +GPR22 00000000A5A5A9CC +GPR23 0000000000000000 +GPR24 0000000000020000 +GPR25 0000000000020000 +GPR26 000000000000003E +GPR27 FFFFFFFFF7009C75 +GPR28 000000005BCA0F7B +GPR29 0000000000000000 +GPR30 8EBFFFFFC0000000 +GPR31 +CR 0000000031520997 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/508.bin b/tests/508.bin index b659077..90c7664 100644 Binary files a/tests/508.bin and b/tests/508.bin differ diff --git a/tests/508.out b/tests/508.out index 2a4e6c7..ffe839c 100644 --- a/tests/508.out +++ b/tests/508.out @@ -1,32 +1,37 @@ -REG 4C59477C4501B4FA -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000004193 -REG 0000000000000000 -REG 0000000001FFFFFF -REG FFFFFFFFFFFFFEC0 -REG 0000000005000000 -REG FFFFFFFFFE000000 -REG FFFFFFFFFFFFF303 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFD80000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000017F -REG 00001F6A4C59477C -REG 0000000000000000 -REG 0000000000016740 -REG 0000000000000019 -REG 4C59477C4000003B -REG FFFFFFFFFFFFBE6D -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFBF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000005000000 -REG 0000000000000000 -REG 000000008BE92646 +GPR0 FFFFFFFFFFFE3FE0 +GPR1 0000172000000000 +GPR2 0000000000000006 +GPR3 0000000200000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000003 +GPR7 0000000000000006 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 FFFFFFFFFC000000 +GPR12 000000000001C020 +GPR13 FFFFFFFF5F12BF00 +GPR14 0000000000000000 +GPR15 0000000000000002 +GPR16 0000000000005C80 +GPR17 FFFFFFFFA0ED50FF +GPR18 0000000000000000 +GPR19 000000005F12AF00 +GPR20 0000000000000000 +GPR21 000000000D51AF00 +GPR22 0000000000000000 +GPR23 FFFFFFFDFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFC000000 +GPR28 0000000000000020 +GPR29 00000000FFFFF000 +GPR30 0000000000000040 +GPR31 +CR 0000000020105029 +LR 000000005F12AF00 +CTR FFFFFFFFFFFFFFFF +XER 0000000020040000 + diff --git a/tests/509.bin b/tests/509.bin index a6b3f8a..9e3c84a 100644 Binary files a/tests/509.bin and b/tests/509.bin differ diff --git a/tests/509.out b/tests/509.out index a95fac8..69dc546 100644 --- a/tests/509.out +++ b/tests/509.out @@ -1,32 +1,37 @@ -REG 00000000C0001B3D -REG 00000000FFFFE5E2 -REG 80000CE77FFFF2C9 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFF7EF -REG 00000000FFFFE5E2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000010000176F -REG FFFFFFFF00001A38 -REG 00000001FFFFCBC4 -REG 00000000FFFFE5C8 -REG 0000000000800000 -REG FFFFFFFF00001A38 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF37 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFE5C8 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005F0491F0 +GPR0 00FFFFFFFFFFB9BB +GPR1 FFC0017ED38CB6E0 +GPR2 000000000001C020 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FEFFFFFFFFFFFFFE +GPR7 0000000000201FFD +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFB9BA +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000002B +GPR13 003FFE812C73FA9E +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 008FFFFE008FFFFC +GPR17 003FFE812C73491E +GPR18 0000000000201FFD +GPR19 B9BAC007F50000FF +GPR20 00000000001FFFE0 +GPR21 0000000000000000 +GPR22 000000000001C014 +GPR23 FFFFFFFFFFDFFFF8 +GPR24 00000000001F6EEE +GPR25 FFFFFF7F70101ABF +GPR26 000000000000000D +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FF000000001F6EEE +GPR30 0BD03B410BFFFFF0 +GPR31 +CR 00000000530555D9 +LR 00000000001FFFE0 +CTR FFFFFFFFFFFFFFFF +XER 0000000080027DB6 + diff --git a/tests/51.bin b/tests/51.bin index cec769c..0770a34 100644 Binary files a/tests/51.bin and b/tests/51.bin differ diff --git a/tests/51.out b/tests/51.out index 68b995c..3f98364 100644 --- a/tests/51.out +++ b/tests/51.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBD43DEC0 -REG 000000000000000E -REG 00000000000059D0 -REG 00000000992B0000 -REG 00000000E0000000 -REG 0000000000000000 -REG 00000000000059F0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000023 -REG 0000000000000000 -REG FFFFFFFFFFFFD0A5 -REG 0000000700000000 -REG 0000000000000020 -REG 0000000000000003 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFBD73DEC0 -REG 0000000000000000 -REG 0000000000000090 -REG 200003B1207B2F5A -REG 0000000000000000 -REG 0000000000000000 -REG 000000000F000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006BF4 -REG 0000000000000000 -REG 00000000000059EF -REG 0000000000000000 -REG FFFFFFFFFFCFFFFF -REG 0000000180000000 -REG 000000005E91411E +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000007871 +GPR12 0000000000000000 +GPR13 0000000000000069 +GPR14 FFFFFFFFFFFF8780 +GPR15 000000000000003F +GPR16 000000000000C269 +GPR17 0000000000000000 +GPR18 000000000001B879 +GPR19 0000000000000000 +GPR20 FFFFFFFF2D6A8780 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFC0FFFEB +GPR30 0000000000000000 +GPR31 +CR 000000003555A0B0 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/510.bin b/tests/510.bin index 175434e..a8e3c13 100644 Binary files a/tests/510.bin and b/tests/510.bin differ diff --git a/tests/510.out b/tests/510.out index 39df5b2..8ba6096 100644 --- a/tests/510.out +++ b/tests/510.out @@ -1,32 +1,37 @@ -REG 9FFFFFFFFFC00046 -REG 0000000000000000 -REG 60000000003FFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFA8 -REG 0000000000002C13 -REG FFFFFFFFFFFFED80 -REG 0000000000000020 -REG C928800000002C13 -REG 0000000087EB0040 -REG FFFFFFFFFFFFFFA9 -REG 0000000000000000 -REG 0000000000006C80 -REG 00000000000072A9 -REG 0000000000000000 -REG 36D77FFFFFFFC193 -REG 0000000000000040 -REG 0000000000000000 -REG 60000000E1BFFFFB -REG F000000000000000 -REG 36D7800000000000 -REG 00000000000072A8 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA8A8 -REG F000000000005F87 -REG 60000000003FFFFB -REG 0000000000000000 -REG 0FFFFFFFFFFFFFBF -REG 00000000E1AAA533 -REG 0000000000000000 -REG 0000000051E91FE8 +GPR0 FFFFFFFFFFFE3FE1 +GPR1 0000000000000000 +GPR2 0000000000000040 +GPR3 0000000000000018 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFBF +GPR6 00000000FFFFFFFF +GPR7 00007FFFFFFFFFF3 +GPR8 FFFFFFFFFFFE3FE1 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000001FF9000 +GPR11 FFFFFFFFFFFFFFE6 +GPR12 FFFFFFFFFFFE3FE1 +GPR13 0000000000000000 +GPR14 0000001DE43D47D5 +GPR15 0000000000000000 +GPR16 0000001DE43D4816 +GPR17 FFFFFFFFFFFF20FF +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000021 +GPR21 0000000000000040 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFC53E +GPR24 00000000CD8B0000 +GPR25 FFFFFFFFFFFFFFE6 +GPR26 0000000002006F01 +GPR27 00000000FFFFFFC0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000092B43997 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000018 +XER 0000000080000000 + diff --git a/tests/511.bin b/tests/511.bin index 8f7c029..3557885 100644 Binary files a/tests/511.bin and b/tests/511.bin differ diff --git a/tests/511.out b/tests/511.out index dc86427..dade501 100644 --- a/tests/511.out +++ b/tests/511.out @@ -1,32 +1,37 @@ -REG 000000000070001E -REG 0000000000000000 -REG FFFFFFFFFFFF3A79 -REG 00000000CEFA0004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF3A79 -REG 080818E8A69971FE -REG 0000000000000000 -REG FFFFFFFFFFFFFE90 -REG 0000000000000000 -REG 000012E5A0946FFE -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFF7487FFFC -REG FFFFFFFFFFFFFFEF -REG FDD7C0000EFFFE90 -REG 0000000000000004 -REG 0000000000000000 -REG 0200060502000005 -REG FFFFFFFFFFFFFFEF -REG FFFFFFFFFFFFFB76 -REG 000000000000C586 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFF842F80044 -REG 0808060306050200 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001870 -REG 0000000088801E48 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 00000000255E0000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C020 +GPR16 FFFFFFFF12492492 +GPR17 0000000000000100 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 FFFFFFFFFFFFFF00 +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 000000000000000E +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000060 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030952096 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/512.bin b/tests/512.bin index 4247c31..25c1d16 100644 Binary files a/tests/512.bin and b/tests/512.bin differ diff --git a/tests/512.out b/tests/512.out index e9eeb50..efafd80 100644 --- a/tests/512.out +++ b/tests/512.out @@ -1,32 +1,37 @@ -REG 07FFF94CFBB20693 -REG 0000000000000000 -REG FFFFFFFF67408900 -REG 0000000000000000 -REG 0000000067408900 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000067408900 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000001FFF0 -REG FFFFFFFFFFFFFFFF -REG 0000000098BEFFFE -REG 00000262FC000000 -REG 000000001CF28900 -REG 740000000000002B -REG 0000000000000020 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0800000000000000 -REG FFFFFFFFFFFFF7BB -REG 000000009FFFFF01 +GPR0 0000000000000000 +GPR1 0000000000000A70 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFF82CC +GPR17 FFFFFFFFFFFFBDDA +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFAF14 +GPR20 0000000000000000 +GPR21 000000000000564E +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFD +GPR25 FFFFEC9DE498956C +GPR26 FFFFFFFFFFFFAED4 +GPR27 0000000000000000 +GPR28 00000000000B92F0 +GPR29 0000000000000001 +GPR30 0000000000000020 +GPR31 +CR 0000000030998380 +LR 000000000001C020 +CTR FFFFEC9DE498956C +XER 0000000080000000 + diff --git a/tests/513.bin b/tests/513.bin index 8ceccab..0db2c21 100644 Binary files a/tests/513.bin and b/tests/513.bin differ diff --git a/tests/513.out b/tests/513.out index 9bef5e1..95674ce 100644 --- a/tests/513.out +++ b/tests/513.out @@ -1,32 +1,37 @@ -REG 5EFFFFFFF701FD46 -REG 0000000000000002 -REG 0000000000000000 -REG 000000000000090B -REG 00000000000D5BFF -REG 0000000000000000 -REG 0000000000000013 -REG 0000000052AE0000 -REG C9A0000000001412 -REG 0000000000000000 -REG 0000000020804402 -REG A10001004BFEFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 000001004BDEFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 5EFFFFFFF701FD59 -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG 0000000000240000 -REG FFFFFEFFB4210000 -REG E03FAB3EE03C0002 -REG 5EFFFEFFB4010001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG FFFFFFFFFFDBFFBF -REG 0000000000000000 -REG FFFFFEFFB4209F14 -REG 0000000000000000 -REG 0000000081008804 +GPR0 FFFFFFFFFFFDBFE7 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000003EBB +GPR10 00000000FAEDBFCA +GPR11 000000000000000F +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000000001C03C +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFEFE4 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFC03C +GPR21 FFFFFFFFFFFFEFE4 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000080000000 +GPR25 000000000001C020 +GPR26 00000000FAEDBFCA +GPR27 D3FFFEDFD3800003 +GPR28 FFFFFFFFFFFFFFC0 +GPR29 0000000000000000 +GPR30 FFFFFFFFD839FFFF +GPR31 +CR 0000000038F99F9D +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/514.bin b/tests/514.bin index c11a9f7..386ab29 100644 Binary files a/tests/514.bin and b/tests/514.bin differ diff --git a/tests/514.out b/tests/514.out index 2972954..1798704 100644 --- a/tests/514.out +++ b/tests/514.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFE7DDC -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000018203 -REG FFFFFFFFA7A30AB1 -REG 0000000000000000 -REG 0000000000000040 -REG 6EFBFBE3EE81FBE0 -REG 6EFBFBE3EE81FBE0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0030000000000000 -REG 000000007FFFFFF6 -REG 30A0000000000000 -REG 00000000000036CE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000001BBEF800000 -REG FFFFFFFFFFFFFFF8 -REG 000000000000CB83 -REG 6EFBFBE3EE81FBE0 -REG 000000000001BBEF -REG 0000000000000000 -REG 0001FFFFFFFFFFF8 -REG 000000000000CB83 -REG 000000000000CB83 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002B300020 -REG 0000000089188010 +GPR0 FFFFFFFFFF3234CD +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000040 +GPR9 FFFFFFFF6DF90000 +GPR10 0000000000000000 +GPR11 000000000000DC14 +GPR12 000000000001C020 +GPR13 FFFFFFFFFFFFFFBF +GPR14 0000000000000000 +GPR15 0000000000018BA7 +GPR16 0000000000000000 +GPR17 0000000000CF8B53 +GPR18 000000000000B2BD +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000CF8B53 +GPR22 0000000000D00000 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000CF8B53 +GPR26 000000000001C01F +GPR27 FFFFFFFFFFFF92B3 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000031949927 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/515.bin b/tests/515.bin index 9902f52..5b9d018 100644 Binary files a/tests/515.bin and b/tests/515.bin differ diff --git a/tests/515.out b/tests/515.out index c428a0f..757a362 100644 --- a/tests/515.out +++ b/tests/515.out @@ -1,32 +1,37 @@ -REG FFFFEC3B3A7B003E -REG 8708070086FD0021 -REG 00000000009E20EC -REG 800000FF8007FFDF -REG 7FFFFF007FF823FA -REG 0000000000000000 -REG BBFFFF52BB3FFF54 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFB998 -REG 0000000000000000 -REG 038247D9038247D9 -REG 0000000000009DFC -REG FFFF00FF8007DC05 -REG 0000058700000187 -REG 7600000000004F10 -REG 0000000080844448 -REG 0708080007050001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000AD440000 -REG FFFFF3C7FFFFBFC7 -REG 7FFFFF007FF87ED4 -REG 0000000080844448 -REG 00000C3800004038 -REG 440000AD440000AD -REG 00000000C0000000 -REG 0000000000BFFFFF -REG FFFFFFFFFFFFFFFF -REG 7FFFFF007FF823FA -REG 0000000108088890 +GPR0 FFFFFFFFFFFFFFDE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000000F0B80 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 FFFFFFFFFFFF76E9 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000021 +GPR15 FFFFFFFFFFFFFFDF +GPR16 0000000000000000 +GPR17 0000000000008917 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFF8 +GPR25 0000000000000000 +GPR26 00000000FFFFFFDF +GPR27 000000000000C000 +GPR28 FEFFFFFFFFFFA87F +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030000155 +LR 0000000000005D00 +CTR 0000000000000000 +XER 00000000C0080799 + diff --git a/tests/516.bin b/tests/516.bin index ca9f4d8..01933ac 100644 Binary files a/tests/516.bin and b/tests/516.bin differ diff --git a/tests/516.out b/tests/516.out index 7b31a19..13b98c5 100644 --- a/tests/516.out +++ b/tests/516.out @@ -1,32 +1,37 @@ -REG 3E7FFFFF7FFFEDA3 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFE000003FFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG C180000000000000 -REG 0000000000000039 -REG 0000000000000000 -REG FFFFFFFFFF361049 -REG 0000000000000000 -REG 0000000070000000 -REG 000000000000125E -REG 0000000000000000 -REG FFFFFFFFBC9F0000 -REG 0000000050000000 -REG 8300000000000000 -REG FFFFFFFFFFFBE74A -REG 000000000000127F -REG 0000000000000049 -REG 000000000003FFF8 -REG 0000000000000020 -REG 00000000000416EE -REG C180000000000000 -REG 0000000000000000 -REG 0000000001800000 -REG 0000000000000000 -REG 0000000000C9F000 -REG 0000000000000000 -REG 0000000051005E50 +GPR0 000000000000748E +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FE0 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 00000000FC000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFB934 +GPR14 FFFFFFFFFFFE3FE0 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE3FE0 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 FFFFFFFFFFFFFFFF +GPR30 7FFFFFFFFFFFFFFF +GPR31 +CR 0000000090D30515 +LR 000000000000EC10 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/517.bin b/tests/517.bin index 31efe50..fcd2a2a 100644 Binary files a/tests/517.bin and b/tests/517.bin differ diff --git a/tests/517.out b/tests/517.out index 13043bc..7a36a25 100644 --- a/tests/517.out +++ b/tests/517.out @@ -1,32 +1,37 @@ -REG FFF180000000003F -REG 0000000000000000 -REG 00000013000086FF -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000DB3D0000 -REG 0000000070000000 -REG 0000000000004026 -REG FFFE000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000023 -REG 0000000000000020 -REG 0000000070000000 -REG 0000000000000000 -REG FFF1800000000000 -REG FFFFFFFFFFFFE1D3 -REG 00013000086FF000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000E7FFFFFFFFFDB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040090800 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000005824 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 0000000000000045 +GPR12 FFFFFFFFFFFF9A0C +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000045 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000003 +GPR25 0000000000000002 +GPR26 0000000000000020 +GPR27 000000000E38E38E +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000004 +GPR31 +CR 000000005C410885 +LR 000000000001C020 +CTR 000000000001C020 +XER 00000000C0080000 + diff --git a/tests/518.bin b/tests/518.bin index bec1408..a215b3a 100644 Binary files a/tests/518.bin and b/tests/518.bin differ diff --git a/tests/518.out b/tests/518.out index f18f9ce..d6d2940 100644 --- a/tests/518.out +++ b/tests/518.out @@ -1,32 +1,37 @@ -REG FFEE29419FEEF93F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007F78 -REG 0000000000000000 -REG 00000000000048E5 -REG 00000000345300A5 -REG FFFFFFFCFFFFFFF3 -REG FFFFFFFFFFFFDCA5 -REG 0000000000000000 -REG 0000000000000020 -REG 100042161000AC1D -REG 3C000000000000B8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFEE52FFAF4352FF -REG 0000000000000000 -REG E7FFFFFF9800003F -REG FFFFFFFFFFFFDCBD -REG 0000000000000000 -REG FFFFFFFFFFFFDCA5 -REG FFFFFFFFDD1F0BD1 -REG 0000000002E0F000 -REG 00000000000000A5 -REG 000000002000D07E -REG EFFFBDE9EFFFB001 -REG 0000000000000004 -REG 00000000E70148E5 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000005C1E -REG 00000000880101C8 +GPR0 FFFFFFFFFFFFFF78 +GPR1 0000000000000020 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 00000000509BCB6F +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFBC +GPR10 0000000000000000 +GPR11 00000000509C0CA6 +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FF8000001FFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 007FFFFFE0000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030544B94 +LR 0000000000000000 +CTR 0000000000000040 +XER 0000000080000000 + diff --git a/tests/519.bin b/tests/519.bin index 285353b..24b2b8d 100644 Binary files a/tests/519.bin and b/tests/519.bin differ diff --git a/tests/519.out b/tests/519.out index 3d7bf81..d37fa57 100644 --- a/tests/519.out +++ b/tests/519.out @@ -1,32 +1,37 @@ -REG F800064F4EFF5591 -REG 0000000000000001 -REG 000000000000A781 -REG FFFFF9B6FFFFF9B6 -REG 0000000000000000 -REG 0000000000000000 -REG FBF88FDFFB788FDF -REG FFFFFFFFFB788FE0 -REG 000000000000CFE0 -REG 0000000000077F5A -REG 0000000000000000 -REG 1800000017DF6551 -REG 0000000048804280 -REG 1800000017DF9087 -REG FFFFFFFFFFFF625C -REG 0000000000003BFA -REG FFFFE22BFFFFE22B -REG 0000000000000000 -REG F8419FFFFFDFBFF7 -REG 000000000000CFE0 -REG FFFFFFFFFFFF0000 -REG 0000000000000036 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000003BFA -REG 0000011800000118 -REG FFFFFD37FFFFFD37 -REG 5D571FFC74600000 -REG FFFFF9B6FFFFF9B6 -REG 0000000000000330 -REG 0000000000000000 -REG 0000000051008500 +GPR0 00000000000015A2 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000000007FF +GPR5 0000000000000000 +GPR6 000000000DFFE78E +GPR7 0000000000000000 +GPR8 0000000000000004 +GPR9 0000000037FF9E38 +GPR10 0000000000000000 +GPR11 FFFFFFFFF1FFFFFE +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 000000000000A402 +GPR15 000000000000001C +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000000078BC +GPR19 0000000000100000 +GPR20 FFFFFFFFFFFFC020 +GPR21 000000000000000C +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000A402 +GPR25 000000000E000000 +GPR26 0E0000000E000000 +GPR27 0000000037FF9E38 +GPR28 000000000001C020 +GPR29 000000000DFFE78E +GPR30 0000000000000004 +GPR31 +CR 000000005E959191 +LR 0000000000000000 +CTR 1C02000000000000 +XER 0000000080000000 + diff --git a/tests/52.bin b/tests/52.bin index bde74c8..9cd5dad 100644 Binary files a/tests/52.bin and b/tests/52.bin differ diff --git a/tests/52.out b/tests/52.out index f280555..e5c9b23 100644 --- a/tests/52.out +++ b/tests/52.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDC5E0000 -REG 0000000040000000 -REG 200C01F200000F7C -REG 0000000000000000 -REG 200C01F200000F7C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001D24 -REG F7F7F8F7F7F7FEFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000080040 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000080040000 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000000 +GPR3 FFFFFFFFFFF17630 +GPR4 0000000000000000 +GPR5 FFFFFFEFFFFFFFFF +GPR6 0000000000000000 +GPR7 FFF1903E3FF1903F +GPR8 000000000001C020 +GPR9 000000000001C020 +GPR10 000000000001C032 +GPR11 00000000FFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 00000000000069DE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFF1903E3FF1903F +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFF8C0E +GPR27 FFF1903E3FF14285 +GPR28 000000005CC60000 +GPR29 00000000700C8000 +GPR30 0000000100000000 +GPR31 +CR 000000002850187C +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 000000000001903F + diff --git a/tests/520.bin b/tests/520.bin index dc866be..f30c876 100644 Binary files a/tests/520.bin and b/tests/520.bin differ diff --git a/tests/520.out b/tests/520.out index ca690df..7a9a83d 100644 --- a/tests/520.out +++ b/tests/520.out @@ -1,32 +1,37 @@ -REG FFFFFFFF213792CA -REG 0000000000000040 -REG 00000004001F5D60 -REG 0000000000000040 -REG 0000000000005D55 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFE -REG 0000000000000040 -REG 0000000000000000 -REG 00000000EB780000 -REG FFFFFFFFDEC8BE58 -REG 0000000000000000 -REG FFFFFFFFDEC8BE58 -REG FFFFFFFFFFFFA2EA -REG FFFFFFFFFF801DD9 -REG 0001FE0000000000 -REG FFFFFFFFFF801D99 -REG FFFFFFFFFFFFA2EA -REG 00000000014B6350 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFC -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFDEC8BE97 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE0000000 -REG FFFFFFFFFFFFFFFF -REG 0000000108080884 +GPR0 0000000000023E6E +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000016 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000023E6E +GPR9 0000000000000000 +GPR10 0000000000006F3E +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000302D9393 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040C89 + diff --git a/tests/521.bin b/tests/521.bin index 8cbda82..b078bbe 100644 Binary files a/tests/521.bin and b/tests/521.bin differ diff --git a/tests/521.out b/tests/521.out index 7426ebd..c8fe7c1 100644 --- a/tests/521.out +++ b/tests/521.out @@ -1,32 +1,37 @@ -REG 0000000000009FBC -REG 0000000000000000 -REG FFFFFFFFFFFFDB28 -REG FFFFFFFFFFFFFFF0 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000004833 -REG 000000000000747D -REG 000000800000747D -REG 00000005000068FF -REG 000000831A1138B2 -REG 0000000000004833 -REG FFFFFFFFFFFFD12A -REG FFFFFF7FFFFF8BC2 -REG 013F780001300000 -REG 0000000000000040 -REG 01D1F40001D00000 -REG 000000FF00000000 -REG 0000000000030601 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0180000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000009FBC -REG 0000000000000020 -REG 01D1F40001D00000 -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFFFFF8B82 -REG 0002BAEE0002B800 -REG 0000000000000000 -REG 0000000080000800 +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000001F8448 +GPR4 0000000000000000 +GPR5 000000000000001F +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000061E30001 +GPR21 0000000000000000 +GPR22 000000000000025C +GPR23 000000000F772990 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 000000000001C016 +GPR31 +CR 000000005765441F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0000 + diff --git a/tests/522.bin b/tests/522.bin index 444e2d4..bf5d775 100644 Binary files a/tests/522.bin and b/tests/522.bin differ diff --git a/tests/522.out b/tests/522.out index a85d990..c7b6cde 100644 --- a/tests/522.out +++ b/tests/522.out @@ -1,32 +1,37 @@ -REG 00000000200011B2 -REG 0000000000000030 -REG 0000000020000000 -REG FFFFFFFFA80C0032 -REG 0000000000000001 -REG FFFFFFFFFFFFA02C -REG 0000000000000000 -REG 0000000000000002 -REG 00000000000046CD -REG 0000000000005777 -REG 000000009B7B0001 -REG 0000000000000000 -REG 00000000B9870000 -REG 000000009B7B0001 -REG 000000000000FFE1 -REG FFFFFFFFDFFFFFFF -REG 0000000000000032 -REG 00000000000046CD -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000FFE0 -REG FFFFFFF8DFFFFFF8 -REG 0000000000000000 -REG 000000007E430000 -REG 0000000000000000 -REG 00000000000FFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000B9870000 -REG 0000000000000032 -REG 0000000104085E5E +GPR0 FFFFFFFFF315C040 +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000001B +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 FFFFFFFFFFFFFFE4 +GPR15 0000000000000040 +GPR16 FFFFFFFFF315BFFF +GPR17 000000000000003B +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000039009000 +GPR22 0000000000000022 +GPR23 000000000001C020 +GPR24 0000000000000008 +GPR25 0000000000000040 +GPR26 000000000CEA4000 +GPR27 0000000000000000 +GPR28 000000000000001B +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000035419000 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFF0 + diff --git a/tests/523.bin b/tests/523.bin index cc9dd9e..e53bf14 100644 Binary files a/tests/523.bin and b/tests/523.bin differ diff --git a/tests/523.out b/tests/523.out index 40fe13b..7feb575 100644 --- a/tests/523.out +++ b/tests/523.out @@ -1,32 +1,37 @@ -REG 01030B80257DE180 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFA30088985D00 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000007FED -REG FFFFFFFFC67A1B22 -REG 0000000100000000 -REG 0000000000000000 -REG 00005CFF7767A2FF -REG DC1EF87EDC1EF87E -REG 0103838001038380 -REG 0000002000000016 -REG 0000000000000021 -REG 0000000028040400 -REG 0000000000000000 -REG FFFFFFDFDF8F9016 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000040E0E00 -REG 000000000000000B -REG 0000000000000001 -REG 0808080007070002 -REG 0000000000000000 -REG 00000000DCFF0001 -REG FFFFFFFFFFFFFF80 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A39DABFE -REG 0000000000000000 -REG 0000000110080800 +GPR0 000000000000C940 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF00 +GPR3 0000000000000000 +GPR4 FFFFFFFF9A5EF906 +GPR5 000000000089FC00 +GPR6 0000000000000020 +GPR7 FFFFFFFF9A5EF905 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFB68235DC +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFF542 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000009A5EF905 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000C9B9 +GPR29 0000000006050000 +GPR30 0000000000000000 +GPR31 +CR 000000002A53F905 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000000010000 + diff --git a/tests/524.bin b/tests/524.bin index ae50218..92d89b6 100644 Binary files a/tests/524.bin and b/tests/524.bin differ diff --git a/tests/524.out b/tests/524.out index 2b4c94e..356c902 100644 --- a/tests/524.out +++ b/tests/524.out @@ -1,32 +1,37 @@ -REG 0000000C80000001 -REG 0000003F80000000 -REG FFFFFFCE01FFFFCE -REG FFFFFFFFD8154C50 -REG FBFBFFFFC0000000 -REG 26BC7FFFFF000000 -REG 0000000000000000 -REG FFFFFFEFDAF04B3D -REG 0000006C0000006C -REG 0000000000000000 -REG FFFFFFFFFFFFE750 -REG 000000000000007F -REG FEFFFFFEFEFFFFFE -REG 0000000000000002 -REG FF00000000000000 -REG FFFFFFEFDAF04B3C -REG E09679FFFF000000 -REG FFFFFFFFD8154C51 -REG 0000000000000000 -REG FFFFFFFFBB0770DB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000FE017259F -REG 0100000C80000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 000000000000007F -REG 000000000000007F -REG FFFFFFC080000000 -REG 000000000000753C -REG FFFFFFFFFFFFFFFF -REG 0000000100090090 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFAA3 +GPR2 FFFFFFFFFFFFF0C0 +GPR3 0000000000000000 +GPR4 0000000095599919 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000095599919 +GPR12 000000000000055C +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000036B00000 +GPR19 0000000000000000 +GPR20 0000000100000001 +GPR21 00000000288C0000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000054D0000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFF0BF +GPR30 FFFFFFFFFAB2FFFF +GPR31 +CR 0000000055599918 +LR 0000000000000020 +CTR 0000000000000000 +XER 00000000A0040808 + diff --git a/tests/525.bin b/tests/525.bin index 18f3ed5..94290f3 100644 Binary files a/tests/525.bin and b/tests/525.bin differ diff --git a/tests/525.out b/tests/525.out index d2fba8d..d1420db 100644 --- a/tests/525.out +++ b/tests/525.out @@ -1,32 +1,37 @@ -REG D81C00013894FFFD -REG 0001FFFFFFFFFFF0 -REG 0000000000000000 -REG 0000003900000039 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000005E05 -REG 0000000000000000 -REG 0000000000000000 -REG 0001FFFFFC001FF0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG D81C0001D81BFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005973 -REG 0000000000000000 -REG FFFFFFFFFFFFE474 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000474D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0001FFFFFFFFFFF0 -REG 0000000000000000 -REG 00000000D4480000 -REG 00000000E08F0000 -REG 0000000041110854 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 000000005055C074 +GPR3 FFFFE07FFFFFE07F +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFF86 +GPR12 0000000000000001 +GPR13 FFFE3FD0FFF80079 +GPR14 0000000000000000 +GPR15 FFFFFFFF9705FFFE +GPR16 0000000000000000 +GPR17 00000000502AEAFF +GPR18 0000000000003A24 +GPR19 4000000040000000 +GPR20 0000000000000000 +GPR21 0000000080000000 +GPR22 0000000000000000 +GPR23 0000000068FA0000 +GPR24 0000000000000000 +GPR25 FFFE3FD0FFF80000 +GPR26 0000000000000000 +GPR27 00000000B1F225DB +GPR28 0000001B0000001F +GPR29 000000000001C038 +GPR30 0000000000000000 +GPR31 +CR 000000003F58C07C +LR FFFFFFFFFFFEFFC9 +CTR 0000000000010036 +XER 00000000E00C006F + diff --git a/tests/526.bin b/tests/526.bin index 09db437..e356d6c 100644 Binary files a/tests/526.bin and b/tests/526.bin differ diff --git a/tests/526.out b/tests/526.out index ce17cbd..c9f7a37 100644 --- a/tests/526.out +++ b/tests/526.out @@ -1,32 +1,37 @@ -REG FFFC818054F23093 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 2BC9E18B01B3EA10 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 40FFFF004000080C -REG BF0000FFBFFFF7F3 -REG 0000000000000000 -REG 400000000000000B -REG 0000000000000001 -REG 0000000004000000 -REG 0000000084248A48 -REG 000000000000B070 -REG BFFFFFFFFFFFFFF4 -REG 0000000044248A48 -REG 00000000002C1C00 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000025580000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 000000000000000A -REG FFFC818000000030 -REG 0000000000000000 -REG 000000007FA01F40 -REG FFFFFFFFFFFFFFFF -REG 00037E7FFFFFFFCF -REG 0000000088491491 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFF39F +GPR2 0000313A0F568000 +GPR3 0000000000000000 +GPR4 000000000001C000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 000000000001B34F +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C000 +GPR12 FFFFFFFFFFFFF7CB +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000000001C020 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FDF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000200000002 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000003D5D00 +GPR26 00000000C4E83D5A +GPR27 FFFFFFFFFFFFF39F +GPR28 000000000000042C +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003090C05B +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001AA5C + diff --git a/tests/527.bin b/tests/527.bin index 2ca8515..7e17b52 100644 Binary files a/tests/527.bin and b/tests/527.bin differ diff --git a/tests/527.out b/tests/527.out index 1e943d8..25d8a9d 100644 --- a/tests/527.out +++ b/tests/527.out @@ -1,32 +1,37 @@ -REG 8000188D000084E6 -REG 0808060500000002 -REG 0000000000000000 -REG 0100000001FF1175 -REG 0000000000000000 -REG 0000623400000004 -REG 0000000000000000 -REG 000000000000003F -REG 0000020400000001 -REG 0000000000000002 -REG 0000000001000205 -REG FFFFFFFFFFF6535F -REG 000000000F80144C -REG 00FFFFFFFFFF0000 -REG 0000000000000000 -REG 0000000002001175 -REG A000000000000000 -REG FC7800001FFFFFFF -REG 0000000010000000 -REG FFFFFFFFFFF6539E -REG 0000000010000000 -REG 00000000040022EA -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000008486 -REG 00000000000082B0 -REG 0000000008000000 -REG 0000001000000010 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00001A8F8FBC0001 -REG 0000000090002898 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFA0FFFFE0A0 +GPR3 00000000004C0000 +GPR4 000000000000003F +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFACAD +GPR7 0000000000000000 +GPR8 0000000000000009 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 FFFFFFFFFFFFFF00 +GPR16 000000000000003F +GPR17 0000000000000022 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000030050403 +GPR23 0000000000000000 +GPR24 00000000A0040000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000647C0000 +GPR30 0000000000000021 +GPR31 +CR 00000000900F0005 +LR FFFFFFFFFFFFFFF5 +CTR 0000000027320000 +XER 0000000080000000 + diff --git a/tests/528.bin b/tests/528.bin index 84a10fd..9f4f732 100644 Binary files a/tests/528.bin and b/tests/528.bin differ diff --git a/tests/528.out b/tests/528.out index 1e4ee89..64152e2 100644 --- a/tests/528.out +++ b/tests/528.out @@ -1,32 +1,37 @@ -REG 0362D802266226EA -REG 0000000000000020 -REG 0000000000000020 -REG 0000000008000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFF98A9C717F840 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF6 -REG 000000000000A452 -REG 0000000000000000 -REG 000000000000A453 -REG 000000000000000D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFF77968 -REG 0000000000000000 -REG 000000000000A452 -REG 0000000000001802 -REG 0000000000000000 -REG 000000001A095AD2 -REG FFFFFFFFFFFFFFFD -REG 000000000000003E -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000089FE0809 +GPR0 F7F7FAFAFBFA82CB +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFD7 +GPR3 0000000000000000 +GPR4 0000000000000027 +GPR5 FFFFFFFD7FFFFFFE +GPR6 00000000538BC28A +GPR7 FFFFFFDCEF91BC3F +GPR8 FABC63F3FABC63F3 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000040 +GPR13 00000023106E43C0 +GPR14 000000000000001C +GPR15 00000000000043E0 +GPR16 0000007952048655 +GPR17 000000006DFB0000 +GPR18 0000000000000040 +GPR19 FFFFFFFFFFFFFF8A +GPR20 FFFFFFFFFFFFFFCC +GPR21 0808050504053B13 +GPR22 0000000000000000 +GPR23 FFFFFFDCEF91BC3F +GPR24 0000000000000000 +GPR25 00000000000000C8 +GPR26 05439C0C05439FCC +GPR27 0000000000000006 +GPR28 00000003106E43E0 +GPR29 00000000FD89D89E +GPR30 FFFFFFFFFC00000F +GPR31 +CR 000000005455650F +LR 0000000000000020 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/529.bin b/tests/529.bin index 6134204..385e6f6 100644 Binary files a/tests/529.bin and b/tests/529.bin differ diff --git a/tests/529.out b/tests/529.out index 933a65a..8ce007c 100644 --- a/tests/529.out +++ b/tests/529.out @@ -1,32 +1,37 @@ -REG 000000000019483C -REG 0000000000194801 -REG 000000000000000B -REG 0000000B22444EE5 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000004FCC -REG 00000000440D0000 -REG FFFFFFFFFFFFFFF4 -REG 8FE4000022444EEF -REG 00041FF000000000 -REG 188B20F3C946FBFC -REG 0000000B22444EE4 -REG 000000004F4424F8 -REG 0000000000000001 -REG 0000000000000000 -REG 00057FFFE7B10000 -REG 0000000000000000 -REG 00000000440D0000 -REG FFFFFFFF7FFFA48B -REG 00000000000040E8 -REG 0000000000000000 -REG 701C000000000000 -REG 000041FF000041FE -REG FFF800194801FFFF -REG 0000000000000000 -REG 188B20F4188B20F4 -REG 0000000000000000 -REG 0000000080005B74 -REG FFFFFFFFFFFF993F -REG 00000001088849F1 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFF5 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000C00000 +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 0000000000000002 +GPR18 000000007FFFFFFF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000004000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000037C1999F +LR 000000007FFFFFFF +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/53.bin b/tests/53.bin index 444ab0a..4493083 100644 Binary files a/tests/53.bin and b/tests/53.bin differ diff --git a/tests/53.out b/tests/53.out index ebacce7..28b192b 100644 --- a/tests/53.out +++ b/tests/53.out @@ -1,32 +1,37 @@ -REG 00000001FFFFDAE1 -REG 0000000000000001 -REG 00FF00FFFFFDF366 -REG 0000000000000001 -REG 0000000004000000 -REG 0000000000000002 -REG 000000000E590000 -REG 0000000027D62501 -REG 0000000000000000 -REG FFFFFFFFFE00027D -REG 00000000FFF7CD9C -REG 0000000000000004 -REG 000000000000D52C -REG FFFFFFFFFFFFFFFF -REG FFFFFFFE00002501 -REG FFFFFFFF497FAE9E -REG 7D6250127D620002 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFFA474003F -REG BACE8006BACEA000 -REG 0000000000000000 -REG 00FF00FFFFFDF367 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF497F4843 -REG 0000000000000000 -REG FFFFFFFE27D62501 -REG 00000000FFFF0000 -REG 00FF01000C1DF366 -REG FFFFFFFE00006C90 -REG 0000000000000004 -REG 0000000088910010 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFF81FFFFFF81 +GPR3 0000000000000040 +GPR4 000000008003FFFF +GPR5 000000000000007F +GPR6 FFFFFFFFFFFF8F87 +GPR7 0000000000000000 +GPR8 00000000FFF77078 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000090000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000090000000 +GPR15 00000000A0080000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFF00088F87 +GPR19 0000000000000000 +GPR20 00000000A0080000 +GPR21 0000000000000040 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 FFFFFFFF00088F87 +GPR25 FFFFFFFF00088F87 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003DD01051 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A007FFFF + diff --git a/tests/530.bin b/tests/530.bin index ab66885..145099c 100644 Binary files a/tests/530.bin and b/tests/530.bin differ diff --git a/tests/530.out b/tests/530.out index 6a1c1a5..8d1f317 100644 --- a/tests/530.out +++ b/tests/530.out @@ -1,32 +1,37 @@ -REG F0000000000026D7 -REG 0FFFFFFFFFFFE350 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000003B2200003B2 -REG E000006EFFFFE26F -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000EC8 -REG 0000000000000000 -REG 00072C004CD20400 -REG FFC000000FA0BBBF -REG 00000000FFFFF000 -REG 0FFFFFFFFFFFE000 -REG 0000000000000303 -REG 0FFFFFFFFFFF9D3E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFE00000000000 -REG FFFFFFFFFFFFE6FE -REG 00072C0000000400 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00001D9100001D91 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFE6FE -REG 0FFFFFFFFFFFE350 -REG 00000000000000B2 -REG 0000FF0000000000 -REG 000000010A081100 +GPR0 000000000001C054 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000040 +GPR9 01FFE9259776F3D5 +GPR10 0000000000000000 +GPR11 FE0016DA6889671E +GPR12 0000000000005AF3 +GPR13 0000000000000000 +GPR14 0000000000006BD0 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFC0 +GPR18 0000000000006BD0 +GPR19 0000000000000000 +GPR20 0000000000005AF3 +GPR21 000000000000009C +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFBF +GPR30 0000000000000000 +GPR31 +CR 000000003F99F0FE +LR 0000000000000380 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/531.bin b/tests/531.bin index 239cd60..017c63c 100644 Binary files a/tests/531.bin and b/tests/531.bin differ diff --git a/tests/531.out b/tests/531.out index b40f828..5428f4d 100644 --- a/tests/531.out +++ b/tests/531.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFD5 -REG 00000000000000FE -REG 0000000000000280 -REG 00000000000000FF -REG 00000000000000FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFD800000 -REG 0000002000000280 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000018 -REG 0000000000000020 -REG 0000000000000040 -REG FFFFFFFFFFFFA79E -REG 0000001000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG 0100000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000008 -REG 0000000000010287 -REG 000000000001027F -REG 0000000000000000 -REG 000000004B108E11 +GPR0 0000000000000000 +GPR1 0000000100000001 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000040 +GPR25 FFFFFFFFFFFFE54A +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000004 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033115558 +LR 0000000000000000 +CTR 0000000000004E0F +XER 0000000080000000 + diff --git a/tests/532.bin b/tests/532.bin index 3df520e..3d2a667 100644 Binary files a/tests/532.bin and b/tests/532.bin differ diff --git a/tests/532.out b/tests/532.out index 370f0fe..83820cf 100644 --- a/tests/532.out +++ b/tests/532.out @@ -1,32 +1,37 @@ -REG 000000100000697C -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000004 -REG FFFFFFFFFFFDFFFF -REG 0000000000000020 -REG C000000000000000 -REG FFFFFFFFDBFFFB7C -REG 0000000024000484 -REG 0000000000000002 -REG 0000000000000040 -REG 0000000004800024 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFEB9D -REG 0000000000000004 -REG 0000F8090000F809 -REG 0000000000000000 -REG FFFDC4E30004A7D3 -REG 0000000004804164 -REG 000000000007E000 -REG 0000000000000000 -REG C000000000000000 -REG 0200000000000000 -REG 0000000000000000 -REG 0000000024000000 -REG 0000000001A31F4D -REG 0000000004800023 -REG FFFFFFFFFFFFEB9D -REG 00000000000C0000 -REG 0000000080000010 +GPR0 FFFFFFFFFFFE235F +GPR1 0000000000000015 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFE04 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 4000060240000602 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000003012 +GPR13 0000000000000015 +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000020 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000211 +GPR22 0000000000000004 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFDEC +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050490E53 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/533.bin b/tests/533.bin index d704d36..6acdf51 100644 Binary files a/tests/533.bin and b/tests/533.bin differ diff --git a/tests/533.out b/tests/533.out index 1495d32..644f77c 100644 --- a/tests/533.out +++ b/tests/533.out @@ -1,32 +1,37 @@ -REG 00000000195F1562 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000010000 -REG 0000000000000001 -REG 00000000000096FF -REG 0000000000000000 -REG 0010002400000000 -REG 0000000000000000 -REG FFFFFFFFFFFE69E0 -REG FFFFFFFFFFFFFFDF -REG 0000000000000040 -REG 0000000000000000 -REG 00000000195F236F -REG FFFFFFFFFFFE6A00 -REG 000000001FFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 00000000000000FF -REG 0000000006A0C801 -REG FFFFFFFFFFFF3504 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080809110 +GPR0 FFFFFFFFC8293685 +GPR1 16F6DF7816F6DF78 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFF679ED +GPR5 FFFFFFFF89A319E4 +GPR6 FFFFFFFFBE7408DF +GPR7 0000000000098611 +GPR8 0000000000000000 +GPR9 000000FFFFFFFFFF +GPR10 00000000418BF720 +GPR11 00000000418BF721 +GPR12 000000000001C008 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000100418BF720 +GPR17 00000000418B2D60 +GPR18 FFFFFFFFFFFE3FE0 +GPR19 0000000000000000 +GPR20 00000001FFFFFE41 +GPR21 001FFFFFE4141A42 +GPR22 0000000000098612 +GPR23 000000FFFFFFFFFF +GPR24 44A0661844A06618 +GPR25 00000000000056A8 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000001 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 0000000050506903 +LR 0000000000000001 +CTR FFFFFFFFFFFF8EFD +XER 0000000080040000 + diff --git a/tests/534.bin b/tests/534.bin index 1819e21..e2904d7 100644 Binary files a/tests/534.bin and b/tests/534.bin differ diff --git a/tests/534.out b/tests/534.out index 5b66375..51544ac 100644 --- a/tests/534.out +++ b/tests/534.out @@ -1,32 +1,37 @@ -REG FFFFFFDF58A3D608 -REG 0000000000000000 -REG 28319E5A28309E5A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG B5F5396DC67968A0 -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFFFFF -REG 0000000000000003 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFD620 -REG FFFFFFFFFFFFD628 -REG 00000000000C8000 -REG FFFFFFFF000000FF -REG 0000000000000010 -REG FFFFFFFFFFFF58A0 -REG 0003FFFFFFFFFFFF -REG FFFFFFFF000000FF -REG FFFFFFFFFFFF58A0 -REG FFFFFFDFFFFFD608 -REG 0007FFFFFFFFF58A -REG 00000000B0BA0000 -REG 00000000000C7FFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFD628 -REG 0000000000000000 -REG 00000000000011C6 -REG 0000000000000000 -REG 000000011FF01001 +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFF99000029 +GPR6 000000000001C020 +GPR7 0000000000248FAC +GPR8 0000002000000020 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE3FDF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000005F30 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000098FE4008 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000099000029 +GPR27 0000000000000000 +GPR28 000000000000002F +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039000029 +LR 0000000000000000 +CTR FFFFFFFFFFFFF0FE +XER 00000000E00C0000 + diff --git a/tests/535.bin b/tests/535.bin index 66ec2d6..86a0654 100644 Binary files a/tests/535.bin and b/tests/535.bin differ diff --git a/tests/535.out b/tests/535.out index 4e467bd..779aa5e 100644 --- a/tests/535.out +++ b/tests/535.out @@ -1,32 +1,37 @@ -REG 000006000101050D -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000100000207B472 -REG 0000000000000000 -REG FFFFFFFFFFFFC8FF -REG 0000000000000002 -REG 0000000000000000 -REG 000000000000003D -REG 0000000000000000 -REG 0001000002080505 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000FC002C9E37AA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007828 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0002020000000000 -REG 000000000000000C -REG 000000000000001C -REG 0000000048008480 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000005E00000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFD0000023D +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000008000692E +GPR18 0000000000001DF5 +GPR19 FFFFFFFD0000023D +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000023A7F +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000027800000 +GPR31 +CR 0000000039881025 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008000692E + diff --git a/tests/536.bin b/tests/536.bin index d96bb72..207f0b7 100644 Binary files a/tests/536.bin and b/tests/536.bin differ diff --git a/tests/536.out b/tests/536.out index d1fd127..df7dc97 100644 --- a/tests/536.out +++ b/tests/536.out @@ -1,32 +1,37 @@ -REG 00000000D5D64C00 -REG 000000000000001F -REG 000000002A29E5CA -REG 0000000018F3251D -REG 0000000000000001 -REG FFFFFFFF886EC3CD -REG 0000000000005611 -REG AE06BC5680000000 -REG 00000060AEF10000 -REG FFFFFFFFFFFFFFFF -REG 0000000FFFFFFFFF -REG 435BFFFFFFFFFFFF -REG 0000000000000025 -REG 0000000042100020 -REG 00000000FFFFA9EF -REG 0000000000000700 -REG 0000000000000000 -REG 0000000000000108 -REG 000000004210006D -REG FFF7FFE000000000 -REG 0000006000000000 -REG FFF7FFE000000000 -REG FFFFFFFFFFFF90C9 -REG 0000000000000040 -REG 9E77FFEF43100020 -REG 9E77FFEF43100020 -REG 0000FC001FFFFC01 -REG 0000000000000001 -REG 0042100020000000 -REG FFFF03FF800003CC -REG 0000000000000000 -REG 000000009FE88448 +GPR0 0000000000000000 +GPR1 0000000090000000 +GPR2 0000000000000000 +GPR3 0000001400000014 +GPR4 000000000000000A +GPR5 0000000000000002 +GPR6 FFFFFFFFFFFFF800 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000014 +GPR10 0000000000000000 +GPR11 0000000002000000 +GPR12 0000000000003B2F +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000050000000 +GPR15 0000000000000000 +GPR16 0000000000000014 +GPR17 0000000000000014 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000006 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000004F +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000006 +GPR29 0000000000000000 +GPR30 FFFFFFFF3D39C7AF +GPR31 +CR 000000005009C0D4 +LR FFFFFFFF8E379F00 +CTR 0000000044B00000 +XER 0000000080000000 + diff --git a/tests/537.bin b/tests/537.bin index da81cab..a9e8d3b 100644 Binary files a/tests/537.bin and b/tests/537.bin differ diff --git a/tests/537.out b/tests/537.out index ffef627..3854d13 100644 --- a/tests/537.out +++ b/tests/537.out @@ -1,32 +1,37 @@ -REG 0000200000051114 -REG 00000000000057E2 -REG 0000000000000000 -REG 00000000000057E2 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 00000000004DFFFD -REG FFFFFFFFFFFFFFFF -REG 00000000000057E2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000400000 -REG 0000000000000000 -REG 00000000001E0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000057E2 -REG 0000000000000040 -REG DE097D61BEC961D4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0002000000000000 -REG 0000000000000000 -REG 00000000004DFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040806890 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000008109 +GPR3 FFFFFFFFFFFFFFDF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFD7AFFFFF +GPR7 0000000000000000 +GPR8 0000000011D50020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000005 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFE0 +GPR15 0000000000000000 +GPR16 2850749600000000 +GPR17 FFFFFFFFFFFFAB0D +GPR18 0000000000008129 +GPR19 00000D6083F054F3 +GPR20 0000000000000000 +GPR21 0000000000000006 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000D6083F0A5C3 +GPR25 0000000000000005 +GPR26 0000000000000000 +GPR27 0000000003FFE000 +GPR28 0000000000000000 +GPR29 0000000080000000 +GPR30 0000000000000000 +GPR31 +CR 000000005D457597 +LR FFFFFFFFFC000000 +CTR 000000000000001B +XER 0000000080000000 + diff --git a/tests/538.bin b/tests/538.bin index 6f06559..1ec7886 100644 Binary files a/tests/538.bin and b/tests/538.bin differ diff --git a/tests/538.out b/tests/538.out index 02eaa93..2e75fee 100644 --- a/tests/538.out +++ b/tests/538.out @@ -1,32 +1,37 @@ -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000012 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG FFFFFFFFFFFFC9D9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFA39C -REG 0540000000000001 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000100000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 000000008801E800 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000F1F30020 +GPR4 000000006E5B0000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFF90000000 +GPR9 0000000000000000 +GPR10 0000000090000000 +GPR11 FFFFEEC000000000 +GPR12 000000000001C020 +GPR13 000000000001C01F +GPR14 0000000000000000 +GPR15 FFFFFFFFF9FFA658 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000000000038 +GPR22 0000000090000000 +GPR23 00000000000029E6 +GPR24 0000000000000001 +GPR25 FFFFFFFFFFFFD61A +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000050A0C105 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000001 +GPR31 +CR 0000000090A0C105 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080009B43 + diff --git a/tests/539.bin b/tests/539.bin index 95af256..483550b 100644 Binary files a/tests/539.bin and b/tests/539.bin differ diff --git a/tests/539.out b/tests/539.out index 5ecf475..117f633 100644 --- a/tests/539.out +++ b/tests/539.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFF9 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000700000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000700000 -REG 0000000000000019 -REG 0000000000000000 -REG 0000000000000038 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000009480 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFB5C8 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000009EFFF008 +GPR0 FFFFFFFF0001C04A +GPR1 0000000000000000 +GPR2 00000000F2970000 +GPR3 FFFFFFFFFFFE3FDF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000004FFFFFFF +GPR7 000000000001C021 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 00000000CA520000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000029460000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000DFFFE3FB0 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFF0D68FFFE +GPR25 0000000000000001 +GPR26 000000000001C04F +GPR27 FFFFFFFFFFFE3FDF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE3FDF +GPR30 0000000000000000 +GPR31 +CR 0000000044455519 +LR FFFFFFFFFFFE3FDF +CTR 0000000000000020 +XER 00000000A0040000 + diff --git a/tests/54.bin b/tests/54.bin index 13af6e6..0b1e105 100644 Binary files a/tests/54.bin and b/tests/54.bin differ diff --git a/tests/54.out b/tests/54.out index 4c2ed8d..20d585d 100644 --- a/tests/54.out +++ b/tests/54.out @@ -1,32 +1,37 @@ -REG 0000000018230A82 -REG 0000000000000000 -REG FFFFFFFFE7DCF57D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG F0000FFFFFFFF7FB -REG F0000FFFFFFFF7FB -REG 0000000000D00000 -REG 0000000044008001 -REG 0000000000000000 -REG 0000000000007FBF -REG 0000000000000000 -REG 00000000000000FC -REG 000000004400B0FF -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000017 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000400 -REG 0000000000000040 -REG FFFFFFFFFFFF7FBF -REG 0000000000003C40 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA1E3 -REG 0000000101BF7511 +GPR0 0000000000000000 +GPR1 0000000000000056 +GPR2 7077362B7077362B +GPR3 0000000000000040 +GPR4 000000000000708D +GPR5 FFFFFFFFFFFFFA00 +GPR6 00000000FFFF8F73 +GPR7 FFFFFFFA00800000 +GPR8 0000000000000000 +GPR9 FFEFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFA00FFFFFA00003 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000056 +GPR15 FFFFFFFFFFFF8F74 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000012 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 FFFFFFFFFFFF8F73 +GPR24 000000000001C00A +GPR25 0000000000000056 +GPR26 0000000000000000 +GPR27 FFF0000000000000 +GPR28 00000000000015B8 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000539B35F8 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFE3FF4 +XER 00000000C0080000 + diff --git a/tests/540.bin b/tests/540.bin index c9de9a8..8308a23 100644 Binary files a/tests/540.bin and b/tests/540.bin differ diff --git a/tests/540.out b/tests/540.out index 2d9890d..57caafa 100644 --- a/tests/540.out +++ b/tests/540.out @@ -1,32 +1,37 @@ -REG FFFFFFFFECE7E7DF -REG FFFFFFFFFFF26B83 -REG 0000000000000000 -REG 0000000000000064 -REG 00000000732E0000 -REG 0000000003BBB828 -REG 0000000003BC0000 -REG 000000010016000F -REG FFFFFFFFFFFFFFFF -REG 0028E90DF3EB0100 -REG 0000000025200000 -REG 0000000020000000 -REG 000000002BFDFDF1 -REG FFFFFFFFFFFFFF00 -REG 0000000000EE1100 -REG 0000000000000000 -REG 000000002A0B0000 -REG 000000FF000000FF -REG 0000000000000013 -REG FFFFFF00FFFFFF00 -REG FFFFFFFFFFAD3C01 -REG FFFFFFFFFFFFF7C9 -REG FFFFFFFF935C1964 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF11BF25 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF00FFFFFF00 -REG 0000000000000000 -REG 0000000000000013 -REG 000000011B008491 +GPR0 FFFFFFFFFFFF3ADB +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000026D15108 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FDE +GPR9 000000000001C022 +GPR10 00000000001FFFFE +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 BC0000000000FFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FDF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000010 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FDF +GPR25 FFFFFFFFFFFFDBCC +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003190939B +LR FFFFFFFF145CFFFE +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/541.bin b/tests/541.bin index 2a733fb..a77434e 100644 Binary files a/tests/541.bin and b/tests/541.bin differ diff --git a/tests/541.out b/tests/541.out index 8476099..c1eeb6d 100644 --- a/tests/541.out +++ b/tests/541.out @@ -1,32 +1,37 @@ -REG 80000013BA9574A3 -REG 000000200000001A -REG 0000000000000000 -REG 0000000097800000 -REG 0000000000000000 -REG 0000000020004088 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG FFFFFFFF9766FFFF -REG 00B73894FFFEFD95 -REG FFFFFFFF97670000 -REG 0000000000000000 -REG 0000008000000044 -REG 0000000000000000 -REG 0000000000000000 -REG 02DCE25000000000 -REG 0000000000007ED1 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDFFFBF78 -REG 0000000700000007 -REG 7FFFFFFFFFFF88ED -REG 3648C1BAC90104BB -REG 0000000020004082 -REG 0000000000000017 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00B73894FFFEFD95 -REG 0000000000000001 -REG 0000000100008104 +GPR0 005416800055D620 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 FFFFFFFFFFFFFFBF +GPR4 00000B6340000000 +GPR5 000000000001C016 +GPR6 FFFFFFFFFFFE3FE0 +GPR7 FFFFFFFFE491BE00 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000000000FF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFE000541680 +GPR16 FFFFFFE000541680 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000003FE0 +GPR20 FFFFFFE0005416C0 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000B6340000000 +GPR24 0000001FFFABE940 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 000000008003FFB0 +GPR31 +CR 000000003FF5FFFF +LR 0000000000000005 +CTR 0000000000000000 +XER 000000008003FFB0 + diff --git a/tests/542.bin b/tests/542.bin index 5c71f0f..d9b2bc3 100644 Binary files a/tests/542.bin and b/tests/542.bin differ diff --git a/tests/542.out b/tests/542.out index 2e7d1e3..ac0f7b3 100644 --- a/tests/542.out +++ b/tests/542.out @@ -1,32 +1,37 @@ -REG 000000004F004AEE -REG FF6FFFFFFFFFFE0B -REG FFFFFFFFFFFFFFB7 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFB0FFF800 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG FFFFF939EA7FFFFF -REG 0000000000000000 -REG 0000000000006276 -REG FF6FFFFFFFFFFE00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000004F000800 -REG FFFFFFEFFFFFFFEF -REG FFFFFFFFFFFF9D7F -REG 0000000000000000 -REG FFFFFFFFFFFFFFF5 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9D7F -REG 000006C615800000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000003 -REG 000000004800080D +GPR0 000000000001C018 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000070080000 +GPR4 000000000001C018 +GPR5 0000000000000020 +GPR6 FFFFFFFFFFFFFFFD +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000FC36B7B +GPR12 FFFFFFFF8FF9C00C +GPR13 FFFFFFFFFFFFFFFE +GPR14 000000000000001C +GPR15 0000000000000000 +GPR16 0000000070080001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C018 +GPR21 0000000000000000 +GPR22 000000000001C0FF +GPR23 FFFFFFFFFFFFFFFF +GPR24 07F8000007818000 +GPR25 00000000000000FF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C00C +GPR31 +CR 0000000050021058 +LR 000000000FC3C020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/543.bin b/tests/543.bin index a9490db..019d397 100644 Binary files a/tests/543.bin and b/tests/543.bin differ diff --git a/tests/543.out b/tests/543.out index bcff270..f875cb2 100644 --- a/tests/543.out +++ b/tests/543.out @@ -1,32 +1,37 @@ -REG 000003FF917807FF -REG 0000000000000000 -REG 000000007FFF8000 -REG 0000000000000000 -REG 00000000888FF48F -REG 00000000000077A9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG FFFFFFFFFFFFFF8F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000643F -REG 0000000000000001 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 00000000888FF48F -REG 0000000000000000 -REG 0000000000000000 -REG 00000001111FE91F +GPR0 FFFFFFFFB7C3FFBE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFF81 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000014 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 000000000001C004 +GPR15 0000000000000005 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFF42600 +GPR18 0000000080000000 +GPR19 0CCCCCCCCCCCCCCC +GPR20 0000000000000000 +GPR21 0CCCCCCCCCCCCCCC +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFC9E4 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030051200 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/544.bin b/tests/544.bin index 93a62be..d79750e 100644 Binary files a/tests/544.bin and b/tests/544.bin differ diff --git a/tests/544.out b/tests/544.out index 58d5252..7bb01bb 100644 --- a/tests/544.out +++ b/tests/544.out @@ -1,32 +1,37 @@ -REG A7FFFFFFFEA9FDD9 -REG 000000000000D0BA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000004000002CAA -REG 0000000000000001 -REG 00000000FFFFD904 -REG 0000000000000031 -REG 0000000000000000 -REG 00000000000000F8 -REG 0340000000000000 -REG FFFFFFFFFFFFFFFF -REG 00003420B35E0040 -REG 0000000000000000 -REG 00000000FFFFD905 -REG FFFFFFFF000026FB -REG 0000000000000000 -REG 00000000B35E49D3 -REG 000000000000022A -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000F8000 -REG 0000000000000000 -REG 0000003F7EDB0000 -REG FFFFFFFFFFFFFFFF -REG 58000000015691E3 -REG 000000000000437F -REG 0800000000000000 -REG 000000000000359B -REG 0000000080084915 +GPR0 FFFFFFFFFFFFFFC0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFDD +GPR13 00000000FFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 000000000001C020 +GPR18 0000000000000020 +GPR19 00000000000066F8 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFD9DE +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000090093A95 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/545.bin b/tests/545.bin index 8bfc819..7542be3 100644 Binary files a/tests/545.bin and b/tests/545.bin differ diff --git a/tests/545.out b/tests/545.out index e457255..fb31aa2 100644 --- a/tests/545.out +++ b/tests/545.out @@ -1,32 +1,37 @@ -REG FFFFF8000002FA01 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000C -REG 0000000000000000 -REG FFFFFFFFFEFFFFFF -REG 0000000000001D3A -REG 0000000000000000 -REG FFFFFFDFFFFFFFE0 -REG 0000000000000000 -REG 000000000F00251F -REG 0000000000000B16 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF801FF -REG 0000000000000000 -REG FFFFFFFFFFE07FFF -REG 0000000000000000 -REG FFFE277B5FAF5AE1 -REG 7E0763EC7E0763EC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000033 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000DEE1 -REG 00000000001F8001 -REG 000003C00E9E03C0 -REG FE07E0007E0763FC -REG 0000000089081080 +GPR0 FFFFFFFFF9499F50 +GPR1 E000001FE000000F +GPR2 000000000000001D +GPR3 000000000000001A +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFE2 +GPR7 E000001FE000000F +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000014 +GPR11 0000000000000000 +GPR12 FFFFFFFFFF00003E +GPR13 0000000000000020 +GPR14 FFFFFFFFFFFFFFE2 +GPR15 0000000020040000 +GPR16 FFFFFFFFFFFFFFE3 +GPR17 00000000000CED9C +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 00000000FFFFFFE2 +GPR21 0000000020040000 +GPR22 FFFFFFFFFF00003F +GPR23 0000000000000092 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000FFFFC0 +GPR27 0000000000000002 +GPR28 000000000000001D +GPR29 000000000000001D +GPR30 0000000000000040 +GPR31 +CR 000000004FFFFF5B +LR 0000000000FFFFFF +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/546.bin b/tests/546.bin index 91b2fca..97ac5d9 100644 Binary files a/tests/546.bin and b/tests/546.bin differ diff --git a/tests/546.out b/tests/546.out index dbb1a74..0f02011 100644 --- a/tests/546.out +++ b/tests/546.out @@ -1,32 +1,37 @@ -REG F8C530F6F8C53029 -REG 0000000000000020 -REG 0007FFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG FFFFBFF7FFF83FF7 -REG 2000000000000000 -REG 0000000040000000 -REG FFFFFFFFFBFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC64E0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000A06C -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FE27AC0F38B50020 -REG 0000000000000005 -REG 0000000000000000 -REG 000000000000CF83 -REG 0000000000000000 -REG 0000000000000020 -REG 00000000CAEE0000 -REG 2000000000000000 -REG 0000000080000000 +GPR0 0000000000000040 +GPR1 FFFFFFFFC6020000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFD +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFDF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000690FFFF0 +GPR15 FFFFFFFFFFFFFF00 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000000003F +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000330523E9 +LR 000000200000001F +CTR 0000000000000000 +XER 00000000C008000F + diff --git a/tests/547.bin b/tests/547.bin index 32ffbd4..2eb2ae8 100644 Binary files a/tests/547.bin and b/tests/547.bin differ diff --git a/tests/547.out b/tests/547.out index 39991a3..7dd84b0 100644 --- a/tests/547.out +++ b/tests/547.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF3FFF -REG 0000000000000040 -REG 03FFFFFFFFFFFF01 -REG 0000000000000000 -REG FFFFFFFFFFFFF61F -REG FFFFFFFFFFFFFFFF -REG FFFFFE0100002BB7 -REG 000001FEFFFFB84E -REG 0000000000000000 -REG FFFFFFFFFFFFC0E0 -REG 00000000000049B8 -REG 000000000000084C -REG 0000000000000000 -REG 000000000000BD00 -REG 0000000047D80000 -REG 0000000000000000 -REG 0000000000080001 -REG 0000000000000000 -REG 03FFFFF8000074BA -REG 0000000000000000 -REG 0100002BB7FFF800 -REG 03FFFFFFFFFFFF01 -REG 00000000D8130000 -REG 000000000000670E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000017 -REG 000049B000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000003F1F -REG 0000000000000000 -REG 000000009FF48058 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 3800000000000000 +GPR4 0000000000000061 +GPR5 0000000000000040 +GPR6 0000000044AC0000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000080000000 +GPR9 0000002000000000 +GPR10 0000000000000005 +GPR11 0000000001000000 +GPR12 000000000000403A +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 00000000547BFFFF +GPR17 FFFFFFFF0000FFFF +GPR18 0000000000000000 +GPR19 03FFFFFFFC0003FF +GPR20 000000000001C012 +GPR21 0000000001000000 +GPR22 00000000547CC202 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000080000000 +GPR26 00000000547C0000 +GPR27 0000000080000000 +GPR28 000000000000403A +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000031990555 +LR 0000002000000000 +CTR 0000001FFFFFFFFF +XER 0000000080000000 + diff --git a/tests/548.bin b/tests/548.bin index 74e58d9..3e180dc 100644 Binary files a/tests/548.bin and b/tests/548.bin differ diff --git a/tests/548.out b/tests/548.out index f24ac60..1bec4fd 100644 --- a/tests/548.out +++ b/tests/548.out @@ -1,32 +1,37 @@ -REG 000000000001000A -REG 0002000000020000 -REG 0000000000000000 -REG 0000000000000009 -REG 0000000000001FFF -REG 000000000000001D -REG 0000000000000000 -REG 000000000000239A -REG 000000000000000D -REG FFFCC0E2E242DF5B -REG 00000000001FFFF0 -REG FFFFFFFFFFFFFFF7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFE28507AAC14 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFE000 -REG 00000003FF000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000010100 -REG FFC000000C000101 -REG 1EAB05141EAD5314 -REG 0000000000000008 -REG 0000000000000040 -REG 00000000001FFFF0 -REG 000001D7AF8553F8 -REG 0000000000000020 -REG 0000000000140000 -REG 0000000040860111 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000003FFFFFF +GPR2 000000000001C01D +GPR3 0000000000000000 +GPR4 FFFFFFFFFE000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 00000000000000D0 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFC000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000196D2278 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFC6DA003 +GPR17 000000000001C020 +GPR18 000000000000000D +GPR19 0000000000000000 +GPR20 00000000724C0000 +GPR21 FFFFFFFFFC000000 +GPR22 8DB400008DB40000 +GPR23 000000000CB6913C +GPR24 00089E0002278000 +GPR25 FFFFFFFFFFFFC034 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 724BFFFF724BFFFF +GPR30 0000000000002278 +GPR31 +CR 00000000500B8055 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040000 + diff --git a/tests/549.bin b/tests/549.bin index aabe4f2..ef81301 100644 Binary files a/tests/549.bin and b/tests/549.bin differ diff --git a/tests/549.out b/tests/549.out index 241d23b..6f87781 100644 --- a/tests/549.out +++ b/tests/549.out @@ -1,32 +1,37 @@ -REG 00000000000519A2 -REG FFFFFFFFFFFFFFF4 -REG 0000000000007684 -REG 0000000000000000 -REG 000000000000001F -REG 0000000000051983 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000002D -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000001A -REG 000000000005199D -REG FFFFFFFFFFFFFFFF -REG 0000002000000020 -REG 000000000000001E -REG 0000000000002818 -REG FFFFFFFFFFFFFFFF -REG 0000000000007684 -REG 000000000000001F -REG 0000000000000017 -REG 0000000088891089 +GPR0 000000000000D867 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFF8040105404 +GPR9 0000038040000000 +GPR10 0000000000000000 +GPR11 0000000008000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFCF95FF +GPR15 0000000000000000 +GPR16 14051A4014051A40 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 00000000C0080607 +GPR20 0000000000000000 +GPR21 0000000000002000 +GPR22 00000000000000B0 +GPR23 0000000000000000 +GPR24 00000000005D1745 +GPR25 0000000000000000 +GPR26 0000000000160000 +GPR27 0000000004000000 +GPR28 0000000000002000 +GPR29 0001C02000000020 +GPR30 0000000000160000 +GPR31 +CR 00000000583F5853 +LR FFFFFFFFFFCF95FF +CTR 000000004FFFFFFE +XER 0000000080000607 + diff --git a/tests/55.bin b/tests/55.bin index 96db3cf..64394dd 100644 Binary files a/tests/55.bin and b/tests/55.bin differ diff --git a/tests/55.out b/tests/55.out index 01abe93..bf6a170 100644 --- a/tests/55.out +++ b/tests/55.out @@ -1,32 +1,37 @@ -REG FFFFFF800007E10F -REG FFFFFFFFA0000000 -REG FFFFFF8000000001 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000280000 -REG 0000000048190BC9 -REG FFFFFFFFFFFFE03C -REG 0000000008000000 -REG FFFFFFFFFFF80010 -REG 0000000000000BC9 -REG FFFFFFFFFFFFFFFF -REG 0000000000007FFF -REG 000000000000800F -REG 000000000F3E0000 -REG 00000000000007F7 -REG 000000000000001F -REG 0000000000000037 -REG 0100000000000188 -REG FFFFFFFF00000000 -REG FFFFF92BB6771CF0 -REG 00000000FFFC0000 -REG 0000000000000BC9 -REG 0000000000000000 -REG 0000000000040000 -REG 00000000FFFFFFFF -REG 0000000060002E9F -REG 0000000000000007 -REG 07FFFFA107FFFFA1 -REG FFFFFFFFFFD00010 -REG 000000000000000F -REG 0000000050891090 +GPR0 0000000000001BC7 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFAC57C7F8 +GPR10 0000000000000000 +GPR11 0000000000001BE7 +GPR12 00FF0000FFFFE418 +GPR13 0000000090000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000028 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 00000000000053A2 +GPR27 00000000553010F2 +GPR28 0000000000000000 +GPR29 00000000119A0001 +GPR30 000000000000003F +GPR31 +CR 0000000099301952 +LR 000000000000891B +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/550.bin b/tests/550.bin index 518fee7..1bc95d4 100644 Binary files a/tests/550.bin and b/tests/550.bin differ diff --git a/tests/550.out b/tests/550.out index 31999f5..7cb4a29 100644 --- a/tests/550.out +++ b/tests/550.out @@ -1,32 +1,37 @@ -REG 0000000000540169 -REG 00007F0000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFCEFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000BE69 -REG FFFFFFFFFFFFF000 -REG 0000000000000000 -REG D589639F30FFDF40 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 56258E7CC3FF0000 -REG 0000000000000020 -REG 00007F0000000000 -REG 0000000000000000 -REG 0000000010FFC000 -REG 0000000000000000 -REG D589639F30FFC041 -REG 0000000000001000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002F008440 -REG 0000000000001000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000009E010884 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000040 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000018 +GPR11 0000000000000000 +GPR12 0000000000008000 +GPR13 0000000000000000 +GPR14 0000000000000018 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000042 +GPR18 0000000000000018 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000021 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 000000003DF5D5F9 +LR 0000000000000000 +CTR 000000000001C01D +XER 00000000C0080020 + diff --git a/tests/551.bin b/tests/551.bin index f6b993c..67e7e64 100644 Binary files a/tests/551.bin and b/tests/551.bin differ diff --git a/tests/551.out b/tests/551.out index cc24d36..0dbeeef 100644 --- a/tests/551.out +++ b/tests/551.out @@ -1,32 +1,37 @@ -REG 00000720DFFFFD0B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003F0000003F -REG 00000000000014EF -REG FFFFFFFFFFFFF645 -REG 0000001000000000 -REG 0000000000000000 -REG 0000000000000009 -REG FFFFFFFFFFFFF65A -REG 0000000000000001 -REG 0000000800000008 -REG 0000000000000001 -REG FFFFFFFFFFFFF645 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFF6 -REG 0000000000000000 -REG 0000000000000370 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF2310 -REG 0000000000006828 -REG 0000051D2000051D -REG 0000000000000009 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFF6 -REG FFFFFF2300000000 -REG 8C00000000000000 -REG 0000000000000379 -REG 000000009E1E0000 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000000040C6 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 03FFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000618C +GPR16 0000000000000000 +GPR17 00000000000048C7 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000700800 +GPR22 0000000000012AA2 +GPR23 0000000000004AE4 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0400000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFA2 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000032056424 +LR 000000000001C020 +CTR 0000000000000002 +XER 0000000080000000 + diff --git a/tests/552.bin b/tests/552.bin index f76e1b8..3293bb3 100644 Binary files a/tests/552.bin and b/tests/552.bin differ diff --git a/tests/552.out b/tests/552.out index 2f24a0b..8c8548c 100644 --- a/tests/552.out +++ b/tests/552.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFDEC2 -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAD84 -REG FFFFFFFFFFFFFFFC -REG 0000000000005EAD -REG FFFFFFFFFFFFFFF4 -REG 0000000001931800 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFAD84 -REG 000000003AB5AD84 -REG 000000003AB60000 -REG 0000000000000000 -REG 000000000000C98C -REG 0000000000005EAD -REG 000000000000C55F -REG 0000000000000001 -REG 0000000084000509 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000007FD3 -REG 000000000000002A -REG 0000000000000000 -REG 03FFFFFFFFF00000 -REG FFFFFFFFFFFFE463 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFCA6A -REG 0000000000000000 -REG 0000000000000002 -REG 0000000109000A00 +GPR0 FFFFFFFFFFFFA3B1 +GPR1 0000000000000000 +GPR2 0000000012F186A8 +GPR3 00000000D6990000 +GPR4 FFFFFFFFADC007CB +GPR5 0000000053BFFCBF +GPR6 0000000000000808 +GPR7 FFFFFFFFFFF33370 +GPR8 000000004FFFFFFF +GPR9 FFFFFFFFFFFFA148 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFCFFFFFF9 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFA148 +GPR14 000000000001C03C +GPR15 0000000000000808 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000052332BE5 +GPR20 00000000523334BA +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 000000000000000C +GPR24 FFFFFFFFFFFFCCCF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFCCCD +GPR27 0000000002000000 +GPR28 FFFFFFFFBC673370 +GPR29 0000000000000000 +GPR30 0000000020000004 +GPR31 +CR 0000000053668515 +LR FFFFFFFFFFF33370 +CTR FFFFFFFFCFFFFFF9 +XER 00000000A0042841 + diff --git a/tests/553.bin b/tests/553.bin index e0e1543..96b0782 100644 Binary files a/tests/553.bin and b/tests/553.bin differ diff --git a/tests/553.out b/tests/553.out index 2acfff7..8df691a 100644 --- a/tests/553.out +++ b/tests/553.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDF2CFFFE -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 1800000218000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 020000000000002B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDBFB8206 -REG 0000000000000000 -REG 0000000006721240 -REG 0000000000000700 -REG FFFFFFFFDBFB8206 -REG 000000002444C880 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000002000000011 -REG 0000000000000001 -REG 00000000CE190000 -REG 0000000090891090 +GPR0 000000006EB6F1F9 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 000000000001C028 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFF3139 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000484 +GPR16 000000000001F17B +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000484 +GPR20 0000000000000082 +GPR21 000000000001C014 +GPR22 0000000000000040 +GPR23 000000006EB70000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000001C +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090010909 +LR 0000000000000000 +CTR 0000000000000040 +XER 000000008003FFFF + diff --git a/tests/554.bin b/tests/554.bin index bdcf8e1..96846de 100644 Binary files a/tests/554.bin and b/tests/554.bin differ diff --git a/tests/554.out b/tests/554.out index a2f601c..56e2b98 100644 --- a/tests/554.out +++ b/tests/554.out @@ -1,32 +1,37 @@ -REG 0000267300036216 -REG E0000003E0000000 -REG 000000000000003F -REG 0000000000000000 -REG FFFFD035FFFFD075 -REG 0000000000000000 -REG 0000000000007E00 -REG FFFFFFFFFFFFBB9A -REG 0000000000005672 -REG FE14FFFFF80007FF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFA98E -REG FFFFFFFFFFFFE14F -REG 0000000000000000 -REG FFFFD98CFFFFD98C -REG 0808080808080403 -REG 0000000000000403 -REG 0000000000007E00 -REG 0808080808080403 -REG 0000000000000000 -REG 0100000000000000 -REG 0000000000000000 -REG 0008000000001EAC -REG 00000000001FFE00 -REG 0000000000000000 -REG FFFFFFFFFF3FFFC0 -REG 0000000000000000 -REG FFFFFFFFFFFC9D8C -REG 0000000000000001 -REG FFFFFFFFFFFFBB9A -REG 0000000000000040 -REG 000000009F088009 +GPR0 FFFFFFFFFFFE3F9F +GPR1 0000000000000000 +GPR2 0000039EC400E7B1 +GPR3 0000000000000000 +GPR4 0000000000000DA1 +GPR5 0000000000000000 +GPR6 00000004D4791695 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000055058B55 +GPR11 0000000000000000 +GPR12 0000039EC400E7B1 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000004 +GPR16 E45BCB51E4500011 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 000000000001C021 +GPR20 0000000055008B55 +GPR21 0000000000000000 +GPR22 0000000000000004 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000003F0001C05F +GPR31 +CR 0000000055058B55 +LR FFFFFFFFFFFFFFC0 +CTR 0000000000000040 +XER 00000000A0040000 + diff --git a/tests/555.bin b/tests/555.bin index 9c22a05..3cbd562 100644 Binary files a/tests/555.bin and b/tests/555.bin differ diff --git a/tests/555.out b/tests/555.out index fa220b1..53d6b68 100644 --- a/tests/555.out +++ b/tests/555.out @@ -1,32 +1,37 @@ -REG DB4744CF08FFFF80 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFC00E0000000 -REG 0000000000000000 -REG 000000000FFFFFFC -REG 0000000000000000 -REG 0000000000005C96 -REG FFFFFFFF92565C96 -REG 0000000000000000 -REG 0000000000000000 -REG 9CA02408BFFFFF89 -REG 0000000000000000 -REG 0008000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0400000004000000 -REG 0000000000000000 -REG 000000FF1FFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000B68A0000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000003F -REG 0008000000000020 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000088909048 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFC020 +GPR3 0000000000000000 +GPR4 0000000000F238A7 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000F238A7 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 FFFFFFFFFFFFFFFB +GPR13 FFFFFFFFFFFFC020 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C020 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 00000003107003FC +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0001C02000000020 +GPR31 +CR 00000000355FF95F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000040 + diff --git a/tests/556.bin b/tests/556.bin index 78b1321..4bc19dd 100644 Binary files a/tests/556.bin and b/tests/556.bin differ diff --git a/tests/556.out b/tests/556.out index c002fa9..0fbbea5 100644 --- a/tests/556.out +++ b/tests/556.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFF430 -REG 0000000000000000 -REG 0000000000000041 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000001E000000001 -REG FFFFFFFFFFFFF435 -REG 000000008800F005 -REG 0000000000000040 -REG 0800000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF857 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008418ADDB -REG 00000000000007A0 -REG 3FFFFFFFFFFF7FFF -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFBE -REG 0000000000000040 -REG 0000000000000000 -REG 000001E000000000 -REG 0000000000000000 -REG 000000005001E00A +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000041 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 FFFFFFFFFD9EFFF8 +GPR10 FFE3F67BFFE3F403 +GPR11 0000000000000CBD +GPR12 0000000000000040 +GPR13 0000000031197819 +GPR14 0000000000000020 +GPR15 9611A47B9611A473 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000C1605E +GPR19 0000000000000000 +GPR20 FFE3F67BFFE3F403 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000678D5B85 +GPR24 0000000000000000 +GPR25 FFFFFFFF9611A473 +GPR26 0000000000000000 +GPR27 FFFFFFFFCEE687E7 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFF342 +GPR31 +CR 0000000039098811 +LR 000000001EE58469 +CTR 0000000000000000 +XER 0000000080000004 + diff --git a/tests/557.bin b/tests/557.bin index a9dfb17..d84478b 100644 Binary files a/tests/557.bin and b/tests/557.bin differ diff --git a/tests/557.out b/tests/557.out index 91597f7..30fd927 100644 --- a/tests/557.out +++ b/tests/557.out @@ -1,32 +1,37 @@ -REG FFFFFFDFFFFFB74C -REG 30CFF3193FFB6ED0 -REG FFFFFFFFFFFFFFFF -REG 000000200000001A -REG 00FC6E568E000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000001 -REG 541415FC4195FE74 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004195FE74 -REG 0000000000000000 -REG 7FFC0CF57FFDDCF5 -REG 7FFC0CF57FFDDCF5 -REG 0000000000000000 -REG 000000004195BBE5 -REG FFFFFFFFFFFFFFFF -REG 0000000000004360 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG CF4FFFFFFFFFFFFF -REG FFFFFFFFBE6A018B -REG 30CFF3193FFB6ED0 -REG 00000000000082EF -REG 00000000991090A8 +GPR0 FFFFFFFFFFFFFFDE +GPR1 00000000FFFFE2E4 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 000000000000003A +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000E8460001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0011BEFA3F14DD8C +GPR15 00000000E8460000 +GPR16 0000000000000039 +GPR17 0000000100002095 +GPR18 FFFFFFFFFFFFE2E5 +GPR19 0000000000000400 +GPR20 FFFFFFFFFFFE3FD4 +GPR21 FFFFFFFEFFFFDF6B +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000000E8460001 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000080000000 +GPR31 +CR 0000000058460001 +LR 000000000D79434B +CTR 0000000000000020 +XER 0000000020040000 + diff --git a/tests/558.bin b/tests/558.bin index 948da7d..f38ccc1 100644 Binary files a/tests/558.bin and b/tests/558.bin differ diff --git a/tests/558.out b/tests/558.out index ed1c208..148f073 100644 --- a/tests/558.out +++ b/tests/558.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBE799A29 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 000000004186001E -REG 0000000000000000 -REG 0000000041DDC5AD -REG FE000207FFFFFFFF -REG 0000000000000000 -REG 0000000041DDA025 -REG 0000000000000000 -REG FFFFFFFFFFFE84AF -REG 0000000000200000 -REG 0000000000000000 -REG 0000000000000000 -REG FE000207FFFFF107 -REG 01FFFDF8EAAF0000 -REG 0000000000200000 -REG 000000004186001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FE000207FFFFF107 -REG 0000000000000000 -REG 0000000000000481 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000044081100 +GPR0 0000000000006F83 +GPR1 000000000000002F +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000004 +GPR12 0000000000000000 +GPR13 000000000001C006 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000004 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000000000FC +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000000000FC +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 0000000040179B97 +LR 0000000000000000 +CTR 00000000000001FF +XER 000000002005C006 + diff --git a/tests/559.bin b/tests/559.bin index 2bb40d4..9ec93e4 100644 Binary files a/tests/559.bin and b/tests/559.bin differ diff --git a/tests/559.out b/tests/559.out index 3a5d58d..989898a 100644 --- a/tests/559.out +++ b/tests/559.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000007D00000 -REG FFFFFFFFFFFFFFD6 -REG FFFFFFFFFFFFFFFF -REG 00000000000005F4 -REG 0000000000000000 -REG 000000000000002A -REG FFFFFFFFFFFFFFD6 -REG 0000000000000000 -REG FFFFFFFFFFFFFFD6 -REG FFFFC00000007FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 00000000000005F6 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000420 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 000000009E010948 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000002 +GPR4 00000000298D8775 +GPR5 FFFFFFFFEE44FF12 +GPR6 0000000027660002 +GPR7 FFFFFFFFD899FFFE +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000000000B +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000011BB010C +GPR13 000000000000001E +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000001E +GPR17 0000000000000000 +GPR18 0000000027660002 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000001E +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000998D8775 +LR FFFFFFFFFFFE3ABC +CTR 0000000000000000 +XER 0000000020040002 + diff --git a/tests/56.bin b/tests/56.bin index 416c35a..858388e 100644 Binary files a/tests/56.bin and b/tests/56.bin differ diff --git a/tests/56.out b/tests/56.out index d9b7eb1..80aa4b8 100644 --- a/tests/56.out +++ b/tests/56.out @@ -1,32 +1,37 @@ -REG FFFFFFFFE767CD69 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000040 -REG 0000000000000000 -REG 0000010000000000 -REG FFFFFFFFFFFF88AA -REG 0000000000000040 -REG FFFFFFFFFFFF906D -REG FFFFFFFFFFFF906D -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001AF4 -REG 0000000000009008 -REG 0000000000000020 -REG FFFFFFFFFFFFE50B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000001AA340 -REG FFF0000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000FFFFF8000000 -REG 0000000000000000 -REG FFFFFFFFD1F45026 -REG 0000000000000000 -REG 000000000001AA34 -REG FFFFFFFFFFFF88BF -REG 000000005E861084 +GPR0 0000000000000070 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FDF +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000004 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FE3 +GPR17 0000000000000000 +GPR18 0000000000007BAB +GPR19 000000000001C020 +GPR20 0000000030C7FFFF +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C007 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000099003907 +LR 0000000000000000 +CTR 0000000000007BAB +XER 00000000A0040000 + diff --git a/tests/560.bin b/tests/560.bin index a2d8d3c..1f6d141 100644 Binary files a/tests/560.bin and b/tests/560.bin differ diff --git a/tests/560.out b/tests/560.out index 610a6b1..85cf0a2 100644 --- a/tests/560.out +++ b/tests/560.out @@ -1,32 +1,37 @@ -REG FFFFC0FFBFFFFC25 -REG 00000000000083F9 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000ED1C -REG 0000000000000000 -REG 00003F003FFF8001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00003F003FFF8000 -REG 00000000000083F9 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBFFFFBFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000040 -REG FFFFC0FFC0007FFF -REG 00000000400003FA -REG 0000000040000400 -REG 0000000000000000 -REG FFFFFFFFDFFFFC00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000800 +GPR0 000000000000001C +GPR1 FFFFFFFFFFFFFFFD +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000020630000 +GPR5 FFFFFFFFFFFFE398 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000818BFFFFFE398 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000002 +GPR16 FFFFFFFFFFFE3FE0 +GPR17 000000000001C020 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000001C88 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000005 +GPR25 FFFFFFFFFFFFFFFC +GPR26 FFFFFFFF9E123FD7 +GPR27 0000000000000000 +GPR28 000000000001CF7E +GPR29 0000000000000000 +GPR30 0000000000001C88 +GPR31 +CR 0000000030F51FF5 +LR FFFFFFFFFFFFFFFF +CTR 000000000FFFC7F8 +XER 0000000080000000 + diff --git a/tests/561.bin b/tests/561.bin index aa9814d..c1e63d6 100644 Binary files a/tests/561.bin and b/tests/561.bin differ diff --git a/tests/561.out b/tests/561.out index 4a01deb..7f31650 100644 --- a/tests/561.out +++ b/tests/561.out @@ -1,32 +1,37 @@ -REG 4001FFF800007B75 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFF9265 -REG 0000000000000000 -REG 0001FFF800000000 -REG 0000000000000008 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000000000DBB -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000044090004 +GPR0 0000000080000004 +GPR1 00000000AE5A0000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFF527CFFFC +GPR6 0000000000000000 +GPR7 0000000000003416 +GPR8 0000000000000000 +GPR9 0000000000070080 +GPR10 0000281100000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000005000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFF527CFFFC +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000001C01F +GPR25 0000000000000000 +GPR26 FFFFFC001FFFCBE9 +GPR27 0000000000000003 +GPR28 0000000000000000 +GPR29 0000000000003416 +GPR30 0000000200000002 +GPR31 +CR 0000000085448089 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/562.bin b/tests/562.bin index 5b909dd..cb5b9d9 100644 Binary files a/tests/562.bin and b/tests/562.bin differ diff --git a/tests/562.out b/tests/562.out index 599cd6f..66c5856 100644 --- a/tests/562.out +++ b/tests/562.out @@ -1,32 +1,37 @@ -REG F0E89FFC41FB0091 -REG 0F17600000000000 -REG 0000000000F1740D -REG 0000000000000000 -REG FFFFFFFFFFFF8989 -REG FFFFFFFFFFFFFFFF -REG 000000078BA06000 -REG FFFE1D17E9FFFFF8 -REG 0000000000000000 -REG 0000000000000078 -REG 0F176000000012D2 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000003C -REG 0000000057230000 -REG 0000000057230000 -REG 0000000000000000 -REG FFFFFFFFE9FFFFF8 -REG 0000000000000000 -REG 00000000110D0000 -REG 0000000000000000 -REG 00000000000F1740 -REG 0000000000000001 -REG 000000078BE06020 -REG 00000000F2450000 -REG 00258BE72040D2FF -REG 000000000000003C -REG 0000000000000001 -REG FFDA7418E0B0A10C -REG 0000000000000000 -REG D83103C5D83103C1 -REG 0000000110000102 +GPR0 FFFFFFFFFFFFC000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000007FFFFFE +GPR7 0000000000000000 +GPR8 0000000007FFFFFE +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 FFFFFFFFFF00FFFE +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000FF0000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000007FFFFFE +GPR27 0000000000000000 +GPR28 0000000000FF0000 +GPR29 0000000000005000 +GPR30 0000002000000020 +GPR31 +CR 00000000510559B9 +LR 0000215400002154 +CTR 0000000000000000 +XER 00000000C00B4000 + diff --git a/tests/563.bin b/tests/563.bin index 6f46d0f..fd4dcd4 100644 Binary files a/tests/563.bin and b/tests/563.bin differ diff --git a/tests/563.out b/tests/563.out index c36cfe1..f724a8d 100644 --- a/tests/563.out +++ b/tests/563.out @@ -1,32 +1,37 @@ -REG 01435D35798B6B96 -REG FF00000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000033 -REG FFFFFFFFFFFFFFFF -REG C000000CC0FFFE0C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000020425080 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE567 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 6DDF003300000033 -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG CFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFD413B544B80 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000036A2 -REG 0000000000000000 -REG 000000010084A110 +GPR0 0000006000000000 +GPR1 0000000000000001 +GPR2 0000000000008963 +GPR3 0000000000000000 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000008963 +GPR14 0000000000000001 +GPR15 FFFFFFFFC62CC282 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 000000000000798A +GPR26 0000000000000000 +GPR27 0000000000000FD9 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000058920485 +LR 0000000039D33D7D +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/564.bin b/tests/564.bin index af0d989..0583156 100644 Binary files a/tests/564.bin and b/tests/564.bin differ diff --git a/tests/564.out b/tests/564.out index 8977f77..ff0a799 100644 --- a/tests/564.out +++ b/tests/564.out @@ -1,32 +1,37 @@ -REG 73DC0400BFC1FC46 -REG 0000000000000000 -REG 000000007B770000 -REG FFFFFFFF000000FF -REG 0000000000000000 -REG 0000000D20C00000 -REG 0000000000000021 -REG 0000000000000000 -REG FFFFFFFFFFFFF9FB -REG 0000000000000000 -REG F000000000000009 -REG 0000000000000100 -REG 0FFFFFFFFFFFFFFF -REG 0000000000000008 -REG 0000000000000002 -REG 0000008000000000 -REG 0000000000600000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003620000 -REG 0FFFFFFFFFFFFFF7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 000000002C430000 -REG EC23FBFFFFFFFE4C -REG 0000000000000000 -REG 0000000000000000 -REG 00000000410B0E90 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFC2CA +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFF00 +GPR30 0000000000000000 +GPR31 +CR 0000000035090009 +LR FFFFFFFFFFFFE14E +CTR FFFFFFFFFFFFFFFD +XER 00000000A0040000 + diff --git a/tests/565.bin b/tests/565.bin index f7b4445..2035fea 100644 Binary files a/tests/565.bin and b/tests/565.bin differ diff --git a/tests/565.out b/tests/565.out index f75b055..f675d9e 100644 --- a/tests/565.out +++ b/tests/565.out @@ -1,32 +1,37 @@ -REG 0000000000001560 -REG 0000000800000008 -REG 0000000000000000 -REG 0000000007FFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000018050000 -REG 0000000000000000 -REG 0000AD0000000000 -REG 0000000000000004 -REG 0000000018050001 -REG 0000000000000000 -REG 0000000000001539 -REG 0000000000000000 -REG 000000000000582D -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFE7FAFFFF -REG 8000000000000000 -REG FFFFFFFFFFFFCC00 -REG 0000000000000000 -REG 0000000004000000 -REG 0000000000000000 -REG 0000000008000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000008000000 -REG 0000000000000000 -REG 0000AD00BFAE0000 -REG 0000000089088905 +GPR0 000000008001C028 +GPR1 FFFFFFFFD9CB90EE +GPR2 000000000000000A +GPR3 00000000003646C9 +GPR4 0000000000000000 +GPR5 00000000A0040009 +GPR6 0000000000000000 +GPR7 00000000A003E048 +GPR8 00000000000001E3 +GPR9 0000000100000000 +GPR10 0000000000000007 +GPR11 FFFFFFFFFFFFFFDD +GPR12 FFFFFFFFD9410028 +GPR13 00000000000000FE +GPR14 FFFFFFFFFFFFFFFA +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFD0 +GPR17 00000000FFFFFFFF +GPR18 00000000003646C8 +GPR19 0000000080000008 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFC9B937 +GPR22 FFFFFFFFFFFFFF1F +GPR23 0000000000001FC0 +GPR24 00000000266AB5D9 +GPR25 00000000000001FC +GPR26 0000000000000000 +GPR27 000000000007F5A0 +GPR28 0000000000000000 +GPR29 FD07FFFFFFFE3FDF +GPR30 FFFFFFFFFFF80A60 +GPR31 +CR 0000000053507754 +LR 000000000007F5A0 +CTR 0000000000000007 +XER 00000000A0040009 + diff --git a/tests/566.bin b/tests/566.bin index 26b8584..183102c 100644 Binary files a/tests/566.bin and b/tests/566.bin differ diff --git a/tests/566.out b/tests/566.out index 047cb86..05bc63a 100644 --- a/tests/566.out +++ b/tests/566.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF990A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG FFFFFFFFFFFFAA26 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000007047760 -REG 0808080807040500 -REG 0000000020009F00 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFB93D900 -REG 00000000000066F5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000002 -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000005 -REG FFFFFFFFDFFF60FE -REG FFFFFFFFFFFFFFFD -REG 0000000007040500 -REG 0000000000000000 -REG 046C26BE03894107 -REG FFFFFFFFFFFFFFFC -REG 0000000000000001 -REG FFFFFFFFFFF7FFFF -REG 000000009E01E890 +GPR0 0000000000000000 +GPR1 000000002EB90000 +GPR2 000000000000000F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFF2A60 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFDF +GPR11 FFFFFFFFFFFF2A5F +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 000000002EB90000 +GPR30 000000000001C5C4 +GPR31 +CR 0000000035F99550 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00CF4A7 + diff --git a/tests/567.bin b/tests/567.bin index 5a1bb6f..a2b3370 100644 Binary files a/tests/567.bin and b/tests/567.bin differ diff --git a/tests/567.out b/tests/567.out index 345cb1c..9745da5 100644 --- a/tests/567.out +++ b/tests/567.out @@ -1,32 +1,37 @@ -REG FFFFFFFF81998000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000400000005 -REG FFFFFFFFFE2AFFFF -REG 0000000000136000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000135FFF -REG 000000000000792C -REG 0000000000000000 -REG FFFFFFFBFFFFFFFA -REG 0808080808080808 -REG FFFFFFFFFE2AFFFF -REG 10D682976F27B061 -REG 0013DF4000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFECA000 -REG 00000000815001F7 +GPR0 0000000000000000 +GPR1 000000000000000F +GPR2 0000000056E5FFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 1397340000000016 +GPR6 00000000FFFFF7FC +GPR7 0000000100000803 +GPR8 0000000000000000 +GPR9 0000000100000803 +GPR10 000000000001C020 +GPR11 000000000001C000 +GPR12 00000000FFC00000 +GPR13 0000133347698000 +GPR14 FFEEDCA718A2CFCC +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 EE01A66823110000 +GPR20 FFFFFFFFFFFFDA54 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000133347698000 +GPR24 0000000000000000 +GPR25 0000133347698000 +GPR26 00000000000027DA +GPR27 00000000FFFFF7FC +GPR28 000000000001C000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059555595 +LR 00000000FFFFF7FC +CTR FFFFFFFF000007FF +XER 0000000080000000 + diff --git a/tests/568.bin b/tests/568.bin index f57ce06..a0a0a74 100644 Binary files a/tests/568.bin and b/tests/568.bin differ diff --git a/tests/568.out b/tests/568.out index 17cf19f..5228560 100644 --- a/tests/568.out +++ b/tests/568.out @@ -1,32 +1,37 @@ -REG FDF05A0CCF4A1222 -REG 0000000000000000 -REG 000000000000806B -REG 0000000000000001 -REG FFFFFFFFBB78FFFF -REG FFFFFFFFD10071AD -REG FFFFFFFFFFFFFFFF -REG 0000000000003240 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C783 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000044870000 -REG 0000000000000000 -REG 0000000000000000 -REG 000100D600000016 -REG 0000000000000000 -REG 03FFFFFFEE54FFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000005E040100 +GPR0 000F27F8003EFFFF +GPR1 FFFFFFFFFFFFFFED +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFE3FCA +GPR6 0000000000000000 +GPR7 080000000000001C +GPR8 0000000000007FFE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFF808000 +GPR15 0800000000000009 +GPR16 0000000000000000 +GPR17 00000000007F8001 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFF807FFE +GPR24 FFFFFFFFFF808012 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000035CA959F +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0046D98 + diff --git a/tests/569.bin b/tests/569.bin index 8d5a2ce..bd1e230 100644 Binary files a/tests/569.bin and b/tests/569.bin differ diff --git a/tests/569.out b/tests/569.out index 5ceec7a..6342633 100644 --- a/tests/569.out +++ b/tests/569.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FFFFF9F5A -REG 0000000100000000 -REG 0000000000000000 -REG 000000000000F463 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000F463 -REG 0000000000000000 -REG 000000003B2B0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000001 -REG FFFFFFFF644AFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000889FFFE9 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 00000003FFFDFFFF +GPR4 0000000000000040 +GPR5 FFFFFFFFFFFBFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000002 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 FFFFFFF7FFFFD70B +GPR13 0000000000000000 +GPR14 FFFFFFFC0001FFFF +GPR15 FFFFFFFFFFFF6DBA +GPR16 0000000000000000 +GPR17 00000000000028FC +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000800000008 +GPR21 0000000090000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000004C8C0000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 00000000E00C0039 +GPR29 00000003FFFFF7FF +GPR30 0000000000000000 +GPR31 +CR 0000000093000359 +LR 0001C01F0001C01F +CTR 0000000000000000 +XER 00000000E00C0039 + diff --git a/tests/57.bin b/tests/57.bin index 9586284..be5bda7 100644 Binary files a/tests/57.bin and b/tests/57.bin differ diff --git a/tests/57.out b/tests/57.out index 3e82d82..9672766 100644 --- a/tests/57.out +++ b/tests/57.out @@ -1,32 +1,37 @@ -REG 000000000000003E -REG 0000000070000000 -REG 0000000000000000 -REG 3FFFFFFFF0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000FF5E -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFF8275 -REG 0000000000000800 -REG FFFFFFFFFFFFFFFE -REG 0000000000000040 -REG FFFFFFFFFF800040 -REG FFFFFFFFF6FFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000011 -REG 0000000000020000 -REG FFFFFFFFFF800040 -REG 0000000000000000 -REG 0000FFEAEADFFFFF -REG FFFFFFFFFFFFE719 -REG FFFFFFFFFFFFFF5E -REG 000000000000369C -REG 0000000000000000 -REG FFFFFFFFF6FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000008F109092 +GPR0 000000000025B6A2 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFCB +GPR3 00000000000031E1 +GPR4 0000000067110180 +GPR5 0000000000000000 +GPR6 000000000001C034 +GPR7 0000000000003000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFF0000001A +GPR13 000000000001C020 +GPR14 FFFFFFFFFFDA495F +GPR15 0000000000000000 +GPR16 FFFFFFFFFFDA495E +GPR17 0000000000000000 +GPR18 0000000067110180 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000FFFFFFE6 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000017355 +GPR31 +CR 000000009F51F993 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C020 + diff --git a/tests/570.bin b/tests/570.bin index 4030c21..40ef015 100644 Binary files a/tests/570.bin and b/tests/570.bin differ diff --git a/tests/570.out b/tests/570.out index 92f5481..28eae15 100644 --- a/tests/570.out +++ b/tests/570.out @@ -1,32 +1,37 @@ -REG 00000000FFFFCFAE -REG 0000000000000011 -REG 0000000000000000 -REG 00000000A3120000 -REG 0000000000000000 -REG 00000004BFF75FFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002005 -REG 0000000000000F09 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001FFF -REG 00000004BFF71FFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFE000 -REG 0000000000000000 -REG 0000000000002E6E -REG 0000000000000780 -REG 0000000000000040 -REG 0000000040001B20 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000022BC -REG 0000000000000000 -REG 0801400000000000 -REG 0000000100800908 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000007F +GPR4 000000000001563C +GPR5 000000000059A000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FE00000000000000 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 000000000001C00C +GPR14 0000000000000000 +GPR15 0000000000000002 +GPR16 0000000000000000 +GPR17 000000000001C01E +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FF8FFC0000000000 +GPR21 0001C02000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFEDC2 +GPR29 0000000000000000 +GPR30 0000000000000002 +GPR31 +CR 000000005D598250 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001FBFE + diff --git a/tests/571.bin b/tests/571.bin index 3419cce..cc9a596 100644 Binary files a/tests/571.bin and b/tests/571.bin differ diff --git a/tests/571.out b/tests/571.out index d4f2992..d8dcd29 100644 --- a/tests/571.out +++ b/tests/571.out @@ -1,32 +1,37 @@ -REG 00237E0100002A45 -REG 0000000080040400 -REG 000000000F000807 -REG 000040000000DF7F -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFE60FFFFFFFFF -REG 0000000000000000 -REG 00011BF000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFC0000001FFFFFF -REG 000000000000001A -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG FFFFFFFFFFFFFFE5 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFE60FFFFFFFFF -REG FFFFBFFFFFFFFF80 -REG 0000000000000000 -REG 460E9DFDA320D2E0 -REG FF34000000000225 -REG 0080000000000000 -REG 460E9DFDFFFDD2E0 -REG 0000000000000000 -REG 0000000000000000 -REG D7E5800000000000 -REG 3D00000028D99171 -REG 000000000000279D -REG 0000000090011011 +GPR0 000000000001C028 +GPR1 0000000000003D53 +GPR2 000000000001C020 +GPR3 FFFF1FEFFFFFBD25 +GPR4 CD9700CAC5DC01DF +GPR5 00000001FFFFFFFF +GPR6 0000000000000009 +GPR7 0000E01000007010 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 32681F253A238E10 +GPR13 0000000000003D53 +GPR14 CD97E0DAC5DC71F0 +GPR15 FFFF1FEFFFFF8FF0 +GPR16 0000000000000004 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFF7 +GPR19 0000000000000000 +GPR20 43FC0380400001C0 +GPR21 32681F253A238E10 +GPR22 0000000000000000 +GPR23 FFFF1FEFFFFF8FEF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 CD97E0DAC5DC71EE +GPR27 01FFFFFFFFFFFFFF +GPR28 0000000000000020 +GPR29 0000E01000001A56 +GPR30 0000000000000000 +GPR31 +CR 0000000090333952 +LR 0000000000020000 +CTR 0000000000000000 +XER 00000000E00E7291 + diff --git a/tests/572.bin b/tests/572.bin index 17f5995..bcac508 100644 Binary files a/tests/572.bin and b/tests/572.bin differ diff --git a/tests/572.out b/tests/572.out index 2c2e3e9..122f710 100644 --- a/tests/572.out +++ b/tests/572.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC0000BFE -REG 0000000000000020 -REG 00000000C8070000 -REG 0000000000000000 -REG 00000000D6710000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000FFFF001 -REG 00000000E8070006 -REG 0000000000000020 -REG 00000000C8070000 -REG 0000000000000020 -REG FFFFFFFFFFFFDCC1 -REG 0000000000000000 -REG 0000000000000BE0 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000020 -REG 0000000000000000 -REG 000000008CF30006 -REG 000000008CF30006 -REG 00000000D670AA3A -REG 0000000000000040 -REG 000000008CF331A5 -REG FFFFFFFFF0000FFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008110905C +GPR0 000000000001C020 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFBE +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000000003F +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000000002DDC +GPR22 FFFFFFFFFFFFFFE8 +GPR23 0000000000000040 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 FFFFFFFFFFFFFF80 +GPR27 FFFFFFFFFFFFFFC1 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003959195D +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 000000008003FFFF + diff --git a/tests/573.bin b/tests/573.bin index 9e85575..a0f0579 100644 Binary files a/tests/573.bin and b/tests/573.bin differ diff --git a/tests/573.out b/tests/573.out index 906e344..b34eae7 100644 --- a/tests/573.out +++ b/tests/573.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 00000000FFFFFDFC -REG FFFFFFFFE0000002 -REG 00000000FFFFFDFC -REG 0000000004040005 -REG 0000000000000203 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000001BC6003D -REG 0000000000000000 -REG 0808080804040803 -REG 0000000000000000 -REG 000000000000237A -REG FFFFFFFFFFBFBFBF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFB6410B00 -REG 000000000000B9C5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000001016 -REG 0000000000000000 -REG 00000000FFFFFFFE -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000001117C0A80 +GPR0 FFFFFFFFFFFFFCC7 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFF8C +GPR3 0000000000000000 +GPR4 0001FFFFE0000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFBA18B +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000000 +GPR12 FFFE00001FFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFE3FE0 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000005 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 000000000000000F +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 000000001F000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000040 +GPR30 0000000012045CAC +GPR31 +CR 000000005F944693 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/574.bin b/tests/574.bin index 98eee48..2d9a7cc 100644 Binary files a/tests/574.bin and b/tests/574.bin differ diff --git a/tests/574.out b/tests/574.out index d39bc3d..a831f98 100644 --- a/tests/574.out +++ b/tests/574.out @@ -1,32 +1,37 @@ -REG 0000000073FFB9BE -REG 0000000000000204 -REG 0000000000000000 -REG 0000000000000000 -REG 1190400000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFF87B601FFDF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000028A1 -REG 0000000000000000 -REG 0000000000000001 -REG 000000200000001B -REG 0000001FFFFFFFFF -REG 0000000000000203 -REG FFFFFFFFFFFFB99E -REG FFFFFFE000000000 -REG 0000000000000022 -REG 0000000000000000 -REG 0000001C00000016 -REG 0000001FFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000004641 -REG 0000000000000000 -REG FFFFFF87B601FFDF -REG 0000000084888688 -REG 0000000000004663 -REG 0000007849FE4641 -REG 0000001C00000016 -REG 0000001FFFFFF9FD -REG 0000000109110D10 +GPR0 0000000080000000 +GPR1 FFFFFFFF4FFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000B0000000 +GPR5 0000002000000018 +GPR6 0000000000000001 +GPR7 00000000B0000000 +GPR8 FFFFFFFF4FFFFFFF +GPR9 000000000000004C +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000000C +GPR23 0000000000000000 +GPR24 00000000B0000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000002600000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFBF +GPR30 0000000000000000 +GPR31 +CR 0000000050001413 +LR FFFFFFFFFFFFFFFE +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/575.bin b/tests/575.bin index 27c0aa9..5e30690 100644 Binary files a/tests/575.bin and b/tests/575.bin differ diff --git a/tests/575.out b/tests/575.out index f44b7c4..93624f4 100644 --- a/tests/575.out +++ b/tests/575.out @@ -1,32 +1,37 @@ -REG 00000000884691E7 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 000000000000001F -REG FFFFFFFFB7F777B8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000800 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000048088848 -REG 00000000000071FA -REG 0000000008000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAC07 -REG 0000000000000000 -REG 0000000048111090 +GPR0 0000488100004880 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FF37A126080E60E1 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000007C528958C54 +GPR9 0000000000000000 +GPR10 FFFFFFFFF8000000 +GPR11 00000000000212C0 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000008BA5C038 +GPR15 FFFFFFFEFFFFFFFF +GPR16 00000000FFFFFFFF +GPR17 0000000100000000 +GPR18 00000000F8A512C0 +GPR19 00000000000903FE +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000008 +GPR23 0000000000000000 +GPR24 000000000000000E +GPR25 00000000001FFFBF +GPR26 0000000000000000 +GPR27 FFFFFFFF00000000 +GPR28 FFFFFFFEFFFFFFFF +GPR29 0000000039197569 +GPR30 0000000000000000 +GPR31 +CR 0000000039197569 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0000000 + diff --git a/tests/576.bin b/tests/576.bin index 36d3fca..0e8f385 100644 Binary files a/tests/576.bin and b/tests/576.bin differ diff --git a/tests/576.out b/tests/576.out index 89238e9..2bbe079 100644 --- a/tests/576.out +++ b/tests/576.out @@ -1,32 +1,37 @@ -REG FFFD90A5FFC6467B -REG 0000000000000000 -REG FFFFFFFFFFFFE001 -REG 000000000000DCF2 -REG 0000000000000000 -REG FFFFFFFFFFFFB859 -REG 000000003FFB3C48 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000004 -REG 00000001FFFFFFFF -REG 0000006000000000 -REG 0000000000000000 -REG 0000000000304005 -REG 00000001FFFFFFFE -REG 0001000044410000 -REG 0000006030AD0000 -REG 000000000FFECF12 -REG 0000000000000000 -REG 0000000000000000 -REG FFFD90A5FFF6787A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000088110111 +GPR0 0000000000000848 +GPR1 00000000339B0000 +GPR2 FFFFFFFFFFFFFFBF +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFF1588C +GPR5 0000000000000000 +GPR6 0000000000000006 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000FFFFFFBF +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 FFFFFFFFFFF158CB +GPR15 000000000001C020 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000FFFFFFFF +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000003F +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFBF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000009F084000 +LR FFFFFFFFFFFFFFFF +CTR 00000000FFFFFFFF +XER 000000008003FFFF + diff --git a/tests/577.bin b/tests/577.bin index 68f7f58..1a73a6a 100644 Binary files a/tests/577.bin and b/tests/577.bin differ diff --git a/tests/577.out b/tests/577.out index b01e200..2800aa3 100644 --- a/tests/577.out +++ b/tests/577.out @@ -1,32 +1,37 @@ -REG A80006210966AF5D -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 00000000B6110001 -REG 0000000000000000 -REG 0000000000000020 -REG 58B8082201FFAE21 -REG 0000000000000001 -REG 3FFFFFFFFC000000 -REG 0000000000003EE7 -REG 4000000000B80822 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000800000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC138 -REG 57FFAE2158B80822 -REG 0000000000000020 -REG 00000000000003EE -REG 0000000000000020 -REG 000000000000001F -REG 0000000000000005 -REG 0000000101FFE80C +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFBF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 0000001F0000001F +GPR10 0000000000000400 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00003AAC00003AEC +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 FFFFFFFFFFFFFFC1 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000002 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000002000000000 +GPR31 +CR 0000000035515529 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080003AAC + diff --git a/tests/578.bin b/tests/578.bin index 514e6c1..9807b9e 100644 Binary files a/tests/578.bin and b/tests/578.bin differ diff --git a/tests/578.out b/tests/578.out index 71e3019..227f2c4 100644 --- a/tests/578.out +++ b/tests/578.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFF1842BA -REG 000000D8000000D8 -REG 000000000000003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG FFFFFFFFFFFF7C71 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000001D38 -REG 0000000000001001 -REG FFFFFFFF00004007 -REG FFFFFFFFFF1842BC -REG 000000000F000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFF1842BF -REG 000000000000001B -REG 0000000000002435 -REG FF1842BBFF1842BB -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF2EC -REG FF1842BBFF1842BB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF1873BF -REG 0000000000000000 -REG 0000000000E7BD44 -REG 000000011E500111 +GPR0 0000000000000014 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000002E +GPR6 0000000000000000 +GPR7 FFFFFFFF1FF00001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000019930000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFD2 +GPR18 00000000E00FFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000095005993 +GPR25 FFFFFFFF6AFFA95A +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 02C0000002C00000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035F03990 +LR FFFFFFFFFFFFFFFF +CTR 00000000963AFFFF +XER 000000008003FFFF + diff --git a/tests/579.bin b/tests/579.bin index 34a0756..49c497e 100644 Binary files a/tests/579.bin and b/tests/579.bin differ diff --git a/tests/579.out b/tests/579.out index a8b05b3..2e75738 100644 --- a/tests/579.out +++ b/tests/579.out @@ -1,32 +1,37 @@ -REG 000000001842FFFE -REG FFFFFFFFE79D0000 -REG FFFFFF01FFE0BC71 -REG 0000000000000000 -REG FFFFFF01FFE0BC72 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000018630338 -REG 0000000200000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFEF30006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFCFF5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFEF3A827 -REG 0000000000000001 -REG 0252B5E774040000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF8C -REG 0000000000005A19 -REG 0000000051FE9F18 +GPR0 000000007FFFC2A8 +GPR1 000000000D020020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000002000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 0000000000000040 +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000002000000001 +GPR20 FFFFFFFF80000000 +GPR21 0001290000000070 +GPR22 0000000000000000 +GPR23 000092358FAF0AD7 +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFFC2A8 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 38287A9480000000 +GPR29 0000000000000000 +GPR30 0000100000000000 +GPR31 +CR 0000000032004900 +LR 000000000001C005 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040000 + diff --git a/tests/58.bin b/tests/58.bin index 839d218..ae6f52d 100644 Binary files a/tests/58.bin and b/tests/58.bin differ diff --git a/tests/58.out b/tests/58.out index 855de18..65c7bb9 100644 --- a/tests/58.out +++ b/tests/58.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0400000004000000 -REG 000000000000ED09 -REG 0000000004000000 -REG 0000000024048F08 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 000000003FFF3742 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FFF3742 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FFF3742 -REG 0000000000000000 -REG 000000003EBF0000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000024048F08 -REG 0000000108091010 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFF0 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFBF +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFF80500001 +GPR9 FFFFFFFF00005D16 +GPR10 0000000000000000 +GPR11 400000005F000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000041 +GPR19 FFFFFFFFFF000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 000000002F000001 +GPR23 0000000030000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 03D4B60000000000 +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 000000000000003B +GPR30 0000000000000000 +GPR31 +CR 0000000054397900 +LR 00000000DCE20000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/580.bin b/tests/580.bin index ad39edc..d9db21a 100644 Binary files a/tests/580.bin and b/tests/580.bin differ diff --git a/tests/580.out b/tests/580.out index 8b00045..9440623 100644 --- a/tests/580.out +++ b/tests/580.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000006FFD7FD -REG 0004800000000000 -REG 0000002300000023 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF9 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF8000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000004 -REG FFFFFFFFFFFF0000 -REG FFFFFFFFFFFF99EB -REG 0000000007000001 -REG 0000000000000000 -REG 0000000000009121 -REG 0800000002040024 -REG 00000000004800E2 -REG 00003FFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000080 -REG FFFFFFFFFFFFFFFF -REG 0000000000000024 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000024484000 -REG FFFFFFFFFFFFFFC1 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000012 -REG 0000000041000903 +GPR0 FFE1D75088F8E8B0 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000002000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 001E28AF5F57F7B3 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C020 +GPR11 FFFFFFFFFFFFFFF0 +GPR12 000000004919FFF0 +GPR13 00000000000B0000 +GPR14 00000000FFFF26CC +GPR15 0000000000000000 +GPR16 2000000020000000 +GPR17 0000002000000020 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 000000007AB80000 +GPR21 FFFF7BBD3C513F94 +GPR22 0000000000000003 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000002 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFE +GPR29 000000005000B00F +GPR30 001E289FCA7FFFBD +GPR31 +CR 000000005000B02F +LR 0000000000000001 +CTR 0000000000000037 +XER 000000008003FFFF + diff --git a/tests/581.bin b/tests/581.bin index 2eff8a5..c0bbdcb 100644 Binary files a/tests/581.bin and b/tests/581.bin differ diff --git a/tests/581.out b/tests/581.out index 361bf45..6f3b989 100644 --- a/tests/581.out +++ b/tests/581.out @@ -1,32 +1,37 @@ -REG 0000001F28411908 -REG 0000000000000021 -REG 0000000000000000 -REG FFFFFFFFAB85FFCE -REG FEEF7FF400000000 -REG 0000000000000021 -REG FFFFFFFFFFFFFFCF -REG 00000000003C7FC9 -REG 0000000000000031 -REG 00000000003C7FC9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007A41 -REG 08320600003C0000 -REG 00000000000FF900 -REG 0000000000000005 -REG 0000000000000055 -REG 0000000000000000 -REG 0000000000007EA3 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFC3FFFF -REG 000000000000000A -REG 0004200000000000 -REG 0000000000000020 -REG 00000000547A0000 -REG 0000000000000000 -REG 00000000547A0000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000021 -REG 0000000090001100 +GPR0 000000001C600ACC +GPR1 000000000000000F +GPR2 0000000000000000 +GPR3 0000000000000002 +GPR4 0000000000000000 +GPR5 000000000000000C +GPR6 FFFFFFFE39FF535E +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 FFFFFFFFFFFFFFF1 +GPR11 000000000000000E +GPR12 FFFFFFFFC73FEA67 +GPR13 000000000000001E +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000004550 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFF0 +GPR21 FFFFFFFFFFFFFFF1 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFBAEF +GPR25 0000000000004550 +GPR26 000000000000000F +GPR27 000000000000F1BC +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000058C51558 +LR 0000000000000020 +CTR 00000000648BFFFF +XER 0000000080023F9A + diff --git a/tests/582.bin b/tests/582.bin index c5cf963..78abd82 100644 Binary files a/tests/582.bin and b/tests/582.bin differ diff --git a/tests/582.out b/tests/582.out index 34c2cf6..55d98cb 100644 --- a/tests/582.out +++ b/tests/582.out @@ -1,32 +1,37 @@ -REG FFFFFFFFE854375E -REG 0000000000004410 -REG 0000000000053858 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000CDE4C11 -REG FFFFFFFFFFFFFFFF -REG 000000000000A70F -REG 0000053878001000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000011 -REG 0000000000000000 -REG FFFFFFFFFFFF9F17 -REG 0808080808080803 -REG 0000000000001000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC8A1 -REG FFFFFFFFFFFFFFFF -REG 0000000100000000 -REG 0000000000000000 -REG 0000000008080803 -REG 000000000000A70F -REG 0000000040F80F04 -REG 0808080808080802 -REG 0000000000053878 -REG FFFFFFFFFFFFF321 -REG 000000000000A70F -REG 0000000000000000 -REG 000000009E101061 +GPR0 0001247D44BC3204 +GPR1 00000000F9700000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0001C028439D2E49 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000000006839 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000040 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000923C +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFEDB829B3E0DDB +GPR20 000000003441C069 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFEDB829B3E0DDB +GPR24 0000000000000000 +GPR25 0001247D64C1F224 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFC628 +GPR28 0000000100000001 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003441C069 +LR 00000000FFFFFFE1 +CTR FFFFFFFFFFFFC628 +XER 00000000C0080000 + diff --git a/tests/583.bin b/tests/583.bin index 782d62e..02caac3 100644 Binary files a/tests/583.bin and b/tests/583.bin differ diff --git a/tests/583.out b/tests/583.out index ce201e4..6eb49ce 100644 --- a/tests/583.out +++ b/tests/583.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFDE5 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000044F60000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 12939C6436B39C64 -REG 50489D137FFFFFFF -REG 0000000000000000 -REG 0000000044F60000 -REG 0000000000000000 -REG 2FFD64D8A8000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 62BFF59360BFF593 -REG FFFFFFFFA8000000 -REG 0000000000000040 -REG 00000000A8000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFA8000000 -REG 0000000000DD87DA -REG 0000000000000000 -REG 0000000040091108 +GPR0 0000000000000000 +GPR1 FF5F343BBFFFFFFF +GPR2 0000000000000001 +GPR3 FFFFFFFFFFFFE59B +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFFFFE7 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000006 +GPR12 0000000090000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFCFE6 +GPR22 FFFFFFFFFFFFFFF6 +GPR23 0000000000000000 +GPR24 FF5F343BB3FDFFFF +GPR25 FFFFFFFFFFFFE59A +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000000000008 +GPR29 0000000000002062 +GPR30 0000000000000000 +GPR31 +CR 0000000035599B07 +LR 0000000000000000 +CTR 000000000001C020 +XER 00000000A0040000 + diff --git a/tests/584.bin b/tests/584.bin index 9bc70d3..b4d9df5 100644 Binary files a/tests/584.bin and b/tests/584.bin differ diff --git a/tests/584.out b/tests/584.out index 104cd8a..feae58f 100644 --- a/tests/584.out +++ b/tests/584.out @@ -1,32 +1,37 @@ -REG 0000043E8CF1AAFB -REG FFFFFFFFFFFFFFF2 -REG 0000000000000000 -REG FFFFFBC1730E5525 -REG FFFFFFFFFFFFD900 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000084048FF2 -REG FFFFFFFFFFFF782E -REG FFFFFFFFFFFFFF0A -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000083CA0000 -REG 0000000000000000 -REG 00000BB100000BB1 -REG 0000000000000000 -REG FFFFFFFFFFFFDBBB -REG 00000000000000D1 -REG 00FFFFFEF8004800 -REG 0000043E8CF1AAFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000BB2 -REG 0000000000000000 -REG 00000BB100000BBC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000048091FE4 +GPR0 FFFFFFFF00000001 +GPR1 FFFFFFFFD8650001 +GPR2 0000000000000000 +GPR3 000000001BC33D47 +GPR4 0000000000000000 +GPR5 FFFFFFFFD864FFFE +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000007DE9378600 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFE43CFFFE +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 FFFFFFFFE43CC2B9 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 00000000279B0000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 00000000279B0000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000279B0000 +GPR29 0000007DE9000000 +GPR30 0000000000000000 +GPR31 +CR 00000000300B0046 +LR 00000000279B0000 +CTR 00000000000218AC +XER 0000000080000000 + diff --git a/tests/585.bin b/tests/585.bin index 7f94031..597421e 100644 Binary files a/tests/585.bin and b/tests/585.bin differ diff --git a/tests/585.out b/tests/585.out index 3b77ada..68dbcc4 100644 --- a/tests/585.out +++ b/tests/585.out @@ -1,32 +1,37 @@ -REG 00000002B0C20802 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020080804 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFE03 -REG FFFFFFFDFFFFCDD1 -REG 0000000000000000 -REG FFFFFFFDFFFFCDD2 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFDFFFFFFF8 -REG FFFFFFFFFFFF9F6F -REG 0000000000000000 -REG 000000000000001C -REG 0000000000000001 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF90CA0001 -REG FFFFFFFDFFFFFFF8 -REG FFFFFFFDFFFFFFF8 -REG FFFFFFFFFFFFFFFF -REG 000000020000000A -REG 0000000080109010 +GPR0 FFFFFFFFFFFFFFFA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000170000 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFA +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 0000000000000040 +GPR20 0000000000000060 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000003001 +GPR26 FFFFFFFFFFFFFFF9 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000AAAAAAAAA +GPR30 00000000A9543001 +GPR31 +CR 0000000033EFF3FF +LR 0000000000000040 +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/586.bin b/tests/586.bin index 29d7a71..b464f0f 100644 Binary files a/tests/586.bin and b/tests/586.bin differ diff --git a/tests/586.out b/tests/586.out index 585efac..0cc9592 100644 --- a/tests/586.out +++ b/tests/586.out @@ -1,32 +1,37 @@ -REG FFFFC00004000003 -REG FFFFFFFFFFFFFFD5 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFC00000000004 -REG 0000000000000001 -REG FFFFC000FCE30003 -REG 0000000000000000 -REG FFFFC00000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00003FFFFFFFFFFC -REG 00003FFFFFFFFFFC -REG 0000000000000004 -REG 0000000000000020 -REG FFFFC00000000004 -REG 000000000000C1E9 -REG 00003FFFFFFFFB99 -REG FFFFFFFFFFFFFFD5 -REG 000000000000300F -REG 0000000000000002 -REG 0000000000000002 -REG 0000000000000000 -REG 00000000FCE2FFFF -REG 0000000056F1FFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFA90E0001 -REG 0000300F00000000 -REG 0000000004000000 -REG 0000000084800001 +GPR0 0000000012EE2D51 +GPR1 00000000000003FF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000013FE0 +GPR5 FFFFFFFFFFFEFFFF +GPR6 0000000000000000 +GPR7 ED11D2ADED11D2AD +GPR8 0000000000000001 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 00000000000005E0 +GPR12 12EE2D5212EE2D52 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000048BDC024 +GPR15 0000000000000000 +GPR16 0000000000010000 +GPR17 ED11D2ADED11D2AD +GPR18 ED11D2ADED11D2AE +GPR19 000000000001C020 +GPR20 000000000001C024 +GPR21 0000000000000001 +GPR22 FFFFFFFFFFFEFFFF +GPR23 000E2EC0BC0D3FE5 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000004FA9 +GPR27 00000000FFFFFFFB +GPR28 00000000FFFEFFFF +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000905DD1A9 +LR ED11D2ADED11D2AD +CTR 0000000000010000 +XER 0000000080000000 + diff --git a/tests/587.bin b/tests/587.bin index b6a261f..4c1e328 100644 Binary files a/tests/587.bin and b/tests/587.bin differ diff --git a/tests/587.out b/tests/587.out index ff54963..56249a6 100644 --- a/tests/587.out +++ b/tests/587.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFC00 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFD146 -REG FFFFFFFFFFFFC779 -REG FFFFFFFFFFFFFFFE -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 000000000513CB38 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG FFFFFFFC00000000 -REG FFFFFFFFFFFFC779 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000C779 -REG 0000000000000000 -REG 0000000000006CB7 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000A -REG 0000000081000901 +GPR0 00010208208307F0 +GPR1 FFFFFFFFFFFE4DC3 +GPR2 FFFFFFFF03FFFFFE +GPR3 FFF00000014E29D1 +GPR4 00000000000000FF +GPR5 00000000014E29D1 +GPR6 FFF0000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C021 +GPR10 FFFFFFFFFFFE3FDB +GPR11 0000000000000000 +GPR12 000000C41EA13240 +GPR13 FFFFFFFF0401C023 +GPR14 000E011800000118 +GPR15 000000000001C024 +GPR16 000000000001C023 +GPR17 000000000001C023 +GPR18 FFFFFFFFFFFFF39D +GPR19 FFFFFFFF03FFFFFF +GPR20 FFFFFFFFFFFE3FDD +GPR21 0000000000000000 +GPR22 000000000000C020 +GPR23 000E014000040112 +GPR24 FFFFFFC780000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFB85F +GPR27 00000000000000FF +GPR28 FFFFFFD7FFFC0007 +GPR29 FFFFFFFFFFFE0000 +GPR30 FFFFFFD7FFFC0006 +GPR31 +CR 0000000092095099 +LR 0000000000003F00 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/588.bin b/tests/588.bin index 0eeb851..706b32b 100644 Binary files a/tests/588.bin and b/tests/588.bin differ diff --git a/tests/588.out b/tests/588.out index 01739ae..12a7f9b 100644 --- a/tests/588.out +++ b/tests/588.out @@ -1,32 +1,37 @@ -REG 0000000000000014 -REG FFFFFFFFFFFFFF7F -REG 0000000040048000 -REG 0000000000006239 -REG 0000000000840000 -REG 0000000000000010 -REG FFFFFFFFFFEF4734 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000FEFFEE -REG 0000000000000000 -REG FFFFFFFFD1310010 -REG 0000000000000010 -REG 0000000000000080 -REG 0000000000000000 -REG FFFFFFFFFF7BFFFF -REG 0000000000000013 -REG FFFFFFFFFFFFFF95 -REG 0000000000000010 -REG FFFFFFFFFFFFF4B9 -REG FFFFFFFFFFFFAD16 -REG 0000000058E10000 -REG FFFFFFFFFFFFFF80 -REG FFFFFFFFFFFFA292 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFB1B1 -REG 00000000A5D80000 -REG 0000000000000002 -REG 0000000000000013 -REG 0000000080090000 +GPR0 00000000001FFFFA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000CCB +GPR5 000000000001C01F +GPR6 0000000000000000 +GPR7 0000000000200000 +GPR8 0000000000000400 +GPR9 000000000000FFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFF200000000003F +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000008FF80000 +GPR19 0000000000000006 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000001FFFFA +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000008014 +GPR27 0000000000000000 +GPR28 000000000001C01F +GPR29 FFFFFFFFFF000000 +GPR30 0000000000000000 +GPR31 +CR 000000005303B5E0 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0070000 + diff --git a/tests/589.bin b/tests/589.bin index 4fa1a6f..d3a02fe 100644 Binary files a/tests/589.bin and b/tests/589.bin differ diff --git a/tests/589.out b/tests/589.out index d1d1d4c..8ad3901 100644 --- a/tests/589.out +++ b/tests/589.out @@ -1,32 +1,37 @@ -REG FFFFFFDFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0006FD1A00000000 -REG 0000000000000000 -REG 0000000000F00000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000F00000 -REG 0000001E00000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF8DD9FFFF -REG 00037E8C00000000 -REG 0000000000000001 -REG 0000000000040000 -REG 0000000000000000 -REG 00000011000087B3 -REG 00000000000028BF -REG 0000000000000000 -REG FFFFFFFFFFFF5110 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG FFFFFFFF8DD9FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000090411F10 +GPR0 0000000000000002 +GPR1 0000000000004B1B +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 00AA8FFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000003F8400 +GPR11 00000000003F8400 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000000001FF +GPR21 FFFFFFFFFFFFFFE4 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00AA8FFFFFFFFFFF +GPR27 000000000001C020 +GPR28 0000000000000016 +GPR29 FF55700000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000052050000 +LR FFFFFF0000000000 +CTR 00AA8FFFFFFFFFFF +XER 00000000A007FFFE + diff --git a/tests/59.bin b/tests/59.bin index c5af37c..d4a9c98 100644 Binary files a/tests/59.bin and b/tests/59.bin differ diff --git a/tests/59.out b/tests/59.out index 2b00e86..b037106 100644 --- a/tests/59.out +++ b/tests/59.out @@ -1,32 +1,37 @@ -REG 000000000000633A -REG FFFFFD48CB61C000 -REG 0000000000004587 -REG FFFFFFFFFFFFBA79 -REG 0000000000000000 -REG FFFF00FFFFFFA238 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000008000000 -REG 0000000042BA4587 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000009FFC000 -REG FFFF00FFFFFFFFFF -REG FFFFFFFFFFFFBA79 -REG FFFFFFFFFFFFBA78 -REG FFFFFFFFFFFFFBFB -REG FFFF00FFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000001 -REG 0000000100908010 +GPR0 0000000000000000 +GPR1 0000000000002A1F +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFEFC03FF800D +GPR5 07F0FFFFFFFFFFFF +GPR6 FFFFFFFFFFFFC90F +GPR7 FFFFFFFFFFFFCD9F +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFD1F4 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000103FC000C32 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000007FFFFF921F +GPR26 FFFFFFFFFFFFFFFE +GPR27 FFFFFFFFFFFFD1F4 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000001F00000000 +GPR31 +CR 0000000090050099 +LR 0000000000000000 +CTR FFFFFFFFFFFFC7BA +XER 00000000A0040000 + diff --git a/tests/590.bin b/tests/590.bin index e885a63..e07377c 100644 Binary files a/tests/590.bin and b/tests/590.bin differ diff --git a/tests/590.out b/tests/590.out index 541b0cd..8dcb72b 100644 --- a/tests/590.out +++ b/tests/590.out @@ -1,32 +1,37 @@ -REG FE1B7FFFFFFBECC4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000044FF8444 -REG 00000000000EE000 -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000000000 -REG 00000000FFFFFBFB -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000EDFFF -REG 01E4800000040000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000EDFFF -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000003904 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000012 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000045 -REG 01E4800000040000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000009000000012 -REG 00000000000EDFFF -REG 00000000491E9088 +GPR0 0000000000000000 +GPR1 00000000A8000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 000000000001C02E +GPR6 0000000000000009 +GPR7 FFFFFFFFFF000002 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000001B73 +GPR11 0000000000000000 +GPR12 00000000FFFF89DD +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFE +GPR18 FFFFFFFFFFFF89DD +GPR19 FFFFFFFF57FFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFDF +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000300D00F0 +GPR26 000000000001C020 +GPR27 000000000001C00C +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFE +GPR30 0015000000000000 +GPR31 +CR 00000000890D00F0 +LR 0000000000000000 +CTR FFFFFFFFFFFF89DD +XER 000000000001C00C + diff --git a/tests/591.bin b/tests/591.bin index b56408b..be20ad7 100644 Binary files a/tests/591.bin and b/tests/591.bin differ diff --git a/tests/591.out b/tests/591.out index 5948a80..d228290 100644 --- a/tests/591.out +++ b/tests/591.out @@ -1,32 +1,37 @@ -REG 678CD7AC0060FFBA -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFBA -REG FABCB7400000005F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001D39 -REG 0000000019E35256 -REG 00000000D6C50000 -REG 0000000000000000 -REG 0000000000000020 -REG 678CD7AC003FFFFA -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF486 -REG 678CD7AB8E41AFE3 -REG 0000000000000000 -REG FFD5E5BAFFD5E5BA -REG 00019E3000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFA9 -REG 0000000000000001 -REG FFFFFFFFFFFFE2C6 -REG 00000000000061ED -REG FFD5E5BAFFD647A7 -REG 018CD7AB8E400000 -REG FFFFFFFFFFFFFFA9 -REG 00000000408900C1 +GPR0 000000200001C03E +GPR1 0000000000040000 +GPR2 0000000000000000 +GPR3 000000001BF1FFFF +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000001C020 +GPR9 FFFFFFFFDD7B8900 +GPR10 0000000000000020 +GPR11 00000000000020C0 +GPR12 0000000020040000 +GPR13 0000000000003FE3 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0002000000020000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFE3 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 FFFFFFFFFC9B5DF3 +GPR24 000000200001C03F +GPR25 00000000F64A20C0 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000F64A20C0 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFDF3F +GPR31 +CR 0000000056F01F99 +LR 0000000000000000 +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/592.bin b/tests/592.bin index 72e031d..53b7941 100644 Binary files a/tests/592.bin and b/tests/592.bin differ diff --git a/tests/592.out b/tests/592.out index d83668c..174356a 100644 --- a/tests/592.out +++ b/tests/592.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0000000000000000 -REG 0100000020FFFFFE -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000036 -REG 0000000000000000 -REG 0100000020FF9946 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFEB13B -REG 00000000267C0000 -REG 0000000000000000 -REG 000000000003EC82 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC935AE02 -REG 0000000000000000 -REG 0000000000014EC5 -REG 0000000000000000 -REG FFFFFFFFFFFEB13B -REG 0000000020FFFFFE -REG 0000000000000000 -REG FFFFFFFFFFFEB105 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000014EC5 -REG 0000000049000100 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000007FFE +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000FFFFFFC0 +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 00AFFFFFFFF80000 +GPR18 0000000000000040 +GPR19 000000003E3B8144 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFD +GPR23 FFFFFFFFC007FFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 20AFFFFFFFFFBA2F +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFDFE00000000 +GPR31 +CR 000000003E3B8144 +LR 0000000050000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/593.bin b/tests/593.bin index 096d9be..9218ec2 100644 Binary files a/tests/593.bin and b/tests/593.bin differ diff --git a/tests/593.out b/tests/593.out index 7d8a800..eb0e00a 100644 --- a/tests/593.out +++ b/tests/593.out @@ -1,32 +1,37 @@ -REG 00003FFFF8000010 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000066A5 -REG 0000000000000FD0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFA -REG 00000000000018B6 -REG 0000780BADC20197 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFF00000000 -REG FFFFFFFAFFFFFC1A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000055360000 -REG 0000000000005E94 -REG 0000000000000000 -REG 00000000AA6C0000 -REG 00000000316BFFFF -REG 0000000000000175 -REG 0000000007FFFFFA -REG 00000005080003DF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000316C0000 -REG 0000000000000000 -REG 0000000040D80000 +GPR0 00000000A9AA0013 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0808080808080807 +GPR4 0000000000000000 +GPR5 0000000000000002 +GPR6 0000000000000000 +GPR7 6905C04000000885 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFD +GPR12 0000000000000000 +GPR13 0808080808080807 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000032 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 FFFFFFFFFFFFFFFD +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FC0000008000E2A0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000038545930 +LR 0000000000000001 +CTR 0000062158FA2600 +XER 00000000C008E010 + diff --git a/tests/594.bin b/tests/594.bin index 1ba8fe1..405ff2a 100644 Binary files a/tests/594.bin and b/tests/594.bin differ diff --git a/tests/594.out b/tests/594.out index 41942a2..fd78b9f 100644 --- a/tests/594.out +++ b/tests/594.out @@ -1,32 +1,37 @@ -REG 03000001FC7C0002 -REG FFFFFC1FFFFFFC1F -REG 0000000000000000 -REG FFFFFFFFFFFFBF2A -REG 0000001FFFFFFFF0 -REG FFFFFFFFEB7E4122 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF000 -REG 00FFFFFEFF840000 -REG 0000000000000000 -REG 0000000000000006 -REG 000000000000003F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFF87FFFF -REG 000000002E0A0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFEFCA -REG FFFFFFE01FFFFFFD -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFC1FFFFFFC1F -REG FFFFFFFFD1F5FFFF -REG 0000000000000000 -REG 00000000000030B4 -REG 0000000000001000 -REG FFFFFFFFFFFFEFC4 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFEFFF -REG 000000005C140001 +GPR0 0000000113AE0020 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000002 +GPR4 0000000090043000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000001E +GPR17 00000000A003FFF0 +GPR18 0000000030093050 +GPR19 FFFFFFFF6FFBCFFF +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFF01F +GPR22 FFFFFFFFFFFFFFFE +GPR23 FFFFFFFFFFFFFFFF +GPR24 00000000C0080000 +GPR25 0000000000000001 +GPR26 0000000000000010 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090053009 +LR 0000000000000000 +CTR 0000000000000020 +XER 0000000080000000 + diff --git a/tests/595.bin b/tests/595.bin index 6d7867f..ffee8ff 100644 Binary files a/tests/595.bin and b/tests/595.bin differ diff --git a/tests/595.out b/tests/595.out index a0eb6de..ff3ede1 100644 --- a/tests/595.out +++ b/tests/595.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE1 -REG 0000000084F804EF -REG 0000000000000100 -REG FFFFFFFFFFFFFFE1 -REG 0000000000000005 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF007D45 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040005EAA -REG FFFFFFFAFFFFFFFA -REG 0000000040000000 -REG 0000000000000005 -REG 0000000000000000 -REG 7FFFFFFFFF87FFF8 -REG FFFFFFFFE13E013B -REG FFFFFFFFBFFFA155 -REG 0000000000000000 -REG 00000000000003FE -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000018 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000115F0001E +GPR0 FFFFFFEC1767ADCC +GPR1 000000000001C020 +GPR2 00000000007E3FDF +GPR3 0000000000000000 +GPR4 000000000008FFFF +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 70087FE070007FE0 +GPR8 FFFFFFFFFFFF8A9F +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000001FFFF81C021 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFF70000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFF0 +GPR17 FFFFFFFFFFF70000 +GPR18 FFFFFFFC00000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000014CD4 +GPR22 0000000000000000 +GPR23 000001FFFF81C021 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000040 +GPR26 0000000000000010 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFF70000 +GPR29 0000000080002000 +GPR30 0000000000000000 +GPR31 +CR 00000000315595DE +LR 0000000000090000 +CTR FFFFFFFFFFFFADCC +XER 0000000080002000 + diff --git a/tests/596.bin b/tests/596.bin index cad668d..cdb0120 100644 Binary files a/tests/596.bin and b/tests/596.bin differ diff --git a/tests/596.out b/tests/596.out index bd3b693..d059ca8 100644 --- a/tests/596.out +++ b/tests/596.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 000000000000003A -REG 0000000000010000 -REG 1F85C0001F85C000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 000000060000FC2E -REG 0000000000000008 -REG 0000000000003C0C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000010020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003C0B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000014 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000003A -REG F8F7F7F7F8F7F7F7 -REG 0000000000000000 -REG FFFFFFFFFFFF8313 -REG 0000FFFF0000FFFF -REG 000000008001191D +GPR0 0000000000000000 +GPR1 0380400000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000008000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000000003A +GPR9 0000000000380480 +GPR10 00000000BE270000 +GPR11 FFFFFFFFFFFFFFE0 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000088FFFFFF +GPR15 00000000FF000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFE4A8 +GPR18 0000020000000200 +GPR19 000000000001C020 +GPR20 000000000001C024 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000007FFFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000088BFDB55 +LR 0000000000000000 +CTR DFFFFFFFFFFFFFFF +XER 000000002005C030 + diff --git a/tests/597.bin b/tests/597.bin index cd084ee..fcc91aa 100644 Binary files a/tests/597.bin and b/tests/597.bin differ diff --git a/tests/597.out b/tests/597.out index fabec5d..2f1f05e 100644 --- a/tests/597.out +++ b/tests/597.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000FF00000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 9728E80097280000 -REG 0000000000001000 -REG 9714E8009727FFFF -REG FFFFFFEA68D717FF -REG 9728E8009727FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFC07FFF -REG 003C000000000000 -REG 0000000000000004 -REG 00000005F6000000 -REG 0000000000000000 -REG 0000000040000000 -REG 00000000003F8000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 000000000000000F -REG FFFFFFFFFFFFDA4A -REG 0000000000000000 -REG FFFFFFFFFFC07FFF -REG 00000000490501E8 +GPR0 0000000400000004 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 FFFFFFFF9F76A915 +GPR7 0000000000000000 +GPR8 2E607800000007F1 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFE25CC0F +GPR12 CFBAFE6041140682 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000055955200 +GPR16 000FFFFF7FFFFFFE +GPR17 0000000000000008 +GPR18 0000000000000008 +GPR19 0000000080000000 +GPR20 0000000000000000 +GPR21 000000000000001C +GPR22 FFFFFFFF9F76A915 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFF9201 +GPR25 0000000000000000 +GPR26 0000000001D9F117 +GPR27 0000000000000000 +GPR28 000FFFFF80001DA1 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035955200 +LR 0000000000000040 +CTR FFFFFFFFFFFF9201 +XER 00000000A005C020 + diff --git a/tests/598.bin b/tests/598.bin index a5edeb8..5aba51b 100644 Binary files a/tests/598.bin and b/tests/598.bin differ diff --git a/tests/598.out b/tests/598.out index 77d0bc8..312f695 100644 --- a/tests/598.out +++ b/tests/598.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFE632C0 -REG FFFFFFFFFFFFDC54 -REG FFFFFFFFFFFFFFFF -REG 00000000000051E4 -REG FFFFFFFFFFFFFFC0 -REG 00000000000023AC -REG E632FFFFE632FFFF -REG 0000000000000000 -REG 19CD000001CD0000 -REG 00000000000023B5 -REG 0000000000000800 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG 00000000000023B5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 19CD000001CD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000023B4 -REG 00000000000023B4 -REG 0000000000000001 -REG 0000000D00000011 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDD42FFFF -REG 0000000000000000 -REG 000000005E909F01 +GPR0 FFFFFFFFF0180000 +GPR1 000000003955088F +GPR2 0000000000000800 +GPR3 0091BC25EB93E800 +GPR4 0000923784BE5289 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000923784BC9269 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFF7 +GPR15 FFFFFFFF204AFFFE +GPR16 000000000001C036 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000003 +GPR19 00000000DFB50000 +GPR20 0000000000000000 +GPR21 0000000000000008 +GPR22 000000009955088F +GPR23 0000000000000000 +GPR24 0000923784BD727D +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000E55 +GPR28 0000000000000008 +GPR29 000000000007FF00 +GPR30 000000000001C020 +GPR31 +CR 000000009955088F +LR 000000000000003C +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/599.bin b/tests/599.bin index 69293e1..fa2fdd9 100644 Binary files a/tests/599.bin and b/tests/599.bin differ diff --git a/tests/599.out b/tests/599.out index 25479e7..f3b824c 100644 --- a/tests/599.out +++ b/tests/599.out @@ -1,32 +1,37 @@ -REG 02774F753982EC9E -REG 9FFFFFFFF0159597 -REG 8000000000000000 -REG 000000000E000000 -REG 6B4B7FFDD746FFFF -REG 00001BED56D58000 -REG EFB788261315FFFF -REG DA00000000000000 -REG FFFFFFFFFFFFDE6F -REG 0000000000000007 -REG EFB788A6000308AF -REG 00000080000108AF -REG 00000080000105DA -REG 3537E7BEF806CF71 -REG EFB788A6000309F9 -REG 0000000002000000 -REG 0000000000000002 -REG 0000000100010205 -REG FFFFF380D1BB5E05 -REG 84FCF7DBC4530000 -REG E605B28503A6DBF3 -REG 00000080000108AF -REG 0000000003461D98 -REG EFB788A6000308AF -REG 0000000000000000 -REG EFB788A6000309F9 -REG FFFFDE7FFFFFDE70 -REG 0000000020434483 -REG 00001BEDE2298000 -REG 0000000000010083 -REG FFFFFBC1D7FB7E8F -REG 0000000080868909 +GPR0 0000000002F0E848 +GPR1 0000000000008000 +GPR2 FFFFFFFC7FBE03FF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000007FFF +GPR6 00000000000082F2 +GPR7 FFFFFFFFFFFFFFFF +GPR8 00000000000082F2 +GPR9 FFFFFFFFD77FDEFE +GPR10 FFFFFFFFFFFFE848 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFE8787DDD +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFC7FBE03FF +GPR18 FFFFFFFFE8787DDD +GPR19 0000000000000000 +GPR20 0000000000000007 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000007B8B +GPR27 0000000028802101 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000002F0E848 +GPR31 +CR 000000005F0459B3 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A005C003 + diff --git a/tests/6.bin b/tests/6.bin index 992f4af..c28ab02 100644 Binary files a/tests/6.bin and b/tests/6.bin differ diff --git a/tests/6.out b/tests/6.out index 3d5d6cf..c0f18c8 100644 --- a/tests/6.out +++ b/tests/6.out @@ -1,32 +1,37 @@ -REG C00000337FFFFFF1 -REG 0000000000000000 -REG 00000000000497C8 -REG FFFFFFFFFFFFD0D0 -REG 00000000000082FF -REG FFFFFFFFFFFFD0D0 -REG FFFFFFFFFFFFFFE3 -REG 000000000000001C -REG 0000000000000000 -REG 3FFFFFFF80000000 -REG 0000000000000034 -REG 0000000000000000 -REG 0000000000000000 -REG 3FFFFFFF80000001 -REG FFFFFFFFFFFFFFFF -REG C00000008000003D -REG FFFFFFDFF6330000 -REG FFFFFFFFFFFFFFFF -REG 0000002009CCFFFF -REG 0000000000000000 -REG 3FFFFFFF80000000 -REG C00000008000003D -REG FFFFFFFFC000318D -REG 0000000000000000 -REG C00000007FFFFFFF -REG 00000000B37A82FF -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000F56 -REG FFFFFFE000000000 -REG 0000000000000000 -REG 0000000100801001 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFEF613 +GPR6 0000000000000000 +GPR7 0000000000000008 +GPR8 0000000000000000 +GPR9 0000000310700400 +GPR10 000000000000DFF8 +GPR11 2F00000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000001AC9C0000 +GPR15 FD0FC00000000000 +GPR16 00000000D64E0000 +GPR17 0000000080000000 +GPR18 000000000000003B +GPR19 FFFFFFFFFFFFF274 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000DFF8 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000E000 +GPR29 FFFFFFFFFFFFC086 +GPR30 FFFFFFFFFFFEF614 +GPR31 +CR 000000003F0AF613 +LR 000000000000C9CA +CTR 00000000C0080000 +XER 0000000080000000 + diff --git a/tests/60.bin b/tests/60.bin index d316717..6f87e52 100644 Binary files a/tests/60.bin and b/tests/60.bin differ diff --git a/tests/60.out b/tests/60.out index e2f9230..35cbacd 100644 --- a/tests/60.out +++ b/tests/60.out @@ -1,32 +1,37 @@ -REG 91349D81C000601A -REG 0000000000000000 -REG 00000000090540C4 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFEA -REG FFFFFFFFFFFF9FE5 -REG FFFFFFFFFFFF9FE5 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0303040201000000 -REG E600004FC7FFFFFF -REG 0080000000000000 -REG 0000000000000039 -REG 0000000100000001 -REG 000B627E40007A3B -REG 0000000000002184 -REG FFF49D81BFFFBAB3 -REG FFFFFFFFFFFFFFFE -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000016 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFE0000 -REG FFFFFFFFFFFD9FE5 -REG FFFFFFFFFFFF9FE5 -REG 0000000000000000 -REG 0000000000000080 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000B6557FBA +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FEFFFFFF49AAEC37 +GPR23 0000000000000000 +GPR24 FEFFFFFF49AAEC37 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FEFFFFFF49AA8046 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000000515C9F9 +LR 0000000000000000 +CTR 0000000003F3FFFE +XER 0000000080000001 + diff --git a/tests/600.bin b/tests/600.bin index e510f4c..1d788ec 100644 Binary files a/tests/600.bin and b/tests/600.bin differ diff --git a/tests/600.out b/tests/600.out index 6f1e416..ea06647 100644 --- a/tests/600.out +++ b/tests/600.out @@ -1,32 +1,37 @@ -REG DB7FFBFF52FEFBFD -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFBFFFFFFFC -REG 0000000000000018 -REG 01FFFFFF867EFFFE -REG 3FF8FF3FFE000DA3 -REG FFFFFFFFDB7FFBFF -REG FFFF9FFFFF8003FF -REG 0000000000000000 -REG 0000000000000000 -REG FE000000F0000000 -REG 01FFFFFF9BA9FFFE -REG FBFFFFFFFC000000 -REG FFFFFFFFF71EFFF0 -REG 0000000000000000 -REG 0000000400000004 -REG FFFFFFFFFFFFFFFF -REG 0000000000000018 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000767EFFFF -REG DB7FFBFF24800401 -REG 0000000108000880 +GPR0 FFFFFFFFFFFFFFDF +GPR1 FFFFFFFF00000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFBE +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFDF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFE3FDF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000004123 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 0000000000004123 +GPR22 0000000000000000 +GPR23 000000000001C021 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFC468 +GPR26 000000000001C020 +GPR27 FFFFFFFFC001CF2D +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000009FF9F0E0 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0078000 + diff --git a/tests/601.bin b/tests/601.bin index b7ec474..3828d61 100644 Binary files a/tests/601.bin and b/tests/601.bin differ diff --git a/tests/601.out b/tests/601.out index ada79fc..b515984 100644 --- a/tests/601.out +++ b/tests/601.out @@ -1,32 +1,37 @@ -REG FFFFFFFFECB570B7 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000026 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000002BD2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000134A8F48 -REG 0000000000000000 -REG 0000001C00000000 -REG 0000000000005E50 -REG 0000000024488F48 -REG 0000000040008400 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000066 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000024488F22 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG 003FFFF000000000 -REG FFFFFFFFCAE10000 -REG 0000000080010800 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 D54D3453B1533133 +GPR3 FFFFFFFEFFFFEB8D +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFCDAE +GPR6 FFFFFFFFFFFC00FF +GPR7 00000000000048EB +GPR8 0000000100001473 +GPR9 23FA004123FA0041 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 FFFFFFFFFFFC00FF +GPR15 0000000000000000 +GPR16 FFFFFFFF5FF7EB8E +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFF9444 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFF +GPR23 00000000E00FFFFD +GPR24 0000000000000000 +GPR25 000000000001C00E +GPR26 0000000000000000 +GPR27 0000000000000009 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009F949400 +LR 0000000000004000 +CTR 0000000000000000 +XER 00000000E00FFFFD + diff --git a/tests/602.bin b/tests/602.bin index f8d52f8..0a57805 100644 Binary files a/tests/602.bin and b/tests/602.bin differ diff --git a/tests/602.out b/tests/602.out index ed13741..e54a537 100644 --- a/tests/602.out +++ b/tests/602.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000002000000000 -REG 000000000000001C -REG 00000000FF4C0040 -REG 0000000028404820 -REG 0000000200000000 -REG 00000000000093FB -REG 000000000000724F -REG 0000000075E90000 -REG FFFFFFFFEFFFFFFF -REG 0808080807080808 -REG 0000000000000800 -REG 0000000000000000 -REG 0098000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFD96F -REG 0000000000000000 -REG 0000002000000F6E -REG 0000000008000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000028404800 -REG 0000000000000000 -REG 00000000000093FB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000B3FFC0 -REG 0000010000000000 -REG 0000000050809041 +GPR0 FFFFC00000000780 +GPR1 0000000000000000 +GPR2 083F6DC14D9F0670 +GPR3 0000000000000008 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 00000000000006EE +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFE3FE0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000000F +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 00000000000000F0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039501557 +LR FFFFFFFF4FFFFFFF +CTR 000000000000001F +XER 00000000A007FFFF + diff --git a/tests/603.bin b/tests/603.bin index bc27767..9625efb 100644 Binary files a/tests/603.bin and b/tests/603.bin differ diff --git a/tests/603.out b/tests/603.out index 3008d74..9f55e03 100644 --- a/tests/603.out +++ b/tests/603.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFED -REG 000000000000ACF0 -REG 4246000000003FFF -REG 0000000000000000 -REG FFFFFFFFFFDFFFDF -REG 0000000000000000 -REG 800112ED80200000 -REG 0000000000000000 -REG 000000000000494B -REG 0000000000000005 -REG 0000000000022FF6 -REG 0000000000000000 -REG FFFFFAAB2798D968 -REG 007FFE55A67EC000 -REG FFFFFFFFE0005EDC -REG 0000000000000020 -REG 00000000001F8000 -REG FFE0004FE0005EDD -REG 0000000000000000 -REG 000000001FFFA123 -REG 0000000000000020 -REG 8001AA598131FF59 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG 8001AA59813F9E71 -REG 0000000000000000 -REG ED8021FFEC0021FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050410105 +GPR0 FFFFFFFFFFFFFFFA +GPR1 0000000000000000 +GPR2 0000000000001346 +GPR3 FFFFFFFFFFFFFFFA +GPR4 0000000000000050 +GPR5 00000000002A0300 +GPR6 000000000000000A +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000000 +GPR12 0000000000001344 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 FFFFFFFFFFFFDC50 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFDC50 +GPR22 00000000002A0300 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000018 +GPR30 0000000000000000 +GPR31 +CR 000000003F058020 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/604.bin b/tests/604.bin index ee69c33..edd2cfd 100644 Binary files a/tests/604.bin and b/tests/604.bin differ diff --git a/tests/604.out b/tests/604.out index 27eb0f9..d7f9045 100644 --- a/tests/604.out +++ b/tests/604.out @@ -1,32 +1,37 @@ -REG 00AE800000AF4E58 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000110537 -REG 0000000000000001 -REG 0000000000005C2C -REG 0FFFFFFFFFFFA6A9 -REG 9D6D000000000003 -REG 0000000000000000 -REG 0000000040000000 -REG 18F8400000110537 -REG 0000000500000005 -REG 00000000880021B9 -REG 6558000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000022 -REG FF517FFFFFFF0839 -REG 00000004FFEEFACE -REG 0000000000000003 -REG 0000000000000000 -REG 18F83FFF9D6D0000 -REG 000000000000063A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000AE7FFE -REG E707BFFFFFFFCA3B -REG EA2DE8DAD1701B7A -REG 000000008000E01C +GPR0 000000000000001E +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000011 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFF800000000007 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000031 +GPR10 0000000000000000 +GPR11 0000800000000000 +GPR12 0000000000000000 +GPR13 000000000000001F +GPR14 FFFFFFFFFFFE3FEE +GPR15 0000000000000000 +GPR16 0000000000020000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFF2 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000310E00C00 +GPR23 0000000C40000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000310E00C00 +GPR30 0000000000000000 +GPR31 +CR 000000005291E593 +LR 00000000000380C0 +CTR 0000000310E00C00 +XER 0000000080040000 + diff --git a/tests/605.bin b/tests/605.bin index 33298dd..ed7e906 100644 Binary files a/tests/605.bin and b/tests/605.bin differ diff --git a/tests/605.out b/tests/605.out index 760e1d4..69b19c0 100644 --- a/tests/605.out +++ b/tests/605.out @@ -1,32 +1,37 @@ -REG 6BFFFFFFFFFF875A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000300000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005024 -REG 0000000000000004 -REG 0000000000000040 -REG 000000005E33AD88 -REG 0000000000000000 -REG 0000000000005025 -REG FFFFFFFFFFFFFFBF -REG 013AD88000000005 -REG 0000000000000040 -REG 080808080808A9FE -REG 0808080808080808 -REG FFFFFFFFBB4378A6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080808 -REG 00000000015B1000 -REG 0808080808080808 -REG E07FFFFFFFFF3D5D -REG FFFFFFFFFFFF875A -REG 0808080808080807 -REG 0000000000000000 -REG 000000005E33C83A -REG 0000000000000000 -REG 0000000000000005 -REG 000000005D069099 +GPR0 FFFFFFFFFFFE68EE +GPR1 0000000118C58E10 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 FFFFFFFFFFFFFFE9 +GPR10 0000000000000000 +GPR11 FFFFFFFF00000020 +GPR12 000000000001C034 +GPR13 0000000000000000 +GPR14 0000000000007FFF +GPR15 00000000FFFFFFDF +GPR16 0000000000000000 +GPR17 0000000000019F70 +GPR18 000000000001C024 +GPR19 503280005030FFF8 +GPR20 000000000001C018 +GPR21 0000000005040205 +GPR22 000000000000A065 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 0000000000002912 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 FFFFFFFFFFFFFFDF +GPR30 0000000000000000 +GPR31 +CR 00000000559B7533 +LR 0000000000000001 +CTR 000000000000FFFF +XER 0000000080000003 + diff --git a/tests/606.bin b/tests/606.bin index 6fcc606..945d2c1 100644 Binary files a/tests/606.bin and b/tests/606.bin differ diff --git a/tests/606.out b/tests/606.out index ec5adc0..0c0adca 100644 --- a/tests/606.out +++ b/tests/606.out @@ -1,32 +1,37 @@ -REG FFFFFFFF8C433E65 -REG 59EAAF7200000000 -REG FFFFFFFFFFFFC3B6 -REG 000000002CF557BA -REG FFFFFFFFFFFF9CCC -REG 0000000000000001 -REG FFFFFFFF73FE0020 -REG 00000000E3290000 -REG 0000000020F4442F -REG FFFFFFFFFFFFFFFF -REG 000000000000002D -REG FFFFFFFFFFFFFFFF -REG 0000000046EEFFFF -REG 000000000442F000 -REG FFFFFFFFFFFF9CCC -REG FFFFFFFFB9FF0010 -REG 0000000000000000 -REG FFFFFFFFFFFFF0ED -REG FFFFFFFFFFFF9CCC -REG 000000011CC5FFF0 -REG 00000000D6C50000 -REG 000000005EB19CCB -REG 0000000000000000 -REG 0000000000000000 -REG 000000004600FFEF -REG 000000000000442F -REG 0000000020F3CFCE -REG 0000000000002300 -REG FFFFFFFFFFFF9CCC -REG 00000000D6C56333 -REG FFFFFFFFACBEFFFF -REG 0000000041E88850 +GPR0 000000C406000002 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000248D63 +GPR4 0002000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 FFFFFFFFFFFF5779 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFF0 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFF3BFFFFFFFC +GPR12 FFFFFFFFFDB729D0 +GPR13 0000000000000000 +GPR14 00000000FFFF3DEB +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFF3BFFFFFFFC +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090B00020 +LR 0000000000000020 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/607.bin b/tests/607.bin index 83c8403..14d31fd 100644 Binary files a/tests/607.bin and b/tests/607.bin differ diff --git a/tests/607.out b/tests/607.out index e988143..db01c01 100644 --- a/tests/607.out +++ b/tests/607.out @@ -1,32 +1,37 @@ -REG FBDFFFFFFBDFFFDF -REG FFFFFFF7FFFFFFF7 -REG 0000000000007CBF -REG 0000000023041DA9 -REG FFF7FFFFFFF7E000 -REG 0000000000000000 -REG 0000000000000004 -REG 0004200000002000 -REG 0000000000000000 -REG 0000000023042822 -REG 0000000000000000 -REG 0000000000000001 -REG 000000006ED40000 -REG 0000000000000005 -REG 0000000000000020 -REG FFFFFFF7FFFFFFF7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0420000004200000 -REG 0000000000000000 -REG 0000000500000000 -REG 0000000000000021 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFB -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0210000002100000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000090900884 +GPR0 FFFFFFFFFFFFFFDF +GPR1 0000000000000000 +GPR2 000000000001C01F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000010001 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE3FDF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000010200 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000005 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000031122000 +LR 0000000000000002 +CTR 0000000000000000 +XER 00000000A0040021 + diff --git a/tests/608.bin b/tests/608.bin index ae78f70..eb91bab 100644 Binary files a/tests/608.bin and b/tests/608.bin differ diff --git a/tests/608.out b/tests/608.out index d011524..88ca78a 100644 --- a/tests/608.out +++ b/tests/608.out @@ -1,32 +1,37 @@ -REG FFFFFFF4FFFFFFF2 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000CFE0CA9B -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFF3F834AB18E -REG 000000000000001D -REG 000000C07CB525A9 -REG 0000000000000000 -REG 0000000000000000 -REG 000581EFBFBCC000 -REG 0000000000000000 -REG 000000C07CB54E71 -REG 834AC0000F000000 -REG 000000000000001C -REG 000000000000001B -REG FFFFFF4A834ADA5C -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFF4FFFFFFF2 -REG FFFFFFFFFFFFFFFF -REG 000000C07CB525A9 -REG 581EFBFBCC000000 -REG 0000000000000000 -REG 0000000800000004 -REG 0000000000000004 -REG 000000000000001B -REG 581EFBFBCBFFFFFF -REG 0000000000000001 -REG 0000000041100508 +GPR0 0000000000000005 +GPR1 0000000000000000 +GPR2 0000000000000100 +GPR3 FFFFFFFFFFFFBAE2 +GPR4 0000000000000000 +GPR5 0000000000003DD4 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000005CBE +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFE3FE00001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 000000000001C02A +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFF1EE7 +GPR25 00000000FFFFFFF9 +GPR26 0000000000000018 +GPR27 0001C02000000000 +GPR28 0000000000001EEA +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000315B3B05 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080000100 + diff --git a/tests/609.bin b/tests/609.bin index d31f759..0aa7f4a 100644 Binary files a/tests/609.bin and b/tests/609.bin differ diff --git a/tests/609.out b/tests/609.out index 83c824a..08b92f2 100644 --- a/tests/609.out +++ b/tests/609.out @@ -1,32 +1,37 @@ -REG 7F8FBE5DEC9917EE -REG FFFFFFFFFFFF814F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000000001C8 -REG 0000000000400000 -REG 0000000024484000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFF9016FFFF -REG 00004CE400007200 -REG FFFFFFFFFFFFFFFF -REG 000000000000C2D1 -REG 0000000000000000 -REG FFFFFFFFFFFFA979 -REG FFFFFFFF9016FFFF -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000026720000 -REG 0000000000000002 -REG 0000000000007205 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFEDF62F47BC2D -REG 0000000000000001 -REG FFFFFFFFFFFFFFD1 -REG 0000000108908400 +GPR0 0000000010530000 +GPR1 FFFFFFFFFFFFBAC8 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFD24A +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFBAC8 +GPR10 FFFFFFFFFFFFFFFE +GPR11 000000000001C010 +GPR12 000000000001926A +GPR13 FFFFFFFFC0000000 +GPR14 000000001A110000 +GPR15 000000000FAD0000 +GPR16 0000000000000000 +GPR17 0000000000000003 +GPR18 000000008001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C010 +GPR22 0000000000000001 +GPR23 FFFFFFFF6F57FFFF +GPR24 0000000000000000 +GPR25 00000000FE01C020 +GPR26 000000008001C020 +GPR27 0000000000000004 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000090A80000 +GPR31 +CR 000000003F131407 +LR 0000000090A80000 +CTR FFFFFFFFD5543FBB +XER 00000000C009C020 + diff --git a/tests/61.bin b/tests/61.bin index 1cd9ab0..46aecfe 100644 Binary files a/tests/61.bin and b/tests/61.bin differ diff --git a/tests/61.out b/tests/61.out index 20848a9..7db82f4 100644 --- a/tests/61.out +++ b/tests/61.out @@ -1,32 +1,37 @@ -REG FFFFFC060000D3E1 -REG 000000000000139A -REG FFFFFFFFD97C0040 -REG 4EF995FBD21D05FC -REG 0000000000000000 -REG 0000000000000000 -REG 000003F9FFFFFFF3 -REG 000000000000039A -REG FFFFFFF977F005ED -REG FFFFFFFF8629C0E0 -REG 4EF995FC4EF805FC -REG 0000000000000000 -REG 0000000000000040 -REG 003FFFFC003FEFCC -REG 0000000000004A8D -REG 000000060000000C -REG BE6513D3BE657F13 -REG 0000000000000000 -REG 0000000000007F22 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF000 -REG 0000000000000000 -REG 003FFFFC003FF00C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 419513D341950E72 -REG 00000000469007E8 +GPR0 FFFE758F08DFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001FFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C01F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000094050524 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000629C3D +GPR29 00000000000072E6 +GPR30 0000000000000000 +GPR31 +CR 0000000034050524 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/610.bin b/tests/610.bin index 3c4fdce..bc1bb08 100644 Binary files a/tests/610.bin and b/tests/610.bin differ diff --git a/tests/610.out b/tests/610.out index 9778fe9..75c7121 100644 --- a/tests/610.out +++ b/tests/610.out @@ -1,32 +1,37 @@ -REG 2F74FF58B625FE5F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000006 -REG 19D3F90C0682C22C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFDFABC958FA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000100 -REG 0000000000000000 -REG FFFFFFFFA4550000 -REG 0000002000000010 -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG FFFFFFDFA81B40F9 -REG 0000000000000000 -REG C958F9ABDFFAFFFF -REG 00000000051D89AA -REG 000000000000000A -REG 0000000000000000 -REG 8000000000000000 -REG 0000000080003A27 -REG 0000000007600000 -REG 0000000000000000 -REG 36A7065430A70654 -REG C958F9ABDF7EFFAF -REG 7FF8D5307FF8D530 -REG 000000205436A706 -REG 00000000508B0000 +GPR0 0000000000000000 +GPR1 0000000000E01000 +GPR2 0000000000000000 +GPR3 000000000001C02B +GPR4 00000000A94E6DE1 +GPR5 0000000000000001 +GPR6 00000000FD770000 +GPR7 000000000000E9B0 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000006C170000 +GPR13 0000000000000000 +GPR14 000000003D376DE1 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000001 +GPR18 FFFFFFFFA6BE28A6 +GPR19 000000000001C02B +GPR20 0000000000000000 +GPR21 00000000FFFFFFFE +GPR22 0000000000000000 +GPR23 000000000000E9B0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000000E9B0 +GPR27 000000000000487C +GPR28 00000000FD76FFFF +GPR29 000000003D370000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000050050020 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080080000 + diff --git a/tests/611.bin b/tests/611.bin index c8bb778..857273d 100644 Binary files a/tests/611.bin and b/tests/611.bin differ diff --git a/tests/611.out b/tests/611.out index ce9a6aa..a91aacb 100644 --- a/tests/611.out +++ b/tests/611.out @@ -1,32 +1,37 @@ -REG 000000000310C001 -REG 57F1000000000058 -REG 0000000003100001 -REG FFFFFFFFFFFFFFFF -REG 57F1000000000058 -REG 0000000000000000 -REG 0000000300000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFFF80000000 -REG 0000000300000003 -REG 0000000000000000 -REG FFFFC00000002000 -REG FFFFE0000000674F -REG FFFDFFFFFCEFFFFF -REG FFFFFFFFFFFFFFFF -REG 0002000003100000 -REG 000000000000C000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0002000003100000 -REG 00003FFFFFFFE000 -REG FFFFFFFFFFFFFFF4 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFF800000 -REG FFFDFFFFFCEFFFFF -REG 0000000108000091 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFF000000 +GPR3 0000000030000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFF805C +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFE8 +GPR8 000000000001C020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFE8 +GPR11 FFFFFFFFD89D89DF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFF805B +GPR16 FFFFFFFFFFFFF3FD +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000006 +GPR21 000000000000DD57 +GPR22 000000000000CAD4 +GPR23 0000000000305484 +GPR24 0000000000000000 +GPR25 0000000000000008 +GPR26 000000000001C020 +GPR27 FFFFFFFC4EC4EC70 +GPR28 000000000000003D +GPR29 0001D87627627626 +GPR30 0000000000000000 +GPR31 +CR 0000000099300001 +LR FFFFFFFFFFFFFFE8 +CTR 0000000000000000 +XER 000000008003DBD1 + diff --git a/tests/612.bin b/tests/612.bin index 9c7c487..c5a9f21 100644 Binary files a/tests/612.bin and b/tests/612.bin differ diff --git a/tests/612.out b/tests/612.out index 8dc6e35..3cfcf05 100644 --- a/tests/612.out +++ b/tests/612.out @@ -1,32 +1,37 @@ -REG 000000000000FFC0 -REG 000000000000001F -REG FFFFFFFFFFFFC002 -REG 0000000000001D2C -REG 0000200000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC41A -REG FFFFFFFFFFFFFFFE -REG 0000000000000100 -REG 000000007D950100 -REG 0000000000000018 -REG 000000000000000E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001F -REG 0000000000000000 -REG 00000000000C0000 -REG FFFFFFFFFFFFFFFF -REG 00000000000050A3 -REG 0000000000003FFD -REG 8000000F80000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFE20D7FFFFE000 -REG FFFFFFFFDFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000080050A3 -REG 4000000040003586 -REG 0000000010000001 -REG FFFFFFFFFFFFFEFF -REG 0000000000003FFD -REG 0000000081E91100 +GPR0 FFFFFFFFFC09C020 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 000000000038E18C +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000070078000000 +GPR7 FFFFFFFFAFFAEC7F +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFE +GPR13 0000000003F63FDF +GPR14 0000000003F80000 +GPR15 FFFFFFFFFC080000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000090051350 +GPR18 0000003F63FDF000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C01F +GPR22 000000000000003F +GPR23 0000000000000000 +GPR24 00000000E71A0000 +GPR25 0000000000000000 +GPR26 200001C020000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE3FC7 +GPR31 +CR 0000000030951350 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFC +XER 00000000A0040000 + diff --git a/tests/613.bin b/tests/613.bin index 156f5d8..33a62d1 100644 Binary files a/tests/613.bin and b/tests/613.bin differ diff --git a/tests/613.out b/tests/613.out index 06e7801..429749b 100644 --- a/tests/613.out +++ b/tests/613.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFF8 -REG 000000005E510000 -REG 0000000000000000 -REG 000000000000002D -REG 00000000001FFFFF -REG EFFE101FF0788766 -REG 00000000001FFFDF -REG 0000000000000000 -REG EFFDFFFFFDFE1680 -REG 0000000000000001 -REG 0000000000000000 -REG 00001020007A708A -REG 0000000000001FFF -REG 0000000000520000 -REG 0000000000520001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000A3FF58DFFDF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFE00000 -REG FFFFFFFFFFFFFFE8 -REG 4000000000000000 -REG FFFFFFFFFFFFFF8A -REG 100200000201E980 -REG 000000000000207B -REG 0000000000000000 -REG F8000000003FFFFF -REG 0000000000050000 -REG 0000000048008082 +GPR0 000000200000001F +GPR1 0000000000000001 +GPR2 000000000000000F +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000005 +GPR6 0000000000000001 +GPR7 000000000001C020 +GPR8 0000000000000008 +GPR9 0000000000015DBC +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000000000F +GPR14 FFFFFFFFFFFFDF8E +GPR15 FFFFFFFFFFFFFFFB +GPR16 FFFFFFFFFFFFFFFD +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000000000B +GPR20 0000000000000333 +GPR21 0000000000500000 +GPR22 0000000000000000 +GPR23 0000000000015A89 +GPR24 FFFFFFFFFFFFDF86 +GPR25 0000000000000008 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 FFFFFFFFFFFEA576 +GPR29 0000000000500000 +GPR30 0000000000000000 +GPR31 +CR 0000000050594150 +LR FFFFFFFFAE68FFFF +CTR 0000000090000000 +XER 0000000080000000 + diff --git a/tests/614.bin b/tests/614.bin index a7d2a66..d1accd3 100644 Binary files a/tests/614.bin and b/tests/614.bin differ diff --git a/tests/614.out b/tests/614.out index a1d229b..d18f785 100644 --- a/tests/614.out +++ b/tests/614.out @@ -1,32 +1,37 @@ -REG 010600FFFFFFFFFD -REG 0000000000000000 -REG 0000000048000000 -REG D5D8004000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 010600FFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF2ED4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007564 -REG FFFFFFFFFFFFFFFF -REG 000000000D5D8004 -REG 0000000000480880 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080008008 +GPR0 FFFFFFFF9BC0FFFF +GPR1 0000000000000000 +GPR2 00000000643F0000 +GPR3 00000000AEF20000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFF1F0002 +GPR7 8040000000400000 +GPR8 0000000000000000 +GPR9 0000000050000000 +GPR10 8040000000400000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFB +GPR16 FFFFFFFFFFFFFFE8 +GPR17 0000000000000070 +GPR18 0000000000000000 +GPR19 0000000000000004 +GPR20 643F000040000000 +GPR21 FFFFFF0000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 00000000510D0002 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFE8 +GPR30 000000000000C020 +GPR31 +CR 0000000030934900 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080030002 + diff --git a/tests/615.bin b/tests/615.bin index 08a0660..65e6cd7 100644 Binary files a/tests/615.bin and b/tests/615.bin differ diff --git a/tests/615.out b/tests/615.out index ae061f5..0406c0f 100644 --- a/tests/615.out +++ b/tests/615.out @@ -1,32 +1,37 @@ -REG 202319A9241D5EDB -REG 0000000000000000 -REG 000000000001D9E9 -REG 0000000000000000 -REG 0000000000000000 -REG 00FF000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000008000 -REG FFFFFFFFFFFFFF01 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000040000000 -REG FFFFFFFFFFFFD4C1 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 202319A9241C5ED9 -REG 0000000000010000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 202319A9241C5ED9 -REG FFFFFFFFFFFFFFFF -REG FFFFFFC0FFFFFFC0 -REG 0000000051101091 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000008001C020 +GPR3 FFFFFFFFFFFFFF82 +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFEE +GPR9 0000000000000000 +GPR10 005E537AC153B888 +GPR11 FFFFFFFFFFFFFFEE +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 FFFFFFFFFFFFFFE8 +GPR16 0000000000000000 +GPR17 000000000000001F +GPR18 0000000000000001 +GPR19 0000000000000001 +GPR20 FFFFFFFFE0A9DC54 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 00000000388491BD +GPR29 0000000000000040 +GPR30 0000000000000020 +GPR31 +CR 00000000588491B9 +LR FFFFFFFFFFFFFFE8 +CTR 0000000080022DF5 +XER 00000000A005C020 + diff --git a/tests/616.bin b/tests/616.bin index dc0300e..7ba96db 100644 Binary files a/tests/616.bin and b/tests/616.bin differ diff --git a/tests/616.out b/tests/616.out index 7b92142..798906d 100644 --- a/tests/616.out +++ b/tests/616.out @@ -1,32 +1,37 @@ -REG 000001FFDF90FABE -REG 0B80000000000000 -REG 000001FFDF82FFFE -REG 000001FFDF830001 -REG 000001FFDF830001 -REG 0000000000000040 -REG 0000000000003000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002BC7 -REG 0000000000000000 -REG 000001FFDF828B8B -REG FFFFFFFFFFFFCDF3 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 000000000000000C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000FFFF -REG 0011000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005C11 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000001100481FE +GPR0 0000000000000000 +GPR1 0000000040000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFBFFFFFFF +GPR4 0000000000000010 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000000AEDD +GPR9 000000000001C030 +GPR10 000000000000000F +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFEFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000EB90000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000006400 +GPR21 FFFFFFFFFFFF04F2 +GPR22 FFFFFFFFF146FFFE +GPR23 FFFFFFFFFFFFFFEE +GPR24 0000000000006400 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000007FFFF +GPR28 000000000BFFC030 +GPR29 FFFFFFFFFFFE3FED +GPR30 FFFFFFFFFFFE3FED +GPR31 +CR 000000002041F238 +LR FFFFFFFFFFFFFFFF +CTR 000000000001C012 +XER 0000000020040000 + diff --git a/tests/617.bin b/tests/617.bin index ad4e544..8525b39 100644 Binary files a/tests/617.bin and b/tests/617.bin differ diff --git a/tests/617.out b/tests/617.out index c4099db..bd3eb0d 100644 --- a/tests/617.out +++ b/tests/617.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFA1 -REG FFFFFFFFFFFFFFBC -REG 0000000000000020 -REG FFFFFFB1FFFFFFB1 -REG 0000000042048048 -REG 0000000000000000 -REG 633C002463380004 -REG FFFFFFFFFFFF2E4D -REG 0000000000000040 -REG 1A3640001A000000 -REG FFFFFFFFFFFFFF9C -REG 00000000000008ED -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000D1B2 -REG FF000000FF000000 -REG 000000000000142D -REG 000000001810C4C0 -REG 00000000000008ED -REG 0000000000000000 -REG 0000000000050B40 -REG 0008A163000918CF -REG 0008A1630008A023 -REG FFF75E9CFFF75FDC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000D1B2 -REG 0000000000000000 -REG 0000000084000011 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000A0040000 +GPR6 00000000C0080000 +GPR7 0000000000000800 +GPR8 0000000000200000 +GPR9 07FFFFFFFFFFFF80 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 00000000001C0240 +GPR16 000000000001C020 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000008F24 +GPR22 0000000000000000 +GPR23 FFFFFFFF5D8A4CDD +GPR24 00000000A2760001 +GPR25 00000000A2760001 +GPR26 0000000000000000 +GPR27 0000000000000005 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050001CC1 +LR 0000000000000000 +CTR 07FFFFFFFFFFFF80 +XER 00000000A0040000 + diff --git a/tests/618.bin b/tests/618.bin index 949b9e7..8932ba0 100644 Binary files a/tests/618.bin and b/tests/618.bin differ diff --git a/tests/618.out b/tests/618.out index 441316d..affc3b1 100644 --- a/tests/618.out +++ b/tests/618.out @@ -1,32 +1,37 @@ -REG FFFFFFFE00000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000180 -REG 0000009E0000009E -REG 0000000069C20000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FFFFFFE00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFE000800 -REG 00000000B89E84D4 -REG 0000000000000000 -REG 0000100000000000 -REG 0000000000000000 -REG 3840000D3000000D -REG FFFFFFFFFFFFFFFF -REG A0000001A6000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFE00000000 -REG FFFFF00000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040090901 +GPR0 006AF7000060F70E +GPR1 FFFF3B56FFFF9AC9 +GPR2 FFFFFFFFFFFFFFFF +GPR3 000000000000000F +GPR4 0000C4A90000454C +GPR5 0000C4A900006535 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000006254AAA1 +GPR11 0000000000000000 +GPR12 01ABDC0001ABDC00 +GPR13 FFFFFFFF9DAAF035 +GPR14 000000000000000F +GPR15 FFFFFFFF6A6A6AF6 +GPR16 00000000010B35D2 +GPR17 FFFFFFFF9DAB555F +GPR18 0000000000000000 +GPR19 0000C4A90000C4AE +GPR20 0000000000000009 +GPR21 0000000000000013 +GPR22 0000000000000000 +GPR23 FF95CC56FF9F6DC9 +GPR24 FFFFFFFFFFFFFFF1 +GPR25 FFFF3B56FFFF3B57 +GPR26 FFFFFFFFFEF4CA2C +GPR27 0000000000000000 +GPR28 0000C4A900006536 +GPR29 0000C4A900006536 +GPR30 FFFFFFFFFFFFFFF1 +GPR31 +CR 0000000095B59509 +LR 006AF7000060F700 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/619.bin b/tests/619.bin index 5800536..97f0cda 100644 Binary files a/tests/619.bin and b/tests/619.bin differ diff --git a/tests/619.out b/tests/619.out index cfa1afc..7b502c9 100644 --- a/tests/619.out +++ b/tests/619.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF489FFFE -REG 0000000000426B9D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000040000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000426B9D -REG FFFFC00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000081080808 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFE3FE1 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000001C01F +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFBF49FFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C01F +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF9EF4 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000006BC7 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090904FFE +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/62.bin b/tests/62.bin index 6b10d40..18c5c77 100644 Binary files a/tests/62.bin and b/tests/62.bin differ diff --git a/tests/62.out b/tests/62.out index b12b901..9440ac9 100644 --- a/tests/62.out +++ b/tests/62.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000007AE10000 -REG 0000000000000000 -REG 0000000000000031 -REG FFE6AF6FFFE6AF70 -REG CCCCCCCCCCCC7D13 -REG 0000000000000009 -REG 0000000000000E72 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFECD8D7F90000 -REG 0000000000000000 -REG FFFFFFFF851F0000 -REG 000000007AE0F82B -REG 0000000000004010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000009 -REG 0000000000000000 -REG 0000000040848048 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 000000007FFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000090900490 +GPR0 00009DD700009DDF +GPR1 0000000000000000 +GPR2 0000000000000005 +GPR3 FFFFFFFFFFFFFFBD +GPR4 0000000000001020 +GPR5 000000002BF5DF58 +GPR6 0000000000000000 +GPR7 0000000000000033 +GPR8 0000000000000007 +GPR9 0000000000000008 +GPR10 0000000000000000 +GPR11 DFF536A5636C37D9 +GPR12 0000000000000000 +GPR13 0000000007FFC33C +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 8000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFF00 +GPR20 0000000000000000 +GPR21 000000000000A4BD +GPR22 DFF536A5636C37D9 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 FF00FFFE21C00100 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 000000002BF5DF58 +LR 000000000000A4BD +CTR 000000000000006C +XER 0000000000000005 + diff --git a/tests/620.bin b/tests/620.bin index 79925c9..c24dc2a 100644 Binary files a/tests/620.bin and b/tests/620.bin differ diff --git a/tests/620.out b/tests/620.out index 5b71ad3..1a9006e 100644 --- a/tests/620.out +++ b/tests/620.out @@ -1,32 +1,37 @@ -REG 21C9311328C93112 -REG FFFFFFFFFFFF9C13 -REG 000000000000D296 -REG 0000000000000020 -REG 0FFFFFFFF5FF96FE -REG FFFFFFFFFFFFFFFF -REG 000000000000001C -REG 0000000000FFFFFF -REG FFFFFFFFFA800000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000005B000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFBFFFFFFFC000A -REG F00000000000000B -REG FFFBFFFFFFFC000A -REG FFFFFFFFFFFF2D69 -REG FFFFFFFFFFFFFFFF -REG 74B8000074B6DC34 -REG FFFFFFFFFF00D296 -REG 0000000000000000 -REG FFFFFFFFFD006900 -REG FFFFFFFFFFFF2D69 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0100000020EA0000 -REG 00800000008034D3 -REG 0000000000FFFFFF -REG 0000000088805E01 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 F07FFFFFFFFFFFF8 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000005 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000000000C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 729E3C5472800C54 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFF00 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000100 +GPR22 0000000000000000 +GPR23 729E3C5472800C54 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0F80000000000007 +GPR30 0000000000000010 +GPR31 +CR 0000000030055800 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080005B7F + diff --git a/tests/621.bin b/tests/621.bin index 613f5ed..9fc095e 100644 Binary files a/tests/621.bin and b/tests/621.bin differ diff --git a/tests/621.out b/tests/621.out index a9b6b8b..1e9d174 100644 --- a/tests/621.out +++ b/tests/621.out @@ -1,32 +1,37 @@ -REG 005184000000001F -REG FFFFFFFFFFFFAC54 -REG BFFFFFFFFFFFE13F -REG 0000104000000001 -REG 0000000000000020 -REG 0020000040000000 -REG 0000000080007476 -REG 0000000000000E2A -REG 0000103FFFFF8B53 -REG 0000000000000040 -REG 0000000000000000 -REG FFFF8B32FFFF8B32 -REG 0000000000000000 -REG FFFFEFBFFFFFFFFF -REG 000010407FFFFFC9 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 000000000000DF91 -REG 0000000000000001 -REG 0000104000000022 -REG 003FFFFFFFFF84FC -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000084808080 -REG 0000000049010101 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000000C9AB +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFC001F6BA +GPR20 0000000045710000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000097FE0945 +LR 000000FFFFFFFFFE +CTR 0000000000000027 +XER 0000000080010000 + diff --git a/tests/622.bin b/tests/622.bin index 5198354..fedd0c6 100644 Binary files a/tests/622.bin and b/tests/622.bin differ diff --git a/tests/622.out b/tests/622.out index d8dc36c..f3f139e 100644 --- a/tests/622.out +++ b/tests/622.out @@ -1,32 +1,37 @@ -REG 0000000040005DA5 -REG 0000000000000000 -REG 00000000000055E5 -REG FFFFFFFFFFFFFFF2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004ACCFFF2 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040001080 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000090000000 +GPR11 0000000000000040 +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000913EBCA960C2 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFB +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFB +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFE0000000000 +GPR29 0000000090000000 +GPR30 0000000000000000 +GPR31 +CR 000000005951A057 +LR 0000000000000003 +CTR 0000913EBCA960C2 +XER 000000008003F863 + diff --git a/tests/623.bin b/tests/623.bin index 15658a5..72668e8 100644 Binary files a/tests/623.bin and b/tests/623.bin differ diff --git a/tests/623.out b/tests/623.out index 890528a..9356aad 100644 --- a/tests/623.out +++ b/tests/623.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFAC26 -REG FFFFFFFFFFFFFFF0 -REG 000000000000351D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000322 -REG 0000000000000007 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000000000351C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFCFDFA30 -REG 0000000000000000 -REG 00000000000053DB -REG FFFFFFFFFFFFFFFF -REG 000000001B77BD59 -REG 00000000000053DC -REG 0000000000001913 -REG 00000000000053DB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008010846A +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF00 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000000F +GPR6 000000000000000E +GPR7 0000000000000000 +GPR8 000000000000000E +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFF0 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000002 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 0000000000000041 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000000001266 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030009045 +LR 000000000000000E +CTR 0000000000006AD4 +XER 000000008003FFFD + diff --git a/tests/624.bin b/tests/624.bin index c624917..e53e4bf 100644 Binary files a/tests/624.bin and b/tests/624.bin differ diff --git a/tests/624.out b/tests/624.out index 8cc0138..fc838d4 100644 --- a/tests/624.out +++ b/tests/624.out @@ -1,32 +1,37 @@ -REG 0000000014230003 -REG FFFFFFFFFFFFFE81 -REG 0000000000000000 -REG F4CECA4A2D61676E -REG 00000000912832E3 -REG 000000000D800000 -REG 00000000000032E3 -REG 04365E92FBA60003 -REG 0000000000000000 -REG FFFFFFFFA059FFFF -REG 0000008F195A017F -REG 24365E92F82E8000 -REG 000000005FA60002 -REG 0BAE79C90D97A4BE -REG 000000000000BF4C -REG 0000000000000000 -REG 0000000000192000 -REG 5FA67AA1BF4E5A09 -REG 0000000000000000 -REG F4CECA4A2D61676E -REG 000000000000001F -REG FFFFFFFFFFFF829A -REG 000000005FA60002 -REG 0000000000000000 -REG 0000000062480000 -REG 8000000080000000 -REG 0000000008000000 -REG 0000000000000C62 -REG FFFE8167FFF80000 -REG 001C00000000BF4C -REG 000000005FA60002 -REG 00000000911101F3 +GPR0 0000000000000040 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF9FFF +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 FFFFFFFFFFFFA057 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000004B51 +GPR10 00000000FFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE3FE0 +GPR13 0000000000003FA7 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFC +GPR18 000000000001C01C +GPR19 0000003F0000003F +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000003FFE000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000002092 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000095244B90 +LR 000000000000000C +CTR 0000000000000008 +XER 0000000080000000 + diff --git a/tests/625.bin b/tests/625.bin index 9135300..bc75a7e 100644 Binary files a/tests/625.bin and b/tests/625.bin differ diff --git a/tests/625.out b/tests/625.out index cf02381..b345bc4 100644 --- a/tests/625.out +++ b/tests/625.out @@ -1,32 +1,37 @@ -REG 0004C390C6C0000E -REG 00000062FFFFFFA4 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00000062FFFFFFA4 -REG FFFB3C673940F4A0 -REG FFFFFFFFFFFFFFA4 -REG FFFFFFFFFFFFFFFF -REG 00000000000006BC -REG 00001AF000000000 -REG 000000000007281E -REG 000000080000000E -REG 000000000007FFFF -REG 0000000000072804 -REG 000000000003940F -REG 00000003940F0000 -REG 0000000800000000 -REG 0000000000006971 -REG 0000000000000010 -REG 0000000000EC0000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000096710020 -REG FFFFFFFFFFC00000 -REG 0000000000000000 -REG 0000000800000000 -REG 00000F9A00000F9A -REG 0000000000000000 -REG 0000000000000020 -REG 000000008870109E +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFC0000000 +GPR3 00000000000005EE +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFDF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C032 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 000000000000000B +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFA9D +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFF9D +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000350F0500 +LR 00000000FFFFFA9D +CTR 0000000000000000 +XER 00000000E00FFFFF + diff --git a/tests/626.bin b/tests/626.bin index 2f62eca..d5735e4 100644 Binary files a/tests/626.bin and b/tests/626.bin differ diff --git a/tests/626.out b/tests/626.out index 5c4e9f4..2ff6304 100644 --- a/tests/626.out +++ b/tests/626.out @@ -1,32 +1,37 @@ -REG 7FED3FD07FFFA6D5 -REG 0000000000000000 -REG 0000000000000018 -REG 0000000000000020 -REG 0012C03200000000 -REG 0000000000007649 -REG 0000000032000000 -REG 0000000077F119E2 -REG 03FFFFFF13FFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFC8B0 -REG FFFFFFFF880F4420 -REG 000007F9BFFFFFFF -REG 0000040000600400 -REG 0000000000000000 -REG FFFFFFFF8BE00038 -REG 8000001E080F443D -REG 0000000000000000 -REG 00001D0000001D80 -REG 0000000000000000 -REG FFFFFFFFFFFF1908 -REG FFFFFFFFF0FF1900 -REG 000000000F000007 -REG 0000000044824440 -REG 0000000000000000 -REG 00000E8000000EC0 -REG 0000000000000000 -REG 000000000F000007 -REG 8000001D8000001D -REG 0000000000000000 -REG 0000000032000000 -REG 0000000049050881 +GPR0 FFFFFFFFFF8AB8F7 +GPR1 FFFFFFFFFF8AB8F7 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFF8E85 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000003 +GPR8 0000000000000000 +GPR9 0000002000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFF8AB8F6 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFF8D170480 +GPR15 0000000000003A7E +GPR16 0000000000002800 +GPR17 FFFFFFFFFF89E690 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFF003C01F +GPR23 0000000000000000 +GPR24 000000000FFE0000 +GPR25 0000000050000000 +GPR26 FFFFFFFFFFFFFF00 +GPR27 00000000000000FF +GPR28 0000000000000000 +GPR29 00000000000000FF +GPR30 0000000000000000 +GPR31 +CR 0000000030409300 +LR 0000000000000000 +CTR 00000000000000FF +XER 00000000C0080000 + diff --git a/tests/627.bin b/tests/627.bin index 5659a36..da75348 100644 Binary files a/tests/627.bin and b/tests/627.bin differ diff --git a/tests/627.out b/tests/627.out index c1f662e..0c31408 100644 --- a/tests/627.out +++ b/tests/627.out @@ -1,32 +1,37 @@ -REG FFFFFFFF08FA952C -REG 0000000000000000 -REG 000000009291FFFF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFF8 -REG 0042600000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000092920000 -REG 0000000000000008 -REG 0000000000000000 -REG 0000000000000000 -REG 00895C0000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFEEDE03F6D6854 -REG 0000000000000000 -REG 0000000000000005 -REG 0042600000000000 -REG 00000000FFFFF7D6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000BDD -REG 0000000000000000 -REG 00000000000084C0 -REG 00000000891E0081 +GPR0 000000000001C038 +GPR1 0000000059000009 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000001A +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFA6FF95D1 +GPR16 0000000000000040 +GPR17 FFFFFFFF6A98FFFF +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFE5 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000000000001B +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030000019 +LR 0000000000000000 +CTR FFFFFFFFFFFFEFE5 +XER 00000000C0080000 + diff --git a/tests/628.bin b/tests/628.bin index f69f1db..2c761e2 100644 Binary files a/tests/628.bin and b/tests/628.bin differ diff --git a/tests/628.out b/tests/628.out index e670833..6ce4e4d 100644 --- a/tests/628.out +++ b/tests/628.out @@ -1,32 +1,37 @@ -REG 0000000000315400 -REG 00000000000059D4 -REG 0000000000000000 -REG 000000087FFFFF34 -REG 000FFFFFFFFFCED0 -REG 0000000000000000 -REG 0000000000000000 -REG 080808080808871F -REG F7F7F7F7F7F778E0 -REG 0000000000000000 -REG 000400000002E768 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 0000000000000001 -REG 0000000000000000 -REG 00000000B9DA5AC8 -REG 0000000000000000 -REG 080808080808871F -REG FFFFFFFFFFFF0000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000059D4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000087FFFFF34 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000045048905 +GPR0 000000000001C038 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFBE20 +GPR7 0000000000000000 +GPR8 0000000000000004 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFF07 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFBF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 00000000FFFFFB0A +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000001C020 +GPR31 +CR 0000000020FCA35D +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/629.bin b/tests/629.bin index 38b6706..5571507 100644 Binary files a/tests/629.bin and b/tests/629.bin differ diff --git a/tests/629.out b/tests/629.out index 6de7d3b..cf46738 100644 --- a/tests/629.out +++ b/tests/629.out @@ -1,32 +1,37 @@ -REG 0000000020001000 -REG 0000000000000404 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000001419E -REG 0000003FFFFF868E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFC -REG 3BFFFFFFF24CFFF7 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF0D1C000 -REG 00000000FFE00000 -REG FFFFFFFFFFFFFFFC -REG 0000000000000000 -REG 0000000000000000 -REG 1FFFFFFFFF800000 -REG FFFFFFFFF0D1F786 -REG 0000000000000000 -REG 0000000000011DBD -REG FFFFFFFFFFFFEA71 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C4830000 -REG 0000000000000000 -REG FFFFFFFFF823CEF6 -REG 0001FFFFFF800000 -REG FFFFFFFFFFFFFFFF -REG 00000000000163BF -REG 0000000000000000 -REG 0000000000000000 -REG 000000008F10489D +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFDF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000594A +GPR12 0000000000006530 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000030 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000095318533 +GPR30 0000000000000000 +GPR31 +CR 0000000030318533 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/63.bin b/tests/63.bin index 6318142..079bfcb 100644 Binary files a/tests/63.bin and b/tests/63.bin differ diff --git a/tests/63.out b/tests/63.out index ad0a4c1..6457bb0 100644 --- a/tests/63.out +++ b/tests/63.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFCC11 -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000000 -REG FFFFFFFFFFFF2E0B -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000020000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFCC11 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000D1D67A0ED1F6 -REG 000000005101A424 +GPR0 FFFFFFFF3FFBF252 +GPR1 0000000000000000 +GPR2 0000038040000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 0000E0240000E024 +GPR12 0000000000000000 +GPR13 FFFFFFFF90F5F287 +GPR14 000000000000001C +GPR15 00001C0200000000 +GPR16 FFFFFFFFF000235B +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 000000000000003F +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFF3FFBF253 +GPR26 0000000000050FA0 +GPR27 0000000050FA0034 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFE3FDFFFFFFC0 +GPR31 +CR 000000003AB3003F +LR FFFFFFFFC23FFFFE +CTR 0000000000000000 +XER 0000000080080040 + diff --git a/tests/630.bin b/tests/630.bin index 60b3450..a4f0915 100644 Binary files a/tests/630.bin and b/tests/630.bin differ diff --git a/tests/630.out b/tests/630.out index ac04baf..cf306e6 100644 --- a/tests/630.out +++ b/tests/630.out @@ -1,32 +1,37 @@ -REG FDAEF7FDDAEF7FEE -REG FFFFFFFFFFFF9F2F -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000000CF -REG FFFFFFFFFFFFFFFF -REG FDAEF7FFDAEF7FEC -REG 000000A00001FFFF -REG 000000000000003E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFE007F -REG 6000000000000000 -REG 0000000000000000 -REG 000000000000000F -REG 0020008000000009 -REG 025108002510004A -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFA20702DE -REG FDAEF7FFDAEF7F35 -REG FFFFFFFFA20702DE -REG D80000000005DEFF -REG 0000007FFFE26300 -REG 0000000000000000 -REG 0000007FFFE26300 -REG FFFFFFFFFFFFFFFF -REG FDAEF7FFDAEE80B5 -REG 000000004000EAA4 -REG 0000000048111045 +GPR0 FFFFFFFFD6BF58C9 +GPR1 000000000000001B +GPR2 000000000000001B +GPR3 00000000E00C001B +GPR4 000000000001C020 +GPR5 000000000001C000 +GPR6 000000000001BFFF +GPR7 FFFFFFFFFFFFFF00 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFF82A6 +GPR10 000000000001C020 +GPR11 FFFFFFFFFFFFFFF0 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FFF +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFF8BB6 +GPR18 000000000001C000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000010000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFE3FEF +GPR26 0000000000000001 +GPR27 000000006829001B +GPR28 000000000001C000 +GPR29 0000000000000000 +GPR30 0001530000000000 +GPR31 +CR 0000000099004591 +LR 000000000000001B +CTR FFFFFFFFFFFFFF00 +XER 00000000E00C001B + diff --git a/tests/631.bin b/tests/631.bin index 8153cd9..c0eef61 100644 Binary files a/tests/631.bin and b/tests/631.bin differ diff --git a/tests/631.out b/tests/631.out index 864bca6..57d68b9 100644 --- a/tests/631.out +++ b/tests/631.out @@ -1,32 +1,37 @@ -REG 10793FFFEFFF53F2 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG FF7FFFFFFFFFFFFF -REG 0000000000006C76 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 39FFFFFFFFFFD674 -REG CABFF800000009C0 -REG 0000000000000000 -REG 0000000044F4CF08 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000068A3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000049E99E10 +GPR0 000000000000001E +GPR1 FFFFFFFFFFFDBFD5 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFE000FFFFE000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFF8001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFF0008000 +GPR14 00000000000008B6 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 00FFF00040000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000014 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFF0008000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFDBFD5 +GPR28 0000000000000000 +GPR29 000000000FFF8000 +GPR30 0000000000000000 +GPR31 +CR 000000003959C579 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/632.bin b/tests/632.bin index 8718d19..6f5529e 100644 Binary files a/tests/632.bin and b/tests/632.bin differ diff --git a/tests/632.out b/tests/632.out index 9a9bc2f..7cfef0c 100644 --- a/tests/632.out +++ b/tests/632.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBFE00000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFE000080 -REG FFFFFFFFFFFFEFEB -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFA62F0000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG FFFFFFFF94F90000 -REG 0000000000000000 -REG 000000000000003C -REG 0000000000000000 -REG 000000000000003B -REG FFFFFFFFFFED0401 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDA0803FF -REG 0000000001FFFF80 -REG 0000000000000000 -REG 0000000000000000 -REG FC000FFF80000FFF -REG F000000000000000 -REG 0000000100110080 +GPR0 FFFFFFFFFFFFFFDF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000000053F6 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000001FFFF00 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFF0006DC +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFF8FFF +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000001 +GPR15 0000000000000020 +GPR16 000000000000F918 +GPR17 FFFFFFFFFFFFFFFC +GPR18 00000000A007FFFE +GPR19 00000000FFF924A2 +GPR20 FFFFFFFFFFFFF9B7 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000018 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFF00 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000001FFFF03 +GPR30 0000000000000000 +GPR31 +CR 000000005555FD58 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000040 +XER 00000000C00BFFFE + diff --git a/tests/633.bin b/tests/633.bin index b2a0d75..8e070b6 100644 Binary files a/tests/633.bin and b/tests/633.bin differ diff --git a/tests/633.out b/tests/633.out index 94d78c1..ea4c95c 100644 --- a/tests/633.out +++ b/tests/633.out @@ -1,32 +1,37 @@ -REG 00000000000058FA -REG 00139D00007FFFBE -REG 0000000007FFFFFF -REG F7F7F7F7FEFBAF01 -REG F7F7F7F7FEFBAF06 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080801040804 -REG 08080808010450FE -REG 0000000000000000 -REG 0000000000000007 -REG FFFFFFFFE000D283 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0808080801040805 -REG 0000000000000040 -REG 0808080843A550FE -REG 0000000000000000 -REG 0000000000000000 -REG 08080808010450FE -REG 232306005A147C3C -REG 00139D00007FFFBE -REG 0808080843A550FE -REG 0000000090001048 +GPR0 0000000000000001 +GPR1 FFFFFFFFFFFFFFE2 +GPR2 000000000000FFFF +GPR3 FFFFFFFFFFFEFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0020000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFAC9CFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 000000000001C03C +GPR17 0000000000200000 +GPR18 00000000FFFFFFFF +GPR19 FFFFFFFF9E02FFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000BA64 +GPR24 0000000000007FCB +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFF5939FFFF5939F +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003955AFCC +LR 00000000A007FFF8 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00FFFF8 + diff --git a/tests/634.bin b/tests/634.bin index 3d9cf45..c6b5efb 100644 Binary files a/tests/634.bin and b/tests/634.bin differ diff --git a/tests/634.out b/tests/634.out index 1201f5a..57d64fd 100644 --- a/tests/634.out +++ b/tests/634.out @@ -1,32 +1,37 @@ -REG 00800000007D62B6 -REG 000000004F100000 -REG FFFFFFFFC5CD002E -REG 0000003E010F783F -REG 000000007BD86297 -REG 000000009E200000 -REG 0000000041EA9D49 -REG 0000000000000008 -REG 0000000000000000 -REG 000000000000583A -REG 000000001F006BCA -REG 00000000058F0001 -REG FFFFFFFFFFCC0000 -REG 00000000FE000000 -REG 000000004F100000 -REG 000000003A32FFDF -REG 000000000000000D -REG 000000000009E200 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041EA9D56 -REG 000000004F100000 -REG 000000000000DB19 -REG 000000001800A702 -REG 0000000000000008 -REG FFFFFFFFFFFF6124 -REG 0000000000000002 -REG 0000000000000000 -REG 7FEF800000001D19 -REG FF7FFFFFC0000000 -REG 0000000000000000 -REG 000000009E108096 +GPR0 0000000010443B23 +GPR1 0000000000000000 +GPR2 00001C03E00000BF +GPR3 0000000000000000 +GPR4 FFFFFFC3FFFFFFC4 +GPR5 FFFFFFFF000000D9 +GPR6 00000000FF7BDEF8 +GPR7 0000003C0000003C +GPR8 00000000F8000800 +GPR9 00001C03E0000000 +GPR10 00000000FFFFFF27 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 6E424E6FBD20B3C5 +GPR14 00001C03E0000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 1B2000001B200000 +GPR19 0000000000000000 +GPR20 00000000000000BF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000FFFF00 +GPR24 0000000000000000 +GPR25 FFFFFFFF000000D9 +GPR26 FFFFFFFEFFFFBA0B +GPR27 00001C03E00000BF +GPR28 0000000000000000 +GPR29 FFFFE3FCFFFFFFF8 +GPR30 00000000000000D9 +GPR31 +CR 0000000059381029 +LR 0000000000000000 +CTR EEEEEFFFEEEEEDDD +XER 00000000800000FF + diff --git a/tests/635.bin b/tests/635.bin index 151065f..450ef1a 100644 Binary files a/tests/635.bin and b/tests/635.bin differ diff --git a/tests/635.out b/tests/635.out index c4f150b..ac432e4 100644 --- a/tests/635.out +++ b/tests/635.out @@ -1,32 +1,37 @@ -REG 80003FFF80000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFCC0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG FFFFFFF080000000 -REG 0000000000000012 -REG 0000000000000FFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000F80000000 -REG FFFFFFFFFFFF0011 -REG FFFFFFFFFFFF0011 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000042F0 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFF80000000 -REG 0000000000000000 -REG 000000000000000E -REG 0000000035040000 -REG 0000000000000011 -REG 0000000000000040 -REG 0000000080009E44 +GPR0 0000000000000001 +GPR1 FFFFFFFFFFFFFFBF +GPR2 000000000001C01C +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 FFFFFFFFFFFFC1EA +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000008003FFFF +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFC1EA +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000034085000 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/636.bin b/tests/636.bin index f9da43b..8ec20ec 100644 Binary files a/tests/636.bin and b/tests/636.bin differ diff --git a/tests/636.out b/tests/636.out index f6309e2..e3cbe08 100644 --- a/tests/636.out +++ b/tests/636.out @@ -1,32 +1,37 @@ -REG 0000FB618BD42439 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000589 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG 0000000100000000 -REG 0000000000000000 -REG 000000000000588E -REG FFFFFF00FFFFFEFF -REG FFFFFFFFFFFFFEFF -REG 0000000000000004 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000242E0F00 -REG 0000000000000000 -REG FFFFFFFFFFFFFEFE -REG 00000000000008DD -REG 0000FFFFFFFFFF7E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF00FFFFFF00 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG F000000000000000 -REG 00000000000008DD -REG 0000000050088400 +GPR0 000000000001BFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFF1FFFFF071 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 00000000379A09D9 +GPR9 0000000000000035 +GPR10 0000000000000000 +GPR11 0000000000000013 +GPR12 0000000000000000 +GPR13 0000000000004D65 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000FFFF9AB5 +GPR20 51F0000951F00009 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFC00000000FF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFFFDE +GPR29 FFFFFFFFFFFF9AB5 +GPR30 00000000FF5D97D0 +GPR31 +CR 00000000379849AD +LR 51F0000951F00009 +CTR AE0FFFF6AE0FFFF7 +XER 0000000080000000 + diff --git a/tests/637.bin b/tests/637.bin index c6e7957..1ae856a 100644 Binary files a/tests/637.bin and b/tests/637.bin differ diff --git a/tests/637.out b/tests/637.out index c6ebec9..63474de 100644 --- a/tests/637.out +++ b/tests/637.out @@ -1,32 +1,37 @@ -REG 0000088F00002987 -REG FFFFFFFFFFFFFFFE -REG 0000088F0000108E -REG 00000000000007FF -REG 000422C07A97A445 -REG 0000088EFFFFA8AB -REG FFFFF77100001112 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000019A1 -REG 0000000000000028 -REG 0000000000000008 -REG FFFBDD3F85685BBA -REG FBEFFFFFFBEFC00F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000088F0000108E -REG 0808080808080807 -REG 0400000000000DFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBE -REG 0000000000000020 -REG FFFFFFFFFFFFFFFE -REG 00000000121F07FF -REG 0000000101008011 +GPR0 FFFFFFFFFFFFDFFF +GPR1 00000000382F0001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFC0091FFF +GPR5 0000000000000000 +GPR6 FFFFFFFFC0091FFF +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFE5F1 +GPR9 FFFFFFFFFFFFFFF8 +GPR10 0000000000000002 +GPR11 0000000000000002 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000000001CB12 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000003FCB +GPR28 FFFFFFFFFFFFFFFD +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000099FB5F11 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007C034 + diff --git a/tests/638.bin b/tests/638.bin index 62ccd9f..6be5494 100644 Binary files a/tests/638.bin and b/tests/638.bin differ diff --git a/tests/638.out b/tests/638.out index 2f8bbff..7e9e276 100644 --- a/tests/638.out +++ b/tests/638.out @@ -1,32 +1,37 @@ -REG 0000000000008181 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000412F -REG 0000000000000000 -REG 0000000000000000 -REG 0000001900000000 -REG FE000000249FFFFB -REG 0000000000000040 -REG 0000000024800004 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080807 -REG 0000000000000000 -REG 00000007F48287F8 -REG FFFFFFFFFFFFFFFC -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 00000007F4820675 -REG FFFFFFFFFFFFFFFF -REG FFFFFFC3BA1FFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000007F48287F7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000049000001 +GPR0 000000000000FA0F +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000005B8E +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FF03FFFFEA817CC0 +GPR11 0000000031000050 +GPR12 0000000004040304 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00FC0000157E8340 +GPR16 0000000000000000 +GPR17 00000000358D25C5 +GPR18 0000000000000000 +GPR19 0000000000000002 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000007603 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000031000050 +LR 0000000004040304 +CTR 0000000000000040 +XER 000000008003FFFF + diff --git a/tests/639.bin b/tests/639.bin index 26dd7f0..ff8702d 100644 Binary files a/tests/639.bin and b/tests/639.bin differ diff --git a/tests/639.out b/tests/639.out index 3304b81..bbe2e33 100644 --- a/tests/639.out +++ b/tests/639.out @@ -1,32 +1,37 @@ -REG FFFFFFFFEDF4003D -REG 00000000120BFFCE -REG 0000FFFFE57B0580 -REG 0000000000000040 -REG 00000000120BFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFEFFFFEF88 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF27FFFFF -REG 00000001120BFFCE -REG 00000000F2800000 -REG FFFFFFFFEDF40032 -REG FFFFFFFEFFFFFFCF -REG 0000000000000000 -REG FFFFFFFFEDF40032 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000D800000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000200000000E -REG 0000000000001047 -REG 0000000070200000 -REG FFFFFFFFFFFFC250 -REG 0000000000000000 -REG 00000000409084D1 +GPR0 0000000000001FFF +GPR1 0000000000000000 +GPR2 0000000000000055 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFBF +GPR6 000000000000003F +GPR7 0000000000014458 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 00000000000003EA +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000014458 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 000000000001C02E +GPR22 0000000000000000 +GPR23 0000000000014458 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE3FD0 +GPR27 0000000000000000 +GPR28 0000000000014458 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050950000 +LR 0000000000014CDF +CTR FFFFFFFFFFFFACC0 +XER 00000000A0040000 + diff --git a/tests/64.bin b/tests/64.bin index 741508f..b6c971e 100644 Binary files a/tests/64.bin and b/tests/64.bin differ diff --git a/tests/64.out b/tests/64.out index afdf0c4..b8d0578 100644 --- a/tests/64.out +++ b/tests/64.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC7EFFFF0 -REG 0000000000060000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001446 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFD54DC12FD353 -REG FFFFFFFFFFFFEBB9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFC326FFF0 -REG FFF55370E8F4D4C0 -REG 0000000000000040 -REG FFFFFFFF864DFFE3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000018000 -REG 0000000000000000 -REG 00002AB23ED02CEC -REG 0000000000000040 -REG 0000000000000000 -REG FFFFD54DC3A3D353 -REG 0000000088108924 +GPR0 FFFF998FFFFFFFFF +GPR1 0000000000080019 +GPR2 FFFFFFFFFFF55556 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000FFFFFFFB +GPR6 0000000000000005 +GPR7 00000000000AAAA9 +GPR8 CBC560000007FFFF +GPR9 0000000000000000 +GPR10 00000000FFFFBFC1 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000000 +GPR13 00000000FFFFFF01 +GPR14 0000000000000001 +GPR15 FFFFFFFFFFFCBC56 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000000022D1 +GPR20 0000000000000000 +GPR21 00000000FFFFFFFF +GPR22 FFFFFFFFFFFF87FB +GPR23 0000000000000000 +GPR24 000066700000D902 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 000000000000001F +GPR28 0000667000000000 +GPR29 FFFFFFFFFFFF87F6 +GPR30 0000000000000000 +GPR31 +CR 00000000391D0791 +LR 0000000000400000 +CTR 0000000000000005 +XER 000000008003FFFF + diff --git a/tests/640.bin b/tests/640.bin index 8b49ca4..3fdcf43 100644 Binary files a/tests/640.bin and b/tests/640.bin differ diff --git a/tests/640.out b/tests/640.out index 6bd2ef4..2075a91 100644 --- a/tests/640.out +++ b/tests/640.out @@ -1,32 +1,37 @@ -REG FFFFFB91BFFC0A7A -REG FFE1FFFFFFFFFFFF -REG 0000000000000000 -REG 000000000003227C -REG 0000000000000040 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000012 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000009B -REG 0000000000000000 -REG 0000000046E40000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG FFFFFFFFFFFFFFFF -REG 000000000003227C -REG 0000000000000000 -REG 0000000040000500 +GPR0 FFFFF80000000001 +GPR1 0000000000000020 +GPR2 0000000000000012 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000001 +GPR8 000000020000000F +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFF7F4B +GPR11 000007FFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000001009 +GPR15 0000000000000020 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFF00 +GPR19 0000000080000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000030E00E15 +GPR23 0000000000000000 +GPR24 0000000030DF4E14 +GPR25 FFFFFFFFFFFFFFFE +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000A79C4AC89 +GPR29 0000000A79C4AC89 +GPR30 0000000030DF4E14 +GPR31 +CR 0000000035022555 +LR 0000000030E00E15 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/641.bin b/tests/641.bin index 594d0b7..7619acd 100644 Binary files a/tests/641.bin and b/tests/641.bin differ diff --git a/tests/641.out b/tests/641.out index cbf13c0..c8f2132 100644 --- a/tests/641.out +++ b/tests/641.out @@ -1,32 +1,37 @@ -REG 088E196C5898BCB5 -REG 0000000000000000 -REG 000000000000000C -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFF000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG F18200F9F18200F9 -REG FFFFFFFFFFE0003F -REG 0000000000000000 -REG 043FFFFFFFFFFF80 -REG 0000000000000010 -REG FF8C1007CF8C1007 -REG 0000000000000000 -REG 8000000000000080 -REG 0000000000000080 -REG 0000000000000080 -REG 000000000000CD4A -REG FFFFFFFF0401F3E3 -REG 000000000000BE1E -REG FFFFFFFFA5D90000 -REG FFFFFFFFFFFFFF7F -REG FFFFFFFFF8DCFFE9 -REG 000000000000000C -REG 8000000000000000 -REG 0000000000000000 -REG 0000CD4A0000CB4A -REG FFFFFFFFF8DCFFDD -REG 0000000000000000 -REG 0000000110110281 +GPR0 7FFFFFFFE996FFFF +GPR1 0000000000000000 +GPR2 000000001F270000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 FA65C0001FFFFFFF +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000001F270000 +GPR13 FFFFFFFFE0D90000 +GPR14 0000000000000000 +GPR15 0000000000000058 +GPR16 0000000000000000 +GPR17 0000000097B20058 +GPR18 7FFFFFFFE9970000 +GPR19 FFFFFFFFFFFFFFE0 +GPR20 0000000000000000 +GPR21 000000000000001E +GPR22 FFFFFFFFFFFFFFE0 +GPR23 0000000040000000 +GPR24 FFFFFFFFFFFFFC20 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000040000000 +GPR31 +CR 00000000334390B3 +LR 0000000000000020 +CTR 0000000000000000 +XER 00000000C0080002 + diff --git a/tests/642.bin b/tests/642.bin index d65b75c..aeb7875 100644 Binary files a/tests/642.bin and b/tests/642.bin differ diff --git a/tests/642.out b/tests/642.out index aa62dec..d3929f9 100644 --- a/tests/642.out +++ b/tests/642.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFCD06 -REG 0000000000000001 -REG FFFFFFFFFFFFE683 -REG 0000000067980000 -REG 0000000000000000 -REG 003C0010736FD97C -REG 0000000000000000 -REG 0000000000000014 -REG 00000F2C00000F2C -REG 00000000541FFFFA -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000A00000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFC3FFF0000037C6 -REG FFFFFFFFFFFFE683 -REG 0000000000000000 -REG 0009FFFFFFFFFFC0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FF880F0B6D405C2D -REG 0000000000000001 -REG 000A000000000000 -REG 0000000000000504 -REG 0000000000004799 -REG 0000000000000000 -REG 00037C6000037C60 -REG 003C000FFFFFC839 -REG FFFFFFFFFFFFFFFF -REG 0000000050010710 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000000008 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000009880 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000002602 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000100000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 FFFC7FE7FFFC7827 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000576B0000 +GPR30 FFFFFFFFFFFE6E14 +GPR31 +CR 000000003954C004 +LR FFFC7FE7FFFC7827 +CTR FFFFFFFFFFFE3FF3 +XER 00000000A0040000 + diff --git a/tests/643.bin b/tests/643.bin index 8deaeaf..fd66d5e 100644 Binary files a/tests/643.bin and b/tests/643.bin differ diff --git a/tests/643.out b/tests/643.out index 0f85b9e..30cb668 100644 --- a/tests/643.out +++ b/tests/643.out @@ -1,32 +1,37 @@ -REG 47A0000147A00041 -REG 0000001E80000800 -REG 0000000043F50000 -REG 004D000000400000 -REG 0000000000000000 -REG C0013FFFFFFFFFFF -REG FFFFFFFF898AFFFF -REG 000000003D45FFFF -REG 0000000000000000 -REG 0000000043F50000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020F44F80 -REG 0000000000000000 -REG 0000000000000000 -REG 47A0000147A00001 -REG 000000000000D421 -REG 000000000000D422 -REG FFFFFFFF80000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000800 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 47A0000147A00001 -REG 0007C00000000000 -REG 0000000000000000 -REG 0000000041E89E80 +GPR0 000000004EBDC008 +GPR1 0000000000000000 +GPR2 0000000000000040 +GPR3 FFFFFFFFFFFF0000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000077 +GPR6 00000000000000D0 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFF0000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFF8D47 +GPR17 FFFFFFFF8FCCE177 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFC5DE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFDF +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 0000000090393509 +LR 0000000000000010 +CTR 0000000000000020 +XER 0000000080000020 + diff --git a/tests/644.bin b/tests/644.bin index 9f9a8af..b9c89c8 100644 Binary files a/tests/644.bin and b/tests/644.bin differ diff --git a/tests/644.out b/tests/644.out index 7236eba..8d1b077 100644 --- a/tests/644.out +++ b/tests/644.out @@ -1,32 +1,37 @@ -REG 0000800000008000 -REG A8780000000007FF -REG 0000000000009FC0 -REG 0000000000000000 -REG 0000000000004658 -REG 0000000000000007 -REG 0000000000009FC0 -REG 0000000000000000 -REG 00000000000060D8 -REG 000000000000003D -REG FFFFFFFFFFFFEA1E -REG 0000000000000000 -REG 000000007ADBFFFF -REG 00000000000060D9 -REG 0000000000000000 -REG FFFFE8424CE1D24B -REG 0000000000000000 -REG 000000000000468B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000017BDB31E7440 -REG 000000007ADBFFF8 -REG FFFFFFFFF64DD6E4 -REG FFFFFFFFFFFFFFFF -REG 0000000000800000 -REG 0000000000000400 -REG 0000000000000000 -REG 0000000000000035 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000041108911 +GPR0 000000000001C0DF +GPR1 000000000000003C +GPR2 000000000001C020 +GPR3 000000000000937F +GPR4 000000000E000000 +GPR5 000000000000003A +GPR6 FFFF9F43FFFFFFC5 +GPR7 FFFFFFFFFFFFFFDF +GPR8 000000000000003C +GPR9 FFFFF00000000000 +GPR10 0000000000000000 +GPR11 00E8000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000003C +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000020 +GPR18 0000000000000005 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 00000080FCCC8348 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFDF +GPR25 000060BC00000002 +GPR26 0000000000008100 +GPR27 0000000000000001 +GPR28 000000000001C008 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000000003A +GPR31 +CR 00000000505D000D +LR 0000000000000000 +CTR 00000000000000E5 +XER 0000000080000000 + diff --git a/tests/645.bin b/tests/645.bin index aeb442e..e797130 100644 Binary files a/tests/645.bin and b/tests/645.bin differ diff --git a/tests/645.out b/tests/645.out index 0d2ff66..ec12810 100644 --- a/tests/645.out +++ b/tests/645.out @@ -1,32 +1,37 @@ -REG AFFFFFFFFFFFFFFD -REG 00000000000005F0 -REG 00000294DE000000 -REG FFFFFFFF6B97D237 -REG 0000000000000000 -REG 00000000957A0000 -REG 6B97D2356B97D235 -REG 00000000957A0000 -REG 0000000000000040 -REG AFFFFFFFFFFFFFFD -REG 00000000D8B40000 -REG 0000000000000000 -REG 0000000000000018 -REG 0000000094000000 -REG 00000294DE000000 -REG FFFFFFFFFFFFFFFD -REG 50000000957A0002 -REG 0000000000234100 -REG 0000000000000001 -REG 6B97D2356B97D7C5 -REG AFFFFFFFFFFFFFFD -REG 00000000957A0000 -REG FFFFFFFF6B97D234 -REG 0000000A51000000 -REG 000000000000429D -REG 0000000000000000 -REG 0000000000000001 -REG 0002200000040000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000091E81C80 +GPR0 0000000000000005 +GPR1 0000000000000005 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 000000FFF0008001 +GPR9 FFFFFFFFFFFFFFFE +GPR10 800000200000001F +GPR11 0000000000000005 +GPR12 FFFFFFFFFFFFFF00 +GPR13 0000000000000000 +GPR14 0000000033910505 +GPR15 0000000000000000 +GPR16 000000000000AFF6 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000001 +GPR23 0000000000000040 +GPR24 0000000000002BFE +GPR25 FFFFFFFFFFFFFFFA +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000007FCE0001 +GPR31 +CR 0000000033D10505 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 000000008003FFFF + diff --git a/tests/646.bin b/tests/646.bin index 37722c9..76be344 100644 Binary files a/tests/646.bin and b/tests/646.bin differ diff --git a/tests/646.out b/tests/646.out index d48641d..44fe750 100644 --- a/tests/646.out +++ b/tests/646.out @@ -1,32 +1,37 @@ -REG 00007FFFFFFFFFB8 -REG 000000002800184F -REG FFFFFFFFF7FFFFF8 -REG 000000000000D19C -REG 000000000A5FFFFF -REG 0808080808080808 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 08080808080846F3 -REG 0000000000000000 -REG 0000000000600000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000008CFF01 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFD300FF -REG 0000000000000000 -REG 0000000050000001 +GPR0 000002C370A26BFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000FF0000 +GPR4 FFFFFFFFFFFFFFFA +GPR5 0000000000000000 +GPR6 0000000000004000 +GPR7 7FFFFFFFE1F3DA00 +GPR8 FFFFFFC3E783FF00 +GPR9 00000000C0000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 FFFFFFECFC4FF400 +GPR14 00000061C0000001 +GPR15 0000000000000000 +GPR16 FFFFFFFFD0000000 +GPR17 0000000000005C9A +GPR18 0000000000000020 +GPR19 800000001F0B2600 +GPR20 FFFFFFFFFFFFFFFF +GPR21 7FFFFFFFE1F3C1FF +GPR22 FFFFFD3CA0A22800 +GPR23 000000006D950000 +GPR24 FFFFFFFFFFFF662D +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000005C9A +GPR28 FFFFFFFFFFFFFFFE +GPR29 FE1F3C1FF80003FF +GPR30 FFFFFFF800000000 +GPR31 +CR 000000005F578901 +LR 0000000000000001 +CTR 0000000000000000 +XER 00000000E0080000 + diff --git a/tests/647.bin b/tests/647.bin index 7928a6d..913ae0d 100644 Binary files a/tests/647.bin and b/tests/647.bin differ diff --git a/tests/647.out b/tests/647.out index 1690da4..404e7d0 100644 --- a/tests/647.out +++ b/tests/647.out @@ -1,32 +1,37 @@ -REG 404C00006B6A5CD8 -REG 0000000000000001 -REG FFFFFFFF000163FF -REG 0000000000000040 -REG 0000000000000000 -REG 000000000000344D -REG 0000000000000000 -REG 00000000FFFE810D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 2C003FFFFFFFA5DA -REG 0000000000005CDA -REG 0000000000000000 -REG 0000000072C30000 -REG 00000CB6E1EC0000 -REG 0000000000000000 -REG 0000000000000012 -REG 0000000008070203 -REG FFFFFFFF00017EF2 -REG 0000000000000000 -REG 2C003FFFFFFFA5DA -REG 0000000000000001 -REG 00000000FFFF0000 -REG 0000000008080000 -REG 00000CB6E1EBFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041F1E989 +GPR0 FFFFFFFFFFFF8BB5 +GPR1 00000000000FBFF8 +GPR2 0000000000000000 +GPR3 00000000000017E6 +GPR4 0000002000000018 +GPR5 000000000001FA21 +GPR6 00000000000146C7 +GPR7 0000000000000008 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFE3FCB +GPR10 FFFFFFFFFFFFF7FF +GPR11 000000005EB262CE +GPR12 FFFFFFFFFFFFFFF0 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C86B +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFF7FF +GPR18 0000000000000000 +GPR19 000000003D93839D +GPR20 FFFFFFFFF3A262CE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 000000003D93839D +GPR25 0000002000007462 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFF210 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000008D93359F +LR FFFFFFFFD1360010 +CTR FFFFFFFFFFFFFFFF +XER 0000000000000000 + diff --git a/tests/648.bin b/tests/648.bin index 4bfa4de..97540a3 100644 Binary files a/tests/648.bin and b/tests/648.bin differ diff --git a/tests/648.out b/tests/648.out index d774e6d..af8d586 100644 --- a/tests/648.out +++ b/tests/648.out @@ -1,32 +1,37 @@ -REG 0808080608000018 -REG 0000000000000040 -REG FFFFFFF6FF0067B3 -REG 0000000800000008 -REG 0000000000000000 -REG 000000000003FFFC -REG 0000000000000023 -REG FFFFFFFAFF000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000002 -REG 0000000000000000 -REG 00000000000088B4 -REG FFFFFFFFFFFFFFFE -REG 0000000400000004 -REG 0000000000000000 -REG 0000000000FFFFC0 -REG 0000000000000000 -REG 0000000000000021 -REG FFFFFFFC0000679F -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000001 -REG 0000008000FFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFF540 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000504910E8 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFDFFFFE +GPR5 FFFFFFFFFFFFFFD1 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 000000000003803E +GPR10 FFFFFFFFFFFFFFFE +GPR11 000000000001C01F +GPR12 0000000000000000 +GPR13 0001C01F0001C01F +GPR14 0000000000000000 +GPR15 00007FFFFFFFF000 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 000000000001D189 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0001C03FFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000002F +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000200000 +GPR29 0000000000000001 +GPR30 FFFFFFFFFFFFFF00 +GPR31 +CR 0000000030000000 +LR 0000000000000000 +CTR 00000017FEFF7256 +XER 0000000080000000 + diff --git a/tests/649.bin b/tests/649.bin index bf0e0d9..3558d3b 100644 Binary files a/tests/649.bin and b/tests/649.bin differ diff --git a/tests/649.out b/tests/649.out index ecac144..f60ce60 100644 --- a/tests/649.out +++ b/tests/649.out @@ -1,32 +1,37 @@ -REG 007FFFFFE1000027 -REG 00000000000047B2 -REG FFFFFFFF86530000 -REG FFFFFFFFFFFFA15F -REG 0000000000000009 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000013 -REG 0000000000000013 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFEF7 -REG 0000080800000000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000001466 -REG 0000000000006598 -REG 0000000000000009 -REG 0000000000000040 -REG 000000009F1000BE +GPR0 000000007FFFFFBF +GPR1 1FF07FFF9FF20020 +GPR2 FFFFFFFFFFFFFFFF +GPR3 00000000FFFFFFFF +GPR4 0000000080000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 00FFFFFFFFFFFFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 00FFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 FFFFFFFFFFFE3FDF +GPR15 000000000001C021 +GPR16 FFFFFFFFFFFFFFFF +GPR17 000000000000A601 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000030976F0D +GPR20 0000000000000000 +GPR21 000000000001C014 +GPR22 0000000000000000 +GPR23 B000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C01F +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 0000000053090010 +LR 0000000030976F0D +CTR 00000000FFFE3FEC +XER 0000000080000000 + diff --git a/tests/65.bin b/tests/65.bin index c9021de..df95bbe 100644 Binary files a/tests/65.bin and b/tests/65.bin differ diff --git a/tests/65.out b/tests/65.out index 8376f17..9e35752 100644 --- a/tests/65.out +++ b/tests/65.out @@ -1,32 +1,37 @@ -REG FFFF01FFFFFFFA64 -REG FFFFFFFFFC400000 -REG 0000000000000000 -REG 00000010000024B3 -REG 0000000000000000 -REG 0000000FFDBFDF49 -REG FFFFFFFFFC400000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000AAC5 -REG 0000000000000000 -REG 0000002100000021 -REG 0000000000000000 -REG 0000000000000000 -REG 0EFFFFFC00000000 -REG 0080000FF9FF0000 -REG 0000000001DFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000001 -REG FFFFFFF0024020B6 -REG 0000000000000000 -REG 0000000FF63FDF4A -REG FFFFFFFFFFFFFFFF -REG FFFFFFDFFFFFFFDF -REG FFFFFFFFFFFFFF4D -REG 0000000000000000 -REG 00000010000024B3 -REG 0000000FFDBFDF49 -REG 0000000000000000 -REG 0000000048400800 +GPR0 FFFFFFFFFFFFFFED +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 0000000000000040 +GPR4 000000003FFFFFFF +GPR5 00000FFFE19DFFFF +GPR6 00000000000000FE +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000BF83730 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 F6FFE00000000000 +GPR13 0000000000000000 +GPR14 00000000FFFF0000 +GPR15 000000001E620000 +GPR16 00000FFFF407C8D0 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFF407C8CF +GPR21 000000000801C007 +GPR22 FFFFFFFFF407C8D0 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000359C3948 +LR 0000000000000040 +CTR F6FFE00000000000 +XER 0000000080000000 + diff --git a/tests/650.bin b/tests/650.bin index e20c36c..9f95501 100644 Binary files a/tests/650.bin and b/tests/650.bin differ diff --git a/tests/650.out b/tests/650.out index b258f7f..ed36835 100644 --- a/tests/650.out +++ b/tests/650.out @@ -1,32 +1,37 @@ -REG 00C0FFD000C73F73 -REG 0000000000000000 -REG FFFFFFFFFFFFF000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000F00000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFE1B30000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG CF3F7FFC7CBC0000 -REG FFFFFFE000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000020000 -REG 0000000000186500 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBE -REG FFFFFFFFCC2A0000 -REG 0000000000000000 -REG FFFFFFFFCC2A0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000518000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000050010001 +GPR0 FFFFFFFFA8C1C03C +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFA8C00000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 00000003FFFFFFFE +GPR6 FFFFFFFFFFFFFFFF +GPR7 000000000000003C +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 00000000573FFFFE +GPR15 0000000000000000 +GPR16 0000000005400000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFC +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000004 +GPR23 00000000000010EA +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059D99906 +LR 000000000001C01D +CTR 00001C02A0040000 +XER 00000000C008035B + diff --git a/tests/651.bin b/tests/651.bin index 5da6446..d1d5d65 100644 Binary files a/tests/651.bin and b/tests/651.bin differ diff --git a/tests/651.out b/tests/651.out index 1c85e73..31aaed0 100644 --- a/tests/651.out +++ b/tests/651.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFE20 -REG 0000000000000020 -REG 000000031D03FFFC -REG 0000000000000000 -REG 0000000000000000 -REG 00000000DF1C2E9B -REG 0000000000008000 -REG 0000000000000000 -REG 00000000DF1C2E9B -REG 0000000000000000 -REG FFFFFFFCE2F72624 -REG FFFFFFFF10000003 -REG FFFFFFFFFFFB2620 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000DF1C9C17 -REG FFFFFFFF20E2D981 -REG FFFFFFFF10003466 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFA0FC0000 -REG 000000000000001C -REG 0000000000000020 -REG FFFFFFFF0FFFC2BA -REG 0000000000000020 -REG 0000000000000000 -REG 000000740FFFF000 -REG 0000000000000000 -REG 00000000DF1C2E9B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080098080 +GPR0 0000000000000023 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 000000000001C029 +GPR9 0000000000000000 +GPR10 0000000000000008 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000041 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000557F +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000041 +GPR31 +CR 0000000030908300 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFC1 + diff --git a/tests/652.bin b/tests/652.bin index a51a702..a852018 100644 Binary files a/tests/652.bin and b/tests/652.bin differ diff --git a/tests/652.out b/tests/652.out index fa91cfa..581a4e0 100644 --- a/tests/652.out +++ b/tests/652.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFF61C -REG 0000000000000000 -REG 00000000FFBF9F61 -REG 000000004E000000 -REG 0000000000000008 -REG 0000000007FFFFFF -REG FFFFFFF1AB73019F -REG FFFFFFF1B052019F -REG FFFFFFFFFFFFFFF7 -REG 00000000000005C9 -REG 0000000000000000 -REG 0FFFFFF13403019E -REG 000000000000000D -REG 0000000000006C00 -REG 0000000000000000 -REG 0000000000000018 -REG 00000000FFBF9F61 -REG 05AEE71805AEE718 -REG 000000000840609E -REG 0000000040000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9DE7 -REG 000000000000003F -REG 00000000B052019F -REG 0000000007FFFFFF -REG FFFFFFFFFFFF00FF -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFF1B052019F -REG 000000000000003B -REG FFFFEE1F3EAB6C00 -REG 0000000089E9009D +GPR0 0000000000000000 +GPR1 00000000FFFFAA72 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000001070000F35F +GPR8 0000000000E9781E +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 FFFFFFFFD1BFED7F +GPR15 0000000100000001 +GPR16 0000000000000000 +GPR17 FFFFFFFF904CFFFF +GPR18 0000000000000000 +GPR19 FFFFFFFFFE4F93FF +GPR20 0000000000000000 +GPR21 00000000FFFFFFFE +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000005C0003C0 +GPR26 FFFFFFFF904CFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 00000000399A0555 +LR 0000000000000000 +CTR 0000000000000020 +XER 000000008003FFFF + diff --git a/tests/653.bin b/tests/653.bin index 89a5e5f..37b5566 100644 Binary files a/tests/653.bin and b/tests/653.bin differ diff --git a/tests/653.out b/tests/653.out index e368fd7..f940288 100644 --- a/tests/653.out +++ b/tests/653.out @@ -1,32 +1,37 @@ -REG FFFFC3FD63BEF29A -REG 000000000001B93F -REG 000000002D0F00F7 -REG 0000000000000020 -REG FFFFFFFFFFFFFFCD -REG 00001E01615FB0F4 -REG FEEADB4BFEEADB4B -REG 001FE00000002000 -REG 0F6000000002D0EE -REG 00001E01615FB0F4 -REG 0000015017FFABFA -REG FFFFFFFFFC004746 -REG FFFFFFFE2CE7BFFF -REG 0000000000000000 -REG 00000001D3184000 -REG 0000000000000000 -REG FFFFFEAFE8005406 -REG 001FE00000002000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFCD -REG FFFFFFFFFFEBBFFF -REG FFE020002D0EE0F6 -REG FFFFFFFFFC002E39 -REG 000000000000EA78 -REG 0000000003FFB886 -REG 0000000000004A45 -REG 00001E01615FA510 -REG 0000000000144000 -REG 0000000000000000 -REG 0000000003FFB8B9 -REG 00000000871E01F0 +GPR0 0000000000000000 +GPR1 0000000000000020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C018 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 FFFFFFFFFFFFC8DA +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000020 +GPR10 FFFFFFFFFFFFFFDF +GPR11 FFFFFFFF80000000 +GPR12 0000000080000000 +GPR13 FFFFFFFFFFFFFFDF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000000044D6 +GPR18 0000000080000000 +GPR19 0000000000000020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000020 +GPR25 0000000000000020 +GPR26 FFFC47F06D3EC977 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009995D796 +LR 0000000000000000 +CTR 000000000000969E +XER 00000000A0040000 + diff --git a/tests/654.bin b/tests/654.bin index 0f9c9b8..145ee6c 100644 Binary files a/tests/654.bin and b/tests/654.bin differ diff --git a/tests/654.out b/tests/654.out index c7e258a..32ee835 100644 --- a/tests/654.out +++ b/tests/654.out @@ -1,32 +1,37 @@ -REG FFFB428DD080C0AD -REG 0000022000000220 -REG 0000000100000000 -REG 0000000000000020 -REG 0044100040000000 -REG 0000002E0000002F -REG FFFFAA14FFFFAA14 -REG FFFFFFFFFFFFD4E5 -REG 0000000000000000 -REG 0000020000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0ACD000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000441 -REG FFBBEFFFC0000000 -REG 0000000040000000 -REG DF6800000080FFFE -REG 0000002E0000002E -REG 000000000000002F -REG 0808040208080402 -REG 00000003FF6653A1 -REG 0000000000000000 -REG 0000000000000000 -REG FE00000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000053FC0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000021 -REG 00000000401F0109 +GPR0 F7F7F7F7080D5C1F +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000AD50000 +GPR7 0000000000800000 +GPR8 0000000000000000 +GPR9 0000000000004F1A +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FC00000000000000 +GPR19 0004040400040404 +GPR20 0000000000800000 +GPR21 0000000000800000 +GPR22 FFFFFFFF00055419 +GPR23 0000000000800000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFDE +GPR28 0004040400040404 +GPR29 00000000FFFAFB01 +GPR30 0000003F00000000 +GPR31 +CR 0000000050815200 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C00E + diff --git a/tests/655.bin b/tests/655.bin index a6a2436..035af43 100644 Binary files a/tests/655.bin and b/tests/655.bin differ diff --git a/tests/655.out b/tests/655.out index a9f6c05..6f433c2 100644 --- a/tests/655.out +++ b/tests/655.out @@ -1,32 +1,37 @@ -REG FFFFFFDBFFFFFFDB -REG FFFFFFFFE1FFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000008000E57B -REG 0000000400000004 -REG 0000000088043DE8 -REG 03F2003DC4000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFC800F71 -REG FFFFFFFFFFFC07FF -REG FFFFFFFFFFFFFFFF -REG 0000000000000071 -REG 000000000000E006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000088044844 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFE -REG 000000000000001F -REG FFFC07FF00000000 -REG 0000000028044844 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFF8 -REG 000000000003F800 -REG FFFFFFFFFFFFFFFD -REG 0000000110109088 +GPR0 FFFFFFFFFFFE3FEE +GPR1 0000000000004C75 +GPR2 0000000000000000 +GPR3 000000000001C050 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 000000000001C050 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 FFFFFFFD1D7ACC40 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFD4DE +GPR16 0000000051C1FFFF +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000002 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 000000000001C010 +GPR24 000000000001C010 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000500000B8 +LR 000000000001C010 +CTR 0000000000000000 +XER 0000000080001290 + diff --git a/tests/656.bin b/tests/656.bin index 574a120..5403d66 100644 Binary files a/tests/656.bin and b/tests/656.bin differ diff --git a/tests/656.out b/tests/656.out index ecb104a..db3b753 100644 --- a/tests/656.out +++ b/tests/656.out @@ -1,32 +1,37 @@ -REG 0000000044FF0FB2 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000006890000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFE6F69FFDF -REG 0000000000000000 -REG FFFFFFFE6F69FFDF -REG 00000000000047A0 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000011BC20A3 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C84B0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000008F40 -REG FFFFFFFF83FFB860 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000109FF1023 +GPR0 0000000000000000 +GPR1 FFE09FFFFFFE3FDF +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFEF04 +GPR4 00000000000010FB +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 001F60000001C020 +GPR8 0000000000000000 +GPR9 0000000040000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000040000000 +GPR14 0808080804040000 +GPR15 0000000000000000 +GPR16 0000000059D0C394 +GPR17 0000000000000020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF3EFF +GPR21 B000010FB0000003 +GPR22 00000000001F0000 +GPR23 00000000000003F8 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFEF04 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000999F8390 +LR 0000000000000000 +CTR 0808080804040000 +XER 000000008003C000 + diff --git a/tests/657.bin b/tests/657.bin index e2978d2..ea4b6dd 100644 Binary files a/tests/657.bin and b/tests/657.bin differ diff --git a/tests/657.out b/tests/657.out index af3d70d..7138a65 100644 --- a/tests/657.out +++ b/tests/657.out @@ -1,32 +1,37 @@ -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000020 -REG 2C59128762AF0007 -REG 0943B1578003962C -REG FFFFFFFFFFFFFFFF -REG 0000000000100000 -REG D721130943FFFFF9 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000003A96 -REG 00000000FFFFBF06 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFBF06 -REG FFFFFF00FFFFFFFF -REG 0000000000000040 -REG 0000100000001000 -REG 0000000000000000 -REG 28DEECF6BC000007 -REG 0000000000400000 -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFE0000018FF6 -REG 000000000000DEF1 -REG 000000000000464E -REG 0000000020000000 -REG 0000000080888841 +GPR0 0000000000000144 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000008000 +GPR7 FFFFFFFFFFFF8000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000012 +GPR13 FFFFFFFFFFFE3FFD +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C021 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFBFFE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C002 +GPR29 0000000000000020 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003F2DC001 +LR 0000000000000000 +CTR FFFFFFFFFFFFA712 +XER 00000000A0040000 + diff --git a/tests/658.bin b/tests/658.bin index 5606a4c..044d4b1 100644 Binary files a/tests/658.bin and b/tests/658.bin differ diff --git a/tests/658.out b/tests/658.out index d0fd896..babe477 100644 --- a/tests/658.out +++ b/tests/658.out @@ -1,32 +1,37 @@ -REG 00000000611D4DE0 -REG 00000000FC000000 -REG 0000000000000010 -REG 000103D74E591577 -REG FFFFFFFFB76E0000 -REG 00000000000065F4 -REG FFFFBFFE000017FF -REG 0000000000000000 -REG 00000000611D0020 -REG 00000002CF380003 -REG 0000000000004DC0 -REG 0000000000000020 -REG 00000000000065F4 -REG 0000000000000000 -REG FFFFFFFFB76E0000 -REG 000000000000000D -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000020 -REG FFFFFFFF20000002 -REG 0000000000000000 -REG FFFFFFFF68920002 -REG 3CE0000C0000000B -REG 00000000FBFFFFFF -REG FFFFFFFD30C7FFFD -REG FFFFFFFD30C7FFFD -REG 00000000F97D0020 -REG 0000000000000000 -REG FFFFFFFFFBFFFFFF -REG 0000000040089090 +GPR0 B8000000B83E0001 +GPR1 0000000000000000 +GPR2 0000000000000039 +GPR3 00000000FFFF00FE +GPR4 FFFFFFFFFFFE4020 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 00000000FFFF00FF +GPR9 0000000000000000 +GPR10 0000010000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000042 +GPR13 0001C00000000000 +GPR14 00000000107C0000 +GPR15 FFFFFFFFFFFFFFFB +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000300000003 +GPR23 0000000300000003 +GPR24 0000000041FE0000 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 00000000505E0BC8 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000039 +GPR31 +CR 00000000305E0BC8 +LR 0000000000000000 +CTR 000000000000001F +XER 0000000080000000 + diff --git a/tests/659.bin b/tests/659.bin index 2566ab6..c0fd70c 100644 Binary files a/tests/659.bin and b/tests/659.bin differ diff --git a/tests/659.out b/tests/659.out index 697f88e..d091398 100644 --- a/tests/659.out +++ b/tests/659.out @@ -1,32 +1,37 @@ -REG 0003FFFFFFFF847D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040880203 -REG 0000000000000020 -REG 0003FFFFFFFFFFEC -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000E8CE -REG FFFFFFFFFFFFFFFF -REG 0000000040000203 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000053DCE8CE -REG FFFFFFFFFFFFFFFF -REG 0000000000000013 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFC7E69C -REG 0000000000000032 -REG 000000000000136E -REG 0000000002000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF8A20 -REG FFFFFFFFFE00001F -REG 00000000000013A3 -REG 0000000000000000 -REG 0000000041100406 +GPR0 0000DB2A4108BD38 +GPR1 0000000000000000 +GPR2 0000000000000036 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000180000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFC28A +GPR9 FFFFECD0000282C8 +GPR10 000000000000002B +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFDC +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000013 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFF9AFFFFFF9A +GPR26 00003BD21287FD03 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFF37FA410A3FFF +GPR30 0000000000000000 +GPR31 +CR 000000003085945F +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/66.bin b/tests/66.bin index 5002ec3..91617ae 100644 Binary files a/tests/66.bin and b/tests/66.bin differ diff --git a/tests/66.out b/tests/66.out index 88545c5..e332d2a 100644 --- a/tests/66.out +++ b/tests/66.out @@ -1,32 +1,37 @@ -REG 0000000000000FC0 -REG 0000000000000000 -REG 00000000000005C1 -REG 5A860000000005B8 -REG 00000000000000EF -REG 5A860000000005B7 -REG 0000000000000000 -REG 0000000000000256 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFDA8 -REG 00000000000005C1 -REG 42D4300000000000 -REG 0000000000000A00 -REG FFFFFFFFFF4D42E6 -REG FFFEFFFFC486FFFF -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFD5EF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000001E39 -REG 0000000000000000 -REG 42D4300083740000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF000250005BA -REG FFFFFFFFFFEC2B10 -REG 0000000000000A00 -REG FFFFFFFFFFFFD5F0 -REG 0000000090010801 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000938ED0DB60F0 +GPR7 D7700000001FFFFF +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 000000000000C000 +GPR11 0000000000000035 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000005D95 +GPR15 0000000000000000 +GPR16 000000000000206A +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFF9E9F +GPR19 FFFFFFFFFFFFFFFF +GPR20 000000000000002F +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000018CCE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000003804 +GPR31 +CR 0000000030500003 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFC0 +XER 0000000080000000 + diff --git a/tests/660.bin b/tests/660.bin index 7ef6a5a..27bc108 100644 Binary files a/tests/660.bin and b/tests/660.bin differ diff --git a/tests/660.out b/tests/660.out index 780f3bc..9c34df7 100644 --- a/tests/660.out +++ b/tests/660.out @@ -1,32 +1,37 @@ -REG B9BFF665B9BE4C60 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000009 -REG 001000000010000A -REG 4640099A4641B3ED -REG 0000000000000013 -REG 0000000000C90000 -REG FFFFFFFFFFFFFFFF -REG 00000000000017D4 -REG FFFFFFFFFFFFFFFB -REG FFFFFFFFFFFFFFFF -REG 400000003FFFFFAE -REG 400000003FFFFFAD -REG FFFFFFFFFFFFFFF8 -REG 40000000400028C1 -REG 62EC0C5EF3DFEEFA -REG 0000000000000000 -REG 4640099A4641B3ED -REG 3CF822913CF82291 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG BFFFFFFF4B9FE86F -REG 9EFF77D79EFFFFFF -REG FFFFFFFFFFFFFFFB -REG 0000000000000013 -REG 24AC05C4B59E5D17 -REG 4640099A46414839 -REG 000000009E09E908 +GPR0 FFFFFFFFFFFFFFBE +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFE3FE1 +GPR10 0000000000000001 +GPR11 0000000000000002 +GPR12 000000000000268E +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 000000000001C01F +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 000000000000007F +GPR28 FFFFFFFFFFFFFFFE +GPR29 0200000000000001 +GPR30 0000000000000000 +GPR31 +CR 0000000030053F11 +LR 000000000000001F +CTR FFFFFFFFFFFE3FC0 +XER 00000000E00FFFFE + diff --git a/tests/661.bin b/tests/661.bin index 7662a9b..4fe82fd 100644 Binary files a/tests/661.bin and b/tests/661.bin differ diff --git a/tests/661.out b/tests/661.out index fb045ce..8c3a85a 100644 --- a/tests/661.out +++ b/tests/661.out @@ -1,32 +1,37 @@ -REG FC7FFF94D0160B49 -REG 00000000000000E0 -REG FFFFFFFFD0160B49 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFE80B13B -REG 0000000000000000 -REG 0000002000000020 -REG 24E1400000000000 -REG FFFFFFFFFFFFE3E0 -REG FFFFFFFFFFFFFFFF -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000000 -REG 0000000000000000 -REG 0001000000010000 -REG 0000000000000005 -REG FFFFFFFFFFF1FE00 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG F1F07FFFF1C00003 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000010909E8D0 +GPR0 0000000033DE4796 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000007 +GPR6 0000000000000000 +GPR7 0000000001C90000 +GPR8 FFFFFFFFFFFFC3DF +GPR9 0000000000000000 +GPR10 00000000FFFF6DCB +GPR11 0000000000000000 +GPR12 0000000000004E86 +GPR13 0000000000000001 +GPR14 0000000000000001 +GPR15 0000000000000020 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000001 +GPR19 0000000001C90000 +GPR20 0000000000000000 +GPR21 0000000033DF3930 +GPR22 00001FFFFFFFFFFF +GPR23 0000000000004E86 +GPR24 0000000000004E85 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000033DF3930 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFF00000000 +GPR30 00000000FFFF6DCB +GPR31 +CR 000000003BD93930 +LR FFFE9A67FFFFE000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/662.bin b/tests/662.bin index 72f09cd..029e699 100644 Binary files a/tests/662.bin and b/tests/662.bin differ diff --git a/tests/662.out b/tests/662.out index 16102ad..306d593 100644 --- a/tests/662.out +++ b/tests/662.out @@ -1,32 +1,37 @@ -REG 0000002000000021 -REG 0000000000000000 -REG 000000000000F86C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA3FD -REG 0000000000007C36 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFBFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000003BF9 -REG FFFFFFDFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000000009FF9 -REG 0000000003FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000101050910 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000002 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFED94F8 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000039432802 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 00000000FFED94F8 +GPR18 0000000000000167 +GPR19 0000000000000000 +GPR20 000000005005F486 +GPR21 0000000000000000 +GPR22 FFFFFFFFAFFA0B7F +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000080000EFE +GPR26 4FFFC0267FFFFFFE +GPR27 08080808080647E8 +GPR28 FFFFFFFFFFED94F7 +GPR29 00000000FFFFFBA8 +GPR30 0000000000000000 +GPR31 +CR 000000003D930001 +LR 0000000000000000 +CTR 0000000039432802 +XER 00000000C0080000 + diff --git a/tests/663.bin b/tests/663.bin index 74ba4f4..2609e3c 100644 Binary files a/tests/663.bin and b/tests/663.bin differ diff --git a/tests/663.out b/tests/663.out index 5e1958f..09ac11a 100644 --- a/tests/663.out +++ b/tests/663.out @@ -1,32 +1,37 @@ -REG 0001FE00FF810020 -REG 0000002100000000 -REG FFFFFFFFFFFFFFE0 -REG 0000002100000000 -REG FFFFFFFFFFFFFFE0 -REG 0210000000000000 -REG 0000000000000000 -REG 000000000000007E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000200000000 -REG 0000000000000001 -REG 00000000000003A2 -REG FFFE01FFFF80003F -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG FFFFFFFF9A060000 -REG 0000000000800000 -REG FFFFFFFFFFFFFFE0 -REG 000000000000001F -REG 000000000000001E -REG 0808080808080800 -REG 0000000200000100 -REG FFFFFFFF9A060000 -REG 0000000000000020 -REG FFFFFFFFFFFFFF81 -REG 0000000000000000 -REG 000000005FF1FF02 +GPR0 FFFFFFFFFFFF1223 +GPR1 0000000000000006 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000000003F +GPR5 0000000000000000 +GPR6 000000000000EDDB +GPR7 000000007FFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000000003C6 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000605 +GPR17 FFFFFFFFFFFFFFFC +GPR18 FFFFFFFFF89F57F6 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 03FFFFFFF8000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000028B0000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000030090050 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/664.bin b/tests/664.bin index 1309938..bfed550 100644 Binary files a/tests/664.bin and b/tests/664.bin differ diff --git a/tests/664.out b/tests/664.out index 35608e0..3c1497e 100644 --- a/tests/664.out +++ b/tests/664.out @@ -1,32 +1,37 @@ -REG 0DFFFFFEDCF37651 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 00000000000078C9 -REG 0000000000000000 -REG FFFFFFFFFFFFCDA5 -REG 000000005A7C0020 -REG 000000000000033A -REG 0000000000000000 -REG 00000000000078C9 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000000000000 -REG 00000000000078C9 -REG 0000000000004000 -REG 0000000000000000 -REG 0000000000000000 -REG 960A0FF5ED2063AD -REG 0000000000000000 -REG 0000000000004000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 +GPR0 00000000A0040000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 000000007D22C8D5 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 FFFFFFFFFFFFFFFD +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000002 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFE3FF4 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000007D22C8D2 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000000001C00C +GPR27 0000000000000001 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 0000000033089B18 +LR 0000000000000000 +CTR 0000000000000001 +XER 00000000E00C0000 + diff --git a/tests/665.bin b/tests/665.bin index 9e5edd0..25d8308 100644 Binary files a/tests/665.bin and b/tests/665.bin differ diff --git a/tests/665.out b/tests/665.out index 6bfac36..df7691b 100644 --- a/tests/665.out +++ b/tests/665.out @@ -1,32 +1,37 @@ -REG 08890406F5FFFABD -REG 0000000000000000 -REG 00000000E1C90001 -REG 000000000000000B -REG 000000006E000000 -REG 0101030100000504 -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000DBFFFAFB -REG 000000000000FA55 -REG 0000000000000000 -REG 000000000000FA54 -REG 0000000000000006 -REG 000000006E000000 -REG 0000000000000040 -REG 0107080808080802 -REG 0000000000000000 -REG 08080707F5FF4658 -REG 0000001900000019 -REG FFFFFFFFFFFFFFFF -REG FFF61B0000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFF5FFFFFA -REG 0000000000000015 -REG 0101030100000504 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000090890E89 +GPR0 0000000000000003 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFC95C +GPR5 FFFFFFFFFFFFFFFF +GPR6 00000000000036A4 +GPR7 0000000000000000 +GPR8 0000000000008C80 +GPR9 0000000000008C80 +GPR10 FFFFFFFFFFFFFFA7 +GPR11 FFFFFFFF96A2FFA6 +GPR12 00000000C0080000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFA7 +GPR17 000000000000CCA5 +GPR18 FFFFFFFFFFFFFFA6 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000059 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035090258 +LR 000000000001C003 +CTR 000000000001C01F +XER 0000000080000000 + diff --git a/tests/666.bin b/tests/666.bin index c177732..b85dc83 100644 Binary files a/tests/666.bin and b/tests/666.bin differ diff --git a/tests/666.out b/tests/666.out index 3ad6306..ce0618b 100644 --- a/tests/666.out +++ b/tests/666.out @@ -1,32 +1,37 @@ -REG 0000000040F930DB -REG 00000000000038DC -REG FFFFFFFFFFFF7F21 -REG 0000000000000000 -REG 0000000000004C15 -REG 000000000000001F -REG 0000000000000009 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBFFFFFFF -REG 0000000000004C15 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004C15 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000004800 -REG 000000000000000B -REG 0000000000000000 -REG 0000000081E80408 +GPR0 000000000000055C +GPR1 FFFFFFFFFFFFFFFE +GPR2 FFFFFFFFFFFFFAA4 +GPR3 0000000000000000 +GPR4 FFFD07FD07FD1D46 +GPR5 0000000000001ABA +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 000000000000001F +GPR9 3FFFFFFFDEB5E010 +GPR10 000000000001C020 +GPR11 0000000000040000 +GPR12 FFFFFFFFFFFFFABF +GPR13 000000000001C020 +GPR14 000000000001C021 +GPR15 0002F802F802F802 +GPR16 000000000001C020 +GPR17 FF1CFFFFFFFF0000 +GPR18 0000000000000000 +GPR19 FFFFFFFFBD6BC020 +GPR20 FFFFFFFFFFFBFFFF +GPR21 C0003FC740000000 +GPR22 0000000000000000 +GPR23 00000000001FFFE0 +GPR24 FFFFFFFFFF000000 +GPR25 FF1D0000250B0000 +GPR26 000000000003FFFF +GPR27 0000000000000004 +GPR28 0000000000000000 +GPR29 0000000000000013 +GPR30 0000000000001ABA +GPR31 +CR 0000000050950009 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A007C8CE + diff --git a/tests/667.bin b/tests/667.bin index f0ba45e..9e4f797 100644 Binary files a/tests/667.bin and b/tests/667.bin differ diff --git a/tests/667.out b/tests/667.out index a32c1b0..06347b2 100644 --- a/tests/667.out +++ b/tests/667.out @@ -1,32 +1,37 @@ -REG 0000000008000020 -REG 0000000000000000 -REG 000E7F00000E0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 03A0000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG 00000E7F00000E7F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004CFC -REG 0000000000000E80 -REG 0000000040000084 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFFFFE -REG 0000000000000E80 -REG 0000000000000000 -REG 0000000020000082 -REG 0400000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000099F8 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000100000091 +GPR0 0000000000000040 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000002 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000001FFFFFFC +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFD2000163D2 +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 0000000000000040 +GPR13 000000001FFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 00000000861D0000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFF861D0000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFF453 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFD2000163D2 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 1FFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000005F3DF596 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFBE +XER 000000008003FFFF + diff --git a/tests/668.bin b/tests/668.bin index 4586960..b9aedb1 100644 Binary files a/tests/668.bin and b/tests/668.bin differ diff --git a/tests/668.out b/tests/668.out index f0ce6c4..c8d6485 100644 --- a/tests/668.out +++ b/tests/668.out @@ -1,32 +1,37 @@ -REG 001FFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFF000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000060 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFC0003 -REG 3FFFFFFFBFFC0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFDFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 000100000003FFFB -REG 00000000840F4F88 -REG FFFFFFFFFFFFFFFF -REG 00000000000004E0 -REG 00000001081E9F10 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFB +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000007F400000 +GPR5 0000000070F20000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000008 +GPR11 000000007F400000 +GPR12 0000000000000040 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000020 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFE6 +GPR18 0000000000000000 +GPR19 0000000000008000 +GPR20 FFFFFFFFFFFFF0FC +GPR21 000000007F400000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000070F20000 +GPR25 0000000000000000 +GPR26 0000000000000005 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFDF +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 0000000090A00855 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A004000F + diff --git a/tests/669.bin b/tests/669.bin index 221432a..d56d6c1 100644 Binary files a/tests/669.bin and b/tests/669.bin differ diff --git a/tests/669.out b/tests/669.out index bf1813f..bd8b6ac 100644 --- a/tests/669.out +++ b/tests/669.out @@ -1,32 +1,37 @@ -REG 000000008042A553 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000008E25 -REG 000025EB000025EB -REG FFFFFFFFFFFFFFFD -REG FFFFFFFFFFFFFFFD -REG 00000000000000FF -REG FFFFFFFF7FBD7619 -REG FFFFFFFF9FD72377 -REG 0000000000000400 -REG 0000000000000040 -REG 0000000000003FFF -REG 0000000000000000 -REG F8001FFFFFFFC000 -REG 0000000080421124 -REG 0000000000003FFF -REG 000000006028C126 -REG 0000000000000000 -REG FFFFFFFF7FBD75D8 -REG 0000000000000040 -REG 000000006028C526 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000398 -REG 07FFE00000000000 -REG 0000000000000000 -REG 000025EAFFFFDEA2 -REG 000025EBFFFFFFEB -REG 000025EB000025EB -REG FFFFFFFFFFFFFFFF -REG 000000009A900000 +GPR0 000000000200FFE0 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 000000008003FFFF +GPR4 0000000035005055 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFF0000FF00 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000004000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000002010000 +GPR16 000000000001C020 +GPR17 00000000FFFF0081 +GPR18 00000000FCA1AF29 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000004D47FECA1 +GPR22 0000000080000000 +GPR23 000000000000EF59 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 FFFFFFFFFFFFFFF0 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 0000000002000154 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/67.bin b/tests/67.bin index 64a9eee..7c80569 100644 Binary files a/tests/67.bin and b/tests/67.bin differ diff --git a/tests/67.out b/tests/67.out index 7d50815..0e5a58f 100644 --- a/tests/67.out +++ b/tests/67.out @@ -1,32 +1,37 @@ -REG FFFFC00064F4019F -REG 0000000000000000 -REG 0000000000001081 -REG FFFFFFFFFFFFFFFF -REG 00003FFFFFFFFFA0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF00 -REG 00000000000FC000 -REG 00003FFFFFFFFFA0 -REG 0000000000001081 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFEF7E -REG 00000000000040DC -REG 00003FFF9B0BFF9F -REG 000000F8000000F8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00003FFF9B0BBEC3 -REG 0000000000005ADA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080080849 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000009A890F9F +GPR6 0000000000000040 +GPR7 FFFFFFFFFFFFFFBF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFC0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000000096A3 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 00000000FFFFFFC0 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFF +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000009A890F9E +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003A890F9F +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 00000000C00BFFFF + diff --git a/tests/670.bin b/tests/670.bin index 248339e..4e27dfc 100644 Binary files a/tests/670.bin and b/tests/670.bin differ diff --git a/tests/670.out b/tests/670.out index ba80ff9..4fcd329 100644 --- a/tests/670.out +++ b/tests/670.out @@ -1,32 +1,37 @@ -REG 08080802BB34905D -REG 0000020000000200 -REG 0000000000000020 -REG 0000000000000000 -REG 00000004A2B40F54 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 00000000000707F0 -REG FFFFFFFF4180827B -REG 0000020000000200 -REG 00000004A2AD0764 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF00 -REG 00000000012D07D0 -REG 0000000000000020 -REG 00000000000D81DF -REG FFFFFFFFFFBBF08B -REG 00000000000707D0 -REG 0808080241A68A7C -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF4180827B -REG 0000000000000020 -REG 0000000000000000 -REG 0000020000000200 -REG 0000000050089011 +GPR0 0000000000000000 +GPR1 00000000FFEDB759 +GPR2 FFFFFFFFFFFE3FE0 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000400000004 +GPR6 0000000000000000 +GPR7 00000000CFF916BE +GPR8 0000000000000000 +GPR9 0000000000000002 +GPR10 000000000001C020 +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000FFFFFFFF +GPR13 0000000000000005 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000030069F19 +GPR16 00E91401000193FF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00E9140000019400 +GPR20 FFFFFFFFFFFFFFFE +GPR21 FFFFFFFFFFFE3FE0 +GPR22 FFFFFFFFFFFFFFFE +GPR23 327FE0003279E000 +GPR24 FFFFFFFFFFFF9BB0 +GPR25 0000000000000005 +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000000095521 +GPR29 00000000CFF960E6 +GPR30 0000000000000000 +GPR31 +CR 0000000050599508 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0069F19 + diff --git a/tests/671.bin b/tests/671.bin index 908b556..d907937 100644 Binary files a/tests/671.bin and b/tests/671.bin differ diff --git a/tests/671.out b/tests/671.out index a16bb37..32b1275 100644 --- a/tests/671.out +++ b/tests/671.out @@ -1,32 +1,37 @@ -REG BFFFFFE9FB9FFFFF -REG 0000660000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000330000000 -REG 000000200000001B -REG BFF8001604000000 -REG 000000200000EE47 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 629FFFFFBF7FBF74 -REG 0000000000000000 -REG FFFF99FFFFFF3F41 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFBF7FBF77 -REG 0000000000000000 -REG 000000000000003B -REG 01D8000000000000 -REG 0000001900000019 -REG 9D60000000000001 -REG FFFFFFFFFFFFFFFF -REG 000000000000003B -REG 0000000000000000 -REG 0107FFFFFF000000 -REG 629FFFFFFFFFFFFD -REG FFFFFFFFBBC5A000 -REG 0000000090880801 +GPR0 FFFFFFFFFFFE2D11 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000000B +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFF5 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFF0000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFF00 +GPR17 0000000000000040 +GPR18 0000000000000014 +GPR19 0000000031587D5F +GPR20 0000000000000000 +GPR21 0000000000000006 +GPR22 0000000000004C5E +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFF50016 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000002 +GPR29 0000000000000040 +GPR30 00000000000000FE +GPR31 +CR 0000000031587D5F +LR 0000000000063060 +CTR 0000000000000000 +XER 00000000A0048251 + diff --git a/tests/672.bin b/tests/672.bin index f1b1288..fd197f3 100644 Binary files a/tests/672.bin and b/tests/672.bin differ diff --git a/tests/672.out b/tests/672.out index f8ab7f9..c23139b 100644 --- a/tests/672.out +++ b/tests/672.out @@ -1,32 +1,37 @@ -REG FFE7FFFFFFFFCACB -REG FFFFFFFFFFFFFFC0 -REG 0000000000000020 -REG FFE7FFFFFFFF9D99 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000300000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG FFFF97B6C0000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000006266 -REG 000000000000FFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFC0 -REG 0000000000006266 -REG 0000000000000080 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000024088884 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000108110908 +GPR0 000000000000CF18 +GPR1 000000000001C004 +GPR2 00000000000001C0 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0808080808080808 +GPR6 0000000000000000 +GPR7 0000000000001355 +GPR8 FFFFFFFFFFFFFE3E +GPR9 FFFFFFFFFFFFD954 +GPR10 0000000000000000 +GPR11 0000000000000016 +GPR12 0000000000000040 +GPR13 FFFFFFFFFFFE3FDF +GPR14 FFFFFFFFFFFFFE3F +GPR15 FFFFFFFFFFFFFFFF +GPR16 20000000000001C0 +GPR17 000000000000FDC5 +GPR18 0000000000FFFFFF +GPR19 0000000000000005 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 00000000000001C0 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000005 +GPR27 FFFFFFFFFFFFC020 +GPR28 0000000000000001 +GPR29 0000000000000020 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 00000000590050A0 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/673.bin b/tests/673.bin index 596d256..c539d44 100644 Binary files a/tests/673.bin and b/tests/673.bin differ diff --git a/tests/673.out b/tests/673.out index 43b0e93..d3340ac 100644 --- a/tests/673.out +++ b/tests/673.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF000001E -REG 0000007BCDD8007B -REG 0000000056280000 -REG 0000000000000000 -REG 1800144B80000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG FFFFFE28A0B77996 -REG FFFFFFFEFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 88CC000000000000 -REG 0000000100000001 -REG 0000000000001A58 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000040 -REG 0000000000000000 -REG 005817BEA3000000 -REG 0000000000000000 -REG 000000000FFFFFFF -REG 0000000000000004 -REG 0000000000E00000 -REG 00001800144B975D -REG 0000000000038000 -REG FFFFFFFFFFFFE299 -REG 0000007BCDD8007B -REG 0000007B0000007B -REG 00001800144B975D -REG 0000000000000000 -REG 0000000051B040E3 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 000000000000003F +GPR5 0000000000000000 +GPR6 0008080808080808 +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000002 +GPR11 FFFFFFFFFFFFFFF0 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFE +GPR16 FFFF7F7F7F7F7F80 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFD3A5 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0808080808080808 +GPR25 0000000000000001 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039008B94 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/674.bin b/tests/674.bin index 3dbc5e7..505fbd3 100644 Binary files a/tests/674.bin and b/tests/674.bin differ diff --git a/tests/674.out b/tests/674.out index 5a61e83..7c934ae 100644 --- a/tests/674.out +++ b/tests/674.out @@ -1,32 +1,37 @@ -REG 000000000000436E -REG FFFFFFFFFFFF8000 -REG 0000005A80000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000050AF -REG FF20000000000000 -REG D60000D4DFFFFFD4 -REG 0000005A80000000 -REG 0000000020040010 -REG 8578000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFEFE9 -REG 00000000000050AF -REG FFFFFFFFFFFFFFFF -REG 4000089E4000001E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF2BF -REG 0000000000000040 -REG 0000000000007FFF -REG FFFFFFFFFFFFEFE9 -REG 0000005A80000000 -REG FFFFFFFFFFFFFFFF -REG 0000000022790000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFF000047 -REG 0000000000000000 -REG 00000000000050AF -REG FFFFFFA580000000 -REG 0000000081080021 +GPR0 0000000000000008 +GPR1 00000000FFFFFFFE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000040 +GPR7 000000F1898F54F1 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000052 +GPR12 0000000000000040 +GPR13 000000010000003E +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 0000000000000052 +GPR17 00000000898F54F1 +GPR18 FFFFFFF800000000 +GPR19 000000F1898F54D0 +GPR20 FFFFFFFFFFFFFF81 +GPR21 0000000000000000 +GPR22 000000F1899098F4 +GPR23 FFFFFF0E766F670B +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000040 +GPR27 C9DA5E25F79C0DB4 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFF7 +GPR30 000000010000003E +GPR31 +CR 0000000090902050 +LR FFFFFFEB16630000 +CTR 0000000000000041 +XER 0000000080000000 + diff --git a/tests/675.bin b/tests/675.bin index 57be67d..b096730 100644 Binary files a/tests/675.bin and b/tests/675.bin differ diff --git a/tests/675.out b/tests/675.out index a7a117e..1f98ab4 100644 --- a/tests/675.out +++ b/tests/675.out @@ -1,32 +1,37 @@ -REG 000000000000000E -REG 0000000000000001 -REG F06BAF76F06B0176 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF8BA30000 -REG FFFFFFFFF76F06BA -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF76F06BA -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFEB9B -REG 00000000745CFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000FE251 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100804881 +GPR0 FFFFFFFF2FFBFFBF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000040A00 +GPR5 FFFFFFFFCFFFFFFF +GPR6 00000000FFFFFFFF +GPR7 0000000000000020 +GPR8 00000000A0040040 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000B31C +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFF5FFBFFBF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF8554 +GPR22 FFFFFFFFFFFFFFF8 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030010050 +LR 0000000000000001 +CTR 00000000001FA122 +XER 00000000C0080040 + diff --git a/tests/676.bin b/tests/676.bin index 5024e03..1a761be 100644 Binary files a/tests/676.bin and b/tests/676.bin differ diff --git a/tests/676.out b/tests/676.out index 06781fd..c043dd0 100644 --- a/tests/676.out +++ b/tests/676.out @@ -1,32 +1,37 @@ -REG 00000081093F7120 -REG 000000000000001B -REG 000000006767FFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAEC2 -REG FFFFFFFFFFFFD37D -REG FFFFFFFFFFFFAED9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003B -REG 000000FFFFFFFFFF -REG FFFFFFFFFFFF8960 -REG 0000000000000000 -REG FFFFFFFFFFFFB8E2 -REG 0000DF7C00000F7C -REG 0000000000000000 -REG FFFFFFFFFFFFAEC2 -REG 0000000000000000 -REG 0000000001D80000 -REG 0000000000000400 -REG 0000000000000038 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000020000000 -REG FFFFFFFFFFFFFFE5 -REG FFFFFFFFFFFFFFE2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000000 +GPR0 000000000000003D +GPR1 0000000000000041 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000010000 +GPR6 0000000000000002 +GPR7 000000000000FFFF +GPR8 0000000000000770 +GPR9 0000000000300000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000003F +GPR13 000000007FFFF890 +GPR14 0000000000000770 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFF88F +GPR17 0000000000000000 +GPR18 003F003F003F003F +GPR19 FFFFFFFFFFFFFFBE +GPR20 FFFFFFFFFFEEFC7E +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000010770 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 FFFFFFFF7FFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 000000005039FF09 +LR 000000000000099E +CTR 00000000FFFF0000 +XER 000000008003FFEE + diff --git a/tests/677.bin b/tests/677.bin index 442de8f..bb917b7 100644 Binary files a/tests/677.bin and b/tests/677.bin differ diff --git a/tests/677.out b/tests/677.out index 8736f3e..4292c1e 100644 --- a/tests/677.out +++ b/tests/677.out @@ -1,32 +1,37 @@ -REG 0000000000190060 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000065680000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000408 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 8A859900BC500000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000190000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000810 +GPR0 0000000000000000 +GPR1 0000000000003C0C +GPR2 0000000000000000 +GPR3 0000000007FFE000 +GPR4 FFFFFFFFFFFC0007 +GPR5 000000001C016000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000FFF89019 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 000000001C01600A +GPR14 0000000000000008 +GPR15 0000000000000000 +GPR16 000000001C016000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000029516997 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000000000001 +GPR24 000000001C016000 +GPR25 FFFFFFFFD6C83C0C +GPR26 0000000000000000 +GPR27 0000000000002000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000078 +GPR31 +CR 0000000039516997 +LR 00000001FFF00001 +CTR 00000000AE825FFF +XER 00000000E00C0008 + diff --git a/tests/678.bin b/tests/678.bin index fc1aa60..f2af8ce 100644 Binary files a/tests/678.bin and b/tests/678.bin differ diff --git a/tests/678.out b/tests/678.out index a7a1cc1..57aaa14 100644 --- a/tests/678.out +++ b/tests/678.out @@ -1,32 +1,37 @@ -REG FFFF811C6FF5F10B -REG 0000000000000000 -REG 0000000036C6007F -REG 0000000000000000 -REG FFFFFFFF900A7780 -REG 0000000000000001 -REG FFFFFFFFFFFFFE00 -REG FFFFFFFFFFFFFFFC -REG 000000000000794D -REG 000000000000B972 -REG 0000000000000001 -REG 0000000000000040 -REG E900000000000004 -REG 0000000000000000 -REG FFFFFFFF8000001F -REG FFFFFFFFFFFFFFFC -REG FFFF86B3FFFF86B3 -REG 0000000000000200 -REG FFFFFFFFFFFFFFFC -REG FFFFC359800EB053 -REG FFFFFFFFFFFFFFFF -REG 00000000FD150000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 00000000000001FC -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000090000450 +GPR0 92DB6DB6DB6DB6DC +GPR1 FFFFFFFF45000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFD9A862911CBFB +GPR6 0000000000003BF2 +GPR7 FFFFFFFFFFFFFFFB +GPR8 000000000001BA1F +GPR9 0000000000000000 +GPR10 0000000000000120 +GPR11 00000000EACB8813 +GPR12 0265EA5C02645043 +GPR13 FFFFFFFFFFFFFFE4 +GPR14 FD9A15A3FD9A15A3 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000001 +GPR17 000000000000277D +GPR18 0000000000000007 +GPR19 0000000000000001 +GPR20 0000000000000018 +GPR21 FFFFFFFFFFFE45DF +GPR22 FD9A15A3FD9A3A8B +GPR23 000000000001BA1F +GPR24 000000000000001C +GPR25 00000000EACBACDB +GPR26 0000000000003BF0 +GPR27 0265EA5C02645043 +GPR28 000000000001C020 +GPR29 00000000B15420DB +GPR30 00000000004D1D40 +GPR31 +CR 000000009C51955C +LR 0000000000000000 +CTR 000000000000001F +XER 0000000080005043 + diff --git a/tests/679.bin b/tests/679.bin index 1c68a77..5677de3 100644 Binary files a/tests/679.bin and b/tests/679.bin differ diff --git a/tests/679.out b/tests/679.out index 4c7664f..db743c3 100644 --- a/tests/679.out +++ b/tests/679.out @@ -1,32 +1,37 @@ -REG 000005C680F005CB -REG 000000001BD90076 -REG 0000000000000076 -REG 000000002FFF54C1 -REG FFF67607FFFA2140 -REG 0000000000000000 -REG 0000000000000009 -REG 00000000000000FB -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000001DC0 -REG 000000002FFF880D -REG 000989F80005865A -REG FFFFFFFFFFFFFB79 -REG 00000000C38B0001 -REG FFFFFFFFFFFFFFFF -REG FFFFFA39FFFFFA39 -REG 00FBE23C00FBE236 -REG FFFFFFFFFFFFFA39 -REG 00000000DB9F0001 -REG 0000000000000001 -REG 0000000000000000 -REG 080808087DF8E7E7 -REG FFFFFFFFFFFFE236 -REG 080808087DF8E7E7 -REG FFFFFFFFD00084DE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFA39 -REG 00FBE22600FBE226 -REG 00000000002F39BE -REG 0000000101E8001A +GPR0 FFFFFFFFFFFFFFFF +GPR1 000000000001C03C +GPR2 0000000000000000 +GPR3 000000000000130E +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 000000000001FE00 +GPR9 000000008003FFFF +GPR10 0000000000000010 +GPR11 000000000001FE00 +GPR12 0000000000000000 +GPR13 0D1C0000811FFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0D1C0000011C0000 +GPR17 0000000000000000 +GPR18 000000000001C03C +GPR19 F9FFFFFFFFFFFFF1 +GPR20 03FFFFFFFFFBD48A +GPR21 FFFFFFFFFFFFFFEF +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFC92BEA1E5BF +GPR25 0000000000000000 +GPR26 0000000000800000 +GPR27 0000000000003470 +GPR28 0000000000000000 +GPR29 0003FFFFFFFFFFFF +GPR30 0000000000003470 +GPR31 +CR 000000009010E412 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFC +XER 000000008003FFFF + diff --git a/tests/68.bin b/tests/68.bin index f2241bd..d6da35a 100644 Binary files a/tests/68.bin and b/tests/68.bin differ diff --git a/tests/68.out b/tests/68.out index f8e9d1c..80aa105 100644 --- a/tests/68.out +++ b/tests/68.out @@ -1,32 +1,37 @@ -REG 000000002044CAB0 -REG 0000000000008EC0 -REG 00000000040880E0 -REG 0000000000000000 -REG 000000003F000000 -REG 000002040A004E18 -REG 0000000020440800 -REG 000000001C3B8720 -REG 0000000000000000 -REG FFFFFFFFBB6FFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFBF77F1F -REG 0000000000000000 -REG 0000002000000018 -REG 0000000004088100 -REG 0000000000000000 -REG 0000000003800000 -REG 000000000408CF17 -REG 0000000000000000 -REG 0000000000000000 -REG 0082227E0082227E -REG FFFFFFFFFEFC7EFA -REG FFFFFFFFFBF77F1F -REG 0000000000044080 -REG FFFFFFFFFBF730E8 -REG 0000000000F80000 -REG 0000040881000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000002EF -REG 0000000100888400 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000001ADBD71C7 +GPR5 00000000DE0B73E8 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 7008000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000001C71C71C7 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFE3FE0 +GPR30 0000000000000000 +GPR31 +CR 0000000090093A30 +LR 000000000001C020 +CTR 0000000000000000 +XER 000000008003FFFE + diff --git a/tests/680.bin b/tests/680.bin index 65f2dcd..d12d4cd 100644 Binary files a/tests/680.bin and b/tests/680.bin differ diff --git a/tests/680.out b/tests/680.out index d7a0565..27ad0f5 100644 --- a/tests/680.out +++ b/tests/680.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 61F4000323300FFF -REG 0000000000000000 -REG FFFFF61F40003233 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000000 -REG 000009E0C0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003234 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF61F3FFFFFFF -REG 0000000000000000 -REG 0000000080000000 -REG 0000000040000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 000000000001C01E +GPR2 0000000AE8000000 +GPR3 FFFFFFFFFFFFB95D +GPR4 0000000000000000 +GPR5 0506080806030208 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000310700400 +GPR10 0000000000000000 +GPR11 000000000000000F +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000A0040000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 FFFFFFFFFFFFFFF3 +GPR18 FFFFFFFF7FFFFFFF +GPR19 FFFFFFFF7FFFFFFD +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 4FCFFFFFF334127E +GPR23 0000000000000000 +GPR24 0000000000000002 +GPR25 0506080806030206 +GPR26 FFFFFFFFFFFFEF66 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFF1 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000D9FFB91D +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/681.bin b/tests/681.bin index b7f4490..d4dd12c 100644 Binary files a/tests/681.bin and b/tests/681.bin differ diff --git a/tests/681.out b/tests/681.out index a5522a6..eb03105 100644 --- a/tests/681.out +++ b/tests/681.out @@ -1,32 +1,37 @@ -REG FFFFFDFFBB2F467E -REG 0000000000000040 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000048 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG FFFF93F7FFFFFFFF -REG 0000000000000060 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF7E0 -REG 0000000018000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000060 -REG FFFFFFFFFFFFFFFF -REG 0000000044D0461F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000246CD81 -REG FFFFC00000000000 -REG 0000000000000000 -REG 0000000101A00802 +GPR0 FFFFFFFFFEBFB916 +GPR1 00000000000085D2 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000071 +GPR4 0000000000000000 +GPR5 0000000080000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFF8E +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFBA3 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000007 +GPR19 0000000000000071 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 FFFFFFFFFFFE4804 +GPR23 0000000000000001 +GPR24 000000000001C020 +GPR25 FFFFFFFFFFFFFFF0 +GPR26 00000000000085D2 +GPR27 011FFFFF6E01C021 +GPR28 000000000001C021 +GPR29 000000000001C020 +GPR30 011FFFFF6E000001 +GPR31 +CR 00000000540E5370 +LR 0000000000000000 +CTR 011FFFFFFE000000 +XER 0000000080000000 + diff --git a/tests/682.bin b/tests/682.bin index 3081ec7..52045e6 100644 Binary files a/tests/682.bin and b/tests/682.bin differ diff --git a/tests/682.out b/tests/682.out index aff5a6e..0b273c6 100644 --- a/tests/682.out +++ b/tests/682.out @@ -1,32 +1,37 @@ -REG 000000004010004F -REG FFFFFD3FFFFE8797 -REG FFFFFFFEC097FEFD -REG 000000017F780130 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFDA788 -REG 0000000000000001 -REG 0000000000000002 -REG 000000000000B5CB -REG FFFFFFFF7F7861C3 -REG 0000000000000020 -REG FFFF000000000000 -REG 0000002000000020 -REG FFFFFED3C4000000 -REG 0000000000000000 -REG 9FFFFF43CB800000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFED3C4000000 -REG FFFFFFFFFFFFFF80 -REG 0000000000000003 -REG FFFFFFFFE2000000 -REG FFFFFFFFFFFFFFF4 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF000000000000 -REG 0000000000000000 -REG FFFFFFFE8087FEC2 -REG FFFFFFFFFFFFFFC0 -REG 0000000100100000 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000005FFBF000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000002AAC71DA1 +GPR19 0000000000000020 +GPR20 FFFFFFFFFFFFFFDF +GPR21 0000000000000000 +GPR22 000000005FFBF000 +GPR23 0000000000000020 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000055904905 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/683.bin b/tests/683.bin index 2ca594e..424be4b 100644 Binary files a/tests/683.bin and b/tests/683.bin differ diff --git a/tests/683.out b/tests/683.out index 4ed3179..574c478 100644 --- a/tests/683.out +++ b/tests/683.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC4 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000004000000000 -REG 0000000000000041 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000040 -REG 0000000000000039 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF1000004 -REG FFFFFFFFFFFFFFC4 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 000000005FE00888 +GPR0 000000000000000C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000080000000 +GPR10 0000000000500000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000080000000 +GPR14 0000000000000040 +GPR15 0000000000000020 +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 FFFFFFFEBC2A7E00 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000080000000 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000143D74220 +GPR31 +CR 0000000039535979 +LR 000000000001C020 +CTR 0000000000000002 +XER 0000000080000040 + diff --git a/tests/684.bin b/tests/684.bin index 0be9cb0..3148239 100644 Binary files a/tests/684.bin and b/tests/684.bin differ diff --git a/tests/684.out b/tests/684.out index c2d5bd8..b2b6da8 100644 --- a/tests/684.out +++ b/tests/684.out @@ -1,32 +1,37 @@ -REG FFFFFFFF96F3BCC0 -REG 00FFFFFFFFE5C620 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFE5FFFFFFE5 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFBCC1 -REG FFFFFFFF29D90000 -REG 0000000031B70000 -REG 0000000000000000 -REG 0000001A0000001A -REG 0000000000000000 -REG 0000001A0000001A -REG 00000000D6270000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000002C81 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000005F100890 +GPR0 0000000000000003 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 00000000001D3000 +GPR5 FFFFFFFFFFFE3FDF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000003219FFFF +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000004 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 00000000321A0000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000090000000 +GPR29 0000000000000000 +GPR30 00FE03003FFE0301 +GPR31 +CR 0000000030500193 +LR FFFFFFFFFFFFFFFE +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/685.bin b/tests/685.bin index d6af72e..97f4663 100644 Binary files a/tests/685.bin and b/tests/685.bin differ diff --git a/tests/685.out b/tests/685.out index 2b32d85..b117e37 100644 --- a/tests/685.out +++ b/tests/685.out @@ -1,32 +1,37 @@ -REG FFFFFFF4F264CAD9 -REG 000000003C00001F -REG 000000000000B8B9 -REG 0000000068000FFE -REG 0000000B0D9B08FF -REG 00000000000081B2 -REG 0000000000E1F040 -REG 0000000000000000 -REG 0000000B0D9B08FF -REG 0001319FFFFFFDFF -REG 000000000E650000 -REG 0000000B0D9B08DF -REG FFFFFDFFFFDF319F -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 000000000000001F -REG FFFFFDFFFFDF319F -REG 000000003C00001F -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000E650000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFDFFFFDF319F -REG FFFFFFFFFFF00010 -REG 0000000000000000 -REG FFFFFFFFFFFFF0F8 -REG 0000000000000000 -REG 0000000088104880 +GPR0 0000000000000021 +GPR1 000000000001C020 +GPR2 0000000003060604 +GPR3 0002F00000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000040 +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C023 +GPR12 0000000000000000 +GPR13 0306060303060603 +GPR14 0000000000010000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000011FC0 +GPR17 FFFFFFFFFFFFFFDF +GPR18 0000000000000020 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000700 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000011F9F +GPR30 0000000000000000 +GPR31 +CR 0000000025910A49 +LR 0000000003060604 +CTR 0000000000000000 +XER 0000000000011FC0 + diff --git a/tests/686.bin b/tests/686.bin index 8124203..2e5a801 100644 Binary files a/tests/686.bin and b/tests/686.bin differ diff --git a/tests/686.out b/tests/686.out index 068bde3..ee8be70 100644 --- a/tests/686.out +++ b/tests/686.out @@ -1,32 +1,37 @@ -REG 000000016944FE44 -REG FFFFFFFFFFFFFFFF -REG 0000000000000023 -REG 0000000007CB62D0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG 001331D80000000C -REG 000000000003B000 -REG 00000001FFFFFF00 -REG 0000000096BB0000 -REG 000000000000001C -REG C000000000000000 -REG 0000000007CB03C0 -REG 0000000A00000000 -REG 0000000000000001 -REG 000000000000003C -REG 0000000007CB03C0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF0B8C -REG 0000000000000000 -REG FFFFFFFFEFF70000 -REG 0000000000000000 -REG 000000000000FF02 -REG 0000000000000080 -REG 0000000000000000 -REG 000000000000000C -REG 001331D800000000 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000080800400 +GPR0 000001FFFFFFFE04 +GPR1 0000000000000003 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFF0 +GPR6 0000A9F960833C00 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FDC +GPR9 00000000447D001F +GPR10 000000000000001F +GPR11 0000A9F960833C00 +GPR12 0000000000009A1F +GPR13 FFFFFFFFFFFFFFEF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000F0F1352F +GPR17 0004D0F800000000 +GPR18 FFFFFFFFFFFC7FB8 +GPR19 0000000000000000 +GPR20 000000000001C024 +GPR21 0000000000000000 +GPR22 0000000E6119D9E0 +GPR23 0000000000000000 +GPR24 FFC0000000000000 +GPR25 0000000000000000 +GPR26 0000000000000010 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFF86B0 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFF65DF +GPR31 +CR 000000009D25D305 +LR 0000000000000000 +CTR 00000000602E0000 +XER 000000008001C020 + diff --git a/tests/687.bin b/tests/687.bin index 0629755..faee632 100644 Binary files a/tests/687.bin and b/tests/687.bin differ diff --git a/tests/687.out b/tests/687.out index 8c2af58..de7af81 100644 --- a/tests/687.out +++ b/tests/687.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDF7F701B -REG 0000000000000000 -REG FFFFFFFFFDFFFFFF -REG 0000000002000000 -REG 0000000000000028 -REG FFFFFFFFFDFFFFFF -REG 0000000000000000 -REG FFFFFFFFFBFFFFFE -REG 0000000000004000 -REG 0000200000002000 -REG 000000000000000D -REG FFFFFFFF8DA4FFFF -REG 0000000028002F98 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000028808FE5 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000028000F0F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000028000F10 -REG FFFFFFFF00FF0000 -REG FFFFFFFFFDFFFFFF -REG 0000000000000000 -REG 28808FE528808FE5 -REG 0000000000000001 -REG 0000000050011E20 +GPR0 0000000566B02BAD +GPR1 000000006BCAD55D +GPR2 0000000000000000 +GPR3 00000000000075B8 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000010020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFDAEB +GPR13 000000050D7AFEB0 +GPR14 000000006BCAD55E +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFF8A48 +GPR18 0000000000000000 +GPR19 00003058BF88FC44 +GPR20 00000000B1C9C020 +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 00000000905980ED +GPR29 FFFFFFFF4E35CA28 +GPR30 FFFFFFFFFFFFA291 +GPR31 +CR 00000000915980ED +LR 000000006BCA3FE4 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/688.bin b/tests/688.bin index 6d03496..71e3918 100644 Binary files a/tests/688.bin and b/tests/688.bin differ diff --git a/tests/688.out b/tests/688.out index 247ddc3..72704fe 100644 --- a/tests/688.out +++ b/tests/688.out @@ -1,32 +1,37 @@ -REG FFFFFF5EB4BF9DBC -REG FFFFFF7FFFFF9D45 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0101000101000001 -REG F543FFFFFFE00000 -REG FFFFFFFFD0C90060 -REG FFFC00002F490057 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000008000000 -REG 0000000000000000 -REG 0000000001010001 -REG FFFFFFFFFFFFFFF7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000042400028 -REG FFFFFFFFD0C80000 -REG FFFFFFF4FFFFFFF4 -REG FFFFFFFFF7FFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG FFFFA3F9FFFFA3F9 -REG 0000000001010257 -REG FFFFF432FFFFF432 -REG 0003FFFFFF800000 -REG 0000000000000000 -REG 0000000001010001 -REG 0000000007FFFFFF -REG 0000000008000000 -REG 00000001110809F0 +GPR0 FFFFFFFFFFFFAC67 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000004000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 FFFFFFFFFFFFFFFF +GPR13 00FF000000000000 +GPR14 000000000001C020 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE3FDF +GPR24 FFFFFFFFFFFFFF00 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 000000000001C002 +GPR29 000000000001C002 +GPR30 0000000000000000 +GPR31 +CR 00000000393D3559 +LR 000000000000001C +CTR 0000000000000000 +XER 00000000C0080011 + diff --git a/tests/689.bin b/tests/689.bin index 1e148ac..944d656 100644 Binary files a/tests/689.bin and b/tests/689.bin differ diff --git a/tests/689.out b/tests/689.out index ea67436..8fef727 100644 --- a/tests/689.out +++ b/tests/689.out @@ -1,32 +1,37 @@ -REG FFFFFFC0D3F1814A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFC0D3EFFFDB -REG FFFFFFFFFFFFFFFF -REG 0000000075B521D8 -REG 000000002C0FFFFF -REG 0000000000000000 -REG F801FFFFFFFFFFEC -REG 3F7A8000080069F8 -REG FFFFFFFFFFFFFFB8 -REG 0000000000000000 -REG 0000003F0000003F -REG FFFFFFC0D3EFFFC0 -REG 000000002C100000 -REG FFFFFFFFFFFFDF35 -REG 0000000000000000 -REG 0000000000000000 -REG FFB695A4FF9695A5 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000003F75B52217 -REG FFFFFFC0D3EFFFC0 -REG 0000003F2C10003F -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG FFFFFFFFFFFFDF35 -REG 0000000000000000 -REG 000000004A908429 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFF429F +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000008 +GPR7 000000002000BB00 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000030 +GPR11 00000000000042CB +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFBD34 +GPR14 0000000000000000 +GPR15 000000000000BD65 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FE0 +GPR20 0000000000000000 +GPR21 00015A076C74C5A9 +GPR22 0000000000000000 +GPR23 0000000000002025 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFBD34 +GPR26 0000000000000000 +GPR27 0000000001B70AE5 +GPR28 FFFFFFFFFFFFBD34 +GPR29 FFFFFFFFFFFFDFDB +GPR30 FFFFFFFFFFFFFFCB +GPR31 +CR 000000003599B139 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000030 + diff --git a/tests/69.bin b/tests/69.bin index a4a6830..15a6629 100644 Binary files a/tests/69.bin and b/tests/69.bin differ diff --git a/tests/69.out b/tests/69.out index a8a5a6b..a276e20 100644 --- a/tests/69.out +++ b/tests/69.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD887637A -REG 0000000000000001 -REG 0000000000000020 -REG 0000000054F10000 -REG 0000000054F10201 -REG 0000000000000000 -REG FFFFFFFFFFFF9143 -REG FFFFFFFFFFFFFFAD -REG FFFFFFFFFFFFFDFE -REG FFFFFFFFFFFFFD4F -REG 0000FFFF9D020000 -REG 0000000040889ED4 -REG 0000FFFFFFFF0000 -REG 0000000000007800 -REG 0000000000000015 -REG FFFFFFFFFFF30000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006C0C -REG 00000000000071B7 -REG 0000000000000000 -REG 000077F9B9D877F9 -REG 0000000040888485 -REG 0000000000000000 -REG 000077F9000077F9 -REG FFFFFFFFFFFFFDFE -REG 0000000007F01800 -REG DF8000203FFFFE1F -REG FFFFFFFF7EEEF6F8 -REG 000000200000001E -REG FFFF8806FFFF8806 -REG 0000000081110908 +GPR0 000000000000001C +GPR1 0000000080000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFE4 +GPR5 0199999999999999 +GPR6 000000000000000F +GPR7 53855648A9F7BC87 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 000000000000000B +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FDF +GPR14 FFFFFFFFFFFFD7FE +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFD7FF +GPR18 0000000000000000 +GPR19 0000000000005251 +GPR20 FFFFFFFFFFFFFFF7 +GPR21 0000000000000000 +GPR22 538556490A75BC87 +GPR23 0000000000000000 +GPR24 0000000000FF0000 +GPR25 0000000000000000 +GPR26 0000000000118140 +GPR27 FFFFF1FFFC00001F +GPR28 0000000000000008 +GPR29 F58A4378F7FFF378 +GPR30 000000000001C020 +GPR31 +CR 0000000054000064 +LR 000000000000000F +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/690.bin b/tests/690.bin index 3bfa1b4..de3bf8a 100644 Binary files a/tests/690.bin and b/tests/690.bin differ diff --git a/tests/690.out b/tests/690.out index b4af3c2..655ff86 100644 --- a/tests/690.out +++ b/tests/690.out @@ -1,32 +1,37 @@ -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000001000000010 -REG 0000001000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFDF -REG 0000000000000020 -REG FFFFFFEFFFFFFFEF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000025BB -REG 0000000000000000 -REG 0000000000006E3E -REG 0000000000000000 -REG 0000800000000000 -REG 000000FFFFFFFC00 -REG 0000000000000000 -REG 000000000000FF00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF00003FFC06 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 7FF8000000000000 -REG 0000000110800100 +GPR0 FFFFFFFFFFFE3F9E +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000003DCD +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000FFFFFFC +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFF0E7 +GPR11 0001C021FFFFEC3B +GPR12 0000000000099A4D +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000099A4D +GPR16 0000000000000001 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000009C061 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050005200 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000C0080004 + diff --git a/tests/691.bin b/tests/691.bin index ec75637..06ef4ec 100644 Binary files a/tests/691.bin and b/tests/691.bin differ diff --git a/tests/691.out b/tests/691.out index 0b9b311..faaaf03 100644 --- a/tests/691.out +++ b/tests/691.out @@ -1,32 +1,37 @@ -REG 57BA6B7EA2A4B99E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0003A000C0082000 -REG 0A1FFFEF8A1FBDE2 -REG FFFFFFFFFFFFFFFF -REG 0A1FFFEFE36ADDE2 -REG 0000000A0000000A -REG EBC00021527D843B -REG FFFFFFFFFFFFFF00 -REG 000000000000003A -REG F5E00010DC9D421D -REG 0000035756C5EB04 -REG 00000000000001D7 -REG 0000007F0000007F -REG 0000000048004880 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000001 -REG F5E00010DC9D0000 -REG 0000000000000000 -REG 000000000000003A -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBB63BDE2 -REG 0000000000000080 -REG FFFFFFFFFFFFBDE2 -REG FFFFFFFFFFFFF800 -REG F5E0001075E0423D -REG 0000000006004133 -REG 0000000050009100 +GPR0 FD7D740A84AD740A +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000DB07 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFEBFFFE0003FF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FD7D740AFD7D740A +GPR11 000000007FFFFE3F +GPR12 000000003E33C74C +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000000A3220 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000800 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFE0000001F5 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FD7D740A84AD740A +GPR27 00000000071F3220 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000001 +GPR31 +CR 000000003300435A +LR 0000000000000000 +CTR FFFF000000000000 +XER 00000000C0080000 + diff --git a/tests/692.bin b/tests/692.bin index e0604d4..cb4a636 100644 Binary files a/tests/692.bin and b/tests/692.bin differ diff --git a/tests/692.out b/tests/692.out index b705fc9..ba22916 100644 --- a/tests/692.out +++ b/tests/692.out @@ -1,32 +1,37 @@ -REG 01E800000000234D -REG 000000004184F0F4 -REG FFFFFFFE3E49FFFE -REG FFFFFFFFFFFFFFFF -REG 000000000000000C -REG 0000001237BFC0E9 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFEF -REG 01E8000000002301 -REG 0000000000000001 -REG 0000000000000000 -REG 000000000000000C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000001237C00000 -REG 0000001237C00000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF823CFFEF -REG 000000000000003C -REG 0000000000000000 -REG 0000001237BFC0E9 -REG 7FFFFFFDE0000000 -REG 0000000000000080 -REG 0000001237BFC0E9 -REG 0000000000000000 -REG 0400000000000000 -REG 0000000000000000 -REG 000000001180F0F4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 000000004309E1E9 +GPR0 0000000000000000 +GPR1 0000000000000002 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000033 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000003900D933 +GPR10 F7F7F7F7FCFCF7FB +GPR11 0000000000003A2E +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0808080803030804 +GPR15 0000000000000000 +GPR16 F7B772E000002873 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 00000000000001BB +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFF611CFFD3 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 FFFFFFFFFFFFC5D2 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003900D933 +LR 000000000001C020 +CTR 0000000039005510 +XER 00000000A0060000 + diff --git a/tests/693.bin b/tests/693.bin index 72a0a67..017bb86 100644 Binary files a/tests/693.bin and b/tests/693.bin differ diff --git a/tests/693.out b/tests/693.out index a836a74..3afcd87 100644 --- a/tests/693.out +++ b/tests/693.out @@ -1,32 +1,37 @@ -REG 125FBB46125FFF41 -REG 0000035500000355 -REG 019B520000000000 -REG 00000000CDA90000 -REG 0000000000000000 -REG 0000000002F6F603 -REG 000000000414E548 -REG 000000000000A4D3 -REG 0000000003030200 -REG 0000000000000001 -REG FFFFFFFFFFFFFDC0 -REG FC0003E3FC004463 -REG FFFFFFFFFFFFFFFF -REG 000000002A456000 -REG FFFFFFFFFFFFF8FF -REG FFFFFFFFFFFFFFFF -REG 249F400026074000 -REG 0000000000000009 -REG FFC0000000006D8A -REG FFC0000000000000 -REG FFFFFFFF10129FFF -REG 0000000000000000 -REG 812A4057927D0000 -REG 003FFFFFFFFFFFDF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000240 -REG 0000000000000040 -REG 000000000000FC21 -REG 0000689B0000689B -REG 0000001F0078F8FF -REG 000000009410D001 +GPR0 000000000000001F +GPR1 FFFFFFFFFFFE5D41 +GPR2 FFFFFFFFFFFE3FDF +GPR3 000000000001C020 +GPR4 000000200000001F +GPR5 0000000000000000 +GPR6 000000000000229F +GPR7 FFFFFFFFFFFFDD61 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001E2BF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE1D40 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000004 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000003A990DC4 +GPR21 0000000000004000 +GPR22 00000000A1C2C020 +GPR23 0000000000000000 +GPR24 0000000000004000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 00000000000022A0 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003019001F +LR FFFFFFFFFFFFFFFF +CTR 000000000001C00B +XER 0000000080000000 + diff --git a/tests/694.bin b/tests/694.bin index 2c7431f..d092590 100644 Binary files a/tests/694.bin and b/tests/694.bin differ diff --git a/tests/694.out b/tests/694.out index d1a346e..f69b43d 100644 --- a/tests/694.out +++ b/tests/694.out @@ -1,32 +1,37 @@ -REG FFFFFFF1EC36A4D6 -REG 0000000000000000 -REG FFFFFE4FFFFFFF5E -REG 0000000000000000 -REG 000000003AFD001F -REG 00000000000074C4 -REG 0000000000000000 -REG F4956001FFFFFFFF -REG FFFFFFFFFFFFD98A -REG 001FFFFFFFF00000 -REG 0000000000000000 -REG FFFFFFFFFFFFA4C8 -REG FFFFFFFFFFFFA4C8 -REG FE42000000000000 -REG 00003FFF80000000 -REG FFFFFFFFFFFFA4C8 -REG 0000000000000000 -REG FFFFFFFFFFFFA4C8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000080001F3F -REG 0000000000000000 -REG 0000000000000020 -REG 000000000BB20000 -REG 0000000000000000 -REG 0000400000007B76 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000090810890 +GPR0 0000000000030601 +GPR1 FFFBF9FEFFFCF9FF +GPR2 0000000000000020 +GPR3 00040601000305E0 +GPR4 0000000000000000 +GPR5 0100000001000000 +GPR6 0000000000000000 +GPR7 000000001805F3FF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000040 +GPR11 000000000001C020 +GPR12 FFFFFFFFFFFFFFF0 +GPR13 00000000000087A8 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFDF +GPR16 0004060100030601 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFF0 +GPR19 C000000000000000 +GPR20 FFFFFFFFFFFFFFDF +GPR21 2805F2FF2805F003 +GPR22 0000000000FF8000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0004060100030601 +GPR30 0000000000000000 +GPR31 +CR 000000008805F2FF +LR 074F1E1A074F1E1A +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/695.bin b/tests/695.bin index 9ef698d..0343cd5 100644 Binary files a/tests/695.bin and b/tests/695.bin differ diff --git a/tests/695.out b/tests/695.out index 47f14fb..315d60a 100644 --- a/tests/695.out +++ b/tests/695.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000007C -REG 0000000000000000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG 0000002000000008 -REG 0000000000000020 -REG 0000000000000000 -REG FFC00003BDFFFFFF -REG 0000000000000000 -REG 000000000000007C -REG 0000000000000000 -REG 0000000000000019 -REG 00000000FFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF825B -REG 0000000000000000 -REG 0000000000000022 -REG 0000000000000001 -REG 0000000000000000 -REG FFC000039DBFFFFF -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFFF83 -REG 0000000000000030 -REG 0000000080910080 +GPR0 8000000000006226 +GPR1 0000000000000002 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000005 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFC020 +GPR10 FFFFFFFFFFFFFFFB +GPR11 4000000000006407 +GPR12 400000000000007F +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000001E75 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFF0 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000014 +GPR26 0000000000000001 +GPR27 0000000000000005 +GPR28 0000000000000004 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090000494 +LR FFFFFFFF9E8A0000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/696.bin b/tests/696.bin index 02586f7..3220529 100644 Binary files a/tests/696.bin and b/tests/696.bin differ diff --git a/tests/696.out b/tests/696.out index 979de8c..4918552 100644 --- a/tests/696.out +++ b/tests/696.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFC15 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFDE3C -REG 00000000D70D0020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFDE3C -REG 0000000000000000 -REG FFFFFFFFC3FA0000 -REG FFFFFFFFFFFFF60C -REG FFFEBFFFF0000000 -REG 00000000D70D0000 -REG 0000000044D8FFFF -REG 000000000000000A -REG 0000000000000000 -REG 3FF98DEF3FF98DEF -REG 0000000000000000 -REG 0808080808080807 -REG 00000000518F0000 -REG 0000000000000000 -REG 000000008FF0FF88 -REG 0000000000000000 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000011FE1FF10 +GPR0 0000000000000002 +GPR1 0000000000000002 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF9160 +GPR4 0000000000000002 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000000075F +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000002AAAAAAA +GPR11 0000000000000000 +GPR12 0000000000000002 +GPR13 FFFFFF22C00007FF +GPR14 FFFFFFFFFDFF8D80 +GPR15 FFFFFFFFFDFF8D80 +GPR16 0000000012200002 +GPR17 000000000001C020 +GPR18 0000000000000006 +GPR19 000000000001B8C1 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000002 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFE473D +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000030000599 +LR 0000000000000000 +CTR 000000000000075F +XER 00000000A0048005 + diff --git a/tests/697.bin b/tests/697.bin index 96662b8..a150c90 100644 Binary files a/tests/697.bin and b/tests/697.bin differ diff --git a/tests/697.out b/tests/697.out index 5bf4ff4..5d60bc0 100644 --- a/tests/697.out +++ b/tests/697.out @@ -1,32 +1,37 @@ -REG 0000000000008CED -REG 0001694F00016950 -REG 000000000000000F -REG 000000000000000F -REG 0000000000000000 -REG 000000000C3FFFFF -REG FFFFFFFFFFFFF256 -REG 0000000100000001 -REG 0000000000008CE1 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 000000000000000F -REG FFFFFFFFFFFFFFFF -REG 00000000D7C20013 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000010000000E -REG 00000000FFFF731E -REG 000000000000000E -REG 0000000000000000 -REG 0000000109F09F1E +GPR0 0000000000000000 +GPR1 0000003F0000003F +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000003F0000003F +GPR9 FFFFFFC00FC0003F +GPR10 FFFFFFFFFFFFFFBF +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000003F0000005F +GPR15 00000000FFFFFFFF +GPR16 00000000FFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000000B308 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000020998859 +LR 0000000000000000 +CTR 0000000000000001 +XER 0000000000000000 + diff --git a/tests/698.bin b/tests/698.bin index c5ec28a..526cdf5 100644 Binary files a/tests/698.bin and b/tests/698.bin differ diff --git a/tests/698.out b/tests/698.out index 9024696..c57db43 100644 --- a/tests/698.out +++ b/tests/698.out @@ -1,32 +1,37 @@ -REG 15875A7715866BA6 -REG F6BFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000E7B10000 -REG 00000000184F0000 -REG 0000000000000001 -REG 03CFDFFFFFFFFFDD -REG 0000000002F9FE7F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000600000006 -REG 0000000000000000 -REG 0000000600007AD7 -REG 0000000000000000 -REG 0000000600007BD7 -REG 0000000000000001 -REG FFFF5A75BAA95FF5 -REG 0000A58A00019464 -REG FFFFFFFFE7B10000 -REG 0000000000000000 -REG 0000000000000001 -REG CD87FC5ACD87FC5A -REG 0000000000000000 -REG FFFFFFFFFEFA27C7 -REG CD87FC5ACD87FC5A -REG 0000000000019464 -REG 0000000000000001 -REG CD87FC5ACD060000 -REG 0000A58A00019464 -REG FFB908EFA926B0EC -REG F6BFFFFFCD875795 -REG 0000000080101080 +GPR0 00007BFC00007BFC +GPR1 FFFFFFFFC5D00000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFF8403CFA2C450 +GPR6 0000000000000000 +GPR7 0000000000001100 +GPR8 0000000000006AFD +GPR9 000000005F4F0000 +GPR10 000000003A300000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 3000003A3000003A +GPR13 00000000000063ED +GPR14 00007BFC00007BFC +GPR15 FFFFFFFFFFFF9503 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000C009C00E +GPR20 0080000000000000 +GPR21 0000000000000000 +GPR22 00000000305D4053 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFF8403CFA32F4C +GPR26 0000000000000000 +GPR27 0000000000006AFD +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000006 +GPR30 0000000000000000 +GPR31 +CR 00000000903D0059 +LR 0000000000000000 +CTR 0000000000000040 +XER 000000008001C00E + diff --git a/tests/699.bin b/tests/699.bin index 631e929..653ac8c 100644 Binary files a/tests/699.bin and b/tests/699.bin differ diff --git a/tests/699.out b/tests/699.out index 89bc366..b21ad35 100644 --- a/tests/699.out +++ b/tests/699.out @@ -1,32 +1,37 @@ -REG 0000000004864489 -REG 0000000000000015 -REG 0000000000006471 -REG 0000000000000000 -REG FFFFF1E307526D56 -REG 00000000556F6471 -REG 0000000000000051 -REG FFFF00000000FFFF -REG 00000000FAF00000 -REG FFFFF1E2CD626D29 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000000298010 -REG FFFFFFFF1B42099D -REG 0000000000000005 -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000298010 -REG 000000000000E905 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG BE78000000000000 -REG 00000000FAEF80E8 -REG 0000000000000100 -REG 0000000000000000 -REG 000000000000C7B1 -REG 0000000081600C06 +GPR0 00000000F7FE3FDE +GPR1 00000000FFFEBCA1 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF1FF0 +GPR4 0000000000000019 +GPR5 0000000000000801 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000F7FFFFFF +GPR9 FFFFFFFFFFF000FF +GPR10 000000000000001C +GPR11 0000000000000000 +GPR12 00000000FFFFFFFF +GPR13 0000000000000041 +GPR14 000000004001C020 +GPR15 0000000000000000 +GPR16 000000000001FF5E +GPR17 0000000000000801 +GPR18 FFFFFFFFFFF000FF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 00000000489A001C +GPR27 FFFFFFFFFFFFFFFE +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005F05F531 +LR 0000000000000001 +CTR 0000000000000001 +XER 0000000080000000 + diff --git a/tests/7.bin b/tests/7.bin index 6a3ad61..28e0927 100644 Binary files a/tests/7.bin and b/tests/7.bin differ diff --git a/tests/7.out b/tests/7.out index 36536fa..758d995 100644 --- a/tests/7.out +++ b/tests/7.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFA133 -REG FFFFFFFFFFFFFFFF -REG 0000000006080405 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001F -REG 000000003FFFE479 -REG 3FFFA479DB86AD6B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000001F0000001F -REG FFFFFFFC007FFFFF -REG FFFFFFFFFFFFFFFE -REG 00000000FFFF0003 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000048F41284 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000000000C889 -REG 0000000091E88508 +GPR0 000000000001C02C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000070 +GPR6 0000000000000000 +GPR7 000000000001C02C +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C032 +GPR11 00000000EABA0000 +GPR12 0000000000000000 +GPR13 000000000001C032 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000030503090 +LR 0000000000000000 +CTR 000000007FBFFFFF +XER 000000008003FFFF + diff --git a/tests/70.bin b/tests/70.bin index 9c76965..141456b 100644 Binary files a/tests/70.bin and b/tests/70.bin differ diff --git a/tests/70.out b/tests/70.out index 4a4d94f..da1b91d 100644 --- a/tests/70.out +++ b/tests/70.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000020000 -REG 0000000000000000 -REG 0000000000000000 -REG CCEB22F9CCE80001 -REG CE0FC19CCE000000 -REG 000FC00000000001 -REG FFFFFFFFFFFFFF50 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007FFF -REG FFF03FFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF943A -REG 0000000000000000 -REG FFFFFFFFCE675917 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000962D0000 -REG CE00019CCE000000 -REG 0000000000000020 -REG FFFFFFFFFFFF8000 -REG 00000000003C0000 -REG 0000000000000000 -REG FFFFFFFFFFFF007F -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 000FC00000000000 -REG 0000000048910088 +GPR0 0000000000000001 +GPR1 000000000000000F +GPR2 0000000000200000 +GPR3 0000000000000000 +GPR4 0000000000000153 +GPR5 00000000FFFFFADA +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000057F50000 +GPR11 0000000000000000 +GPR12 0000000000000162 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C01A +GPR17 0000000100007A40 +GPR18 0000000000000000 +GPR19 00000000000002A6 +GPR20 000000000000001A +GPR21 0000000000000000 +GPR22 0000000054F8FB7C +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000054F8FB7C +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFFFFFFFF +GPR29 00000000000094AE +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000305C0500 +LR 0000000000200000 +CTR FFFFFFFFFFFE1FFF +XER 00000000C0080000 + diff --git a/tests/700.bin b/tests/700.bin index a47af84..a4786a3 100644 Binary files a/tests/700.bin and b/tests/700.bin differ diff --git a/tests/700.out b/tests/700.out index 94d98ee..6d88780 100644 --- a/tests/700.out +++ b/tests/700.out @@ -1,32 +1,37 @@ -REG FFFFFFFE3FF81FAC -REG 0000000000000000 -REG 0000000000000000 -REG 0000022600000224 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001FBE -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG CE88801E4E2F8A94 -REG FFFFFFFF9D11003F -REG FFFFFFFE24421FBE -REG 0000000000000023 -REG 0000000000000000 -REG 000000004D214440 -REG 568000020E8204D3 -REG 1F7FFFFFB8C70081 -REG 0000000000000000 -REG 1F8000001BB60041 -REG FFFFFFFE3FF81FFF -REG 0000000000000013 -REG 000000001BB60AE9 -REG 0000000000000000 -REG E07FFFFFE449FFBE -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFF9D10FFED -REG FFFFFFFFFFFFFF9F -REG FFFFFFFF9D10FFFF -REG 0000022600000226 -REG 000000009A428881 +GPR0 0000000000006F00 +GPR1 FFFFFFFFFFFFFF22 +GPR2 000000000001DF48 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000700800000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 000000000001DF47 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000F4DE9BD4 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000048 +GPR28 000000000001DF48 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035879594 +LR 00000000F4DE9BD4 +CTR 000FFFFFFFECFEEB +XER 00000000C0080000 + diff --git a/tests/701.bin b/tests/701.bin index 14a6204..7c758d0 100644 Binary files a/tests/701.bin and b/tests/701.bin differ diff --git a/tests/701.out b/tests/701.out index be09d42..b11ad17 100644 --- a/tests/701.out +++ b/tests/701.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFA6FE -REG 0000000000000000 -REG 8007FFFFFF000000 -REG FFFFFFFF5F140000 -REG FFFFFFFF8B920000 -REG 0000000000000000 -REG 0007FFFFFFFFFFFF -REG 0000000000000000 -REG 00000000A0EC0000 -REG 0000000000000000 -REG 0000000000000000 -REG 05FC000005FC0020 -REG 0000000000000000 -REG 0000000000000000 -REG 8007FFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000060000 -REG 000000000000BB52 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBFFFFFFE -REG 0000000000000000 -REG FE7E01F7F0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001A -REG FFFFFFFFFFFFFFFF -REG 00000002BF800000 -REG 0000000000000001 -REG 0000000081008100 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000F363FDF +GPR16 0000000030009303 +GPR17 0000000030009303 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000101800 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFF0C9C020 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 0000000093005303 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/702.bin b/tests/702.bin index e4068d5..f27bd3d 100644 Binary files a/tests/702.bin and b/tests/702.bin differ diff --git a/tests/702.out b/tests/702.out index 5471685..923e781 100644 --- a/tests/702.out +++ b/tests/702.out @@ -1,32 +1,37 @@ -REG FFFFF80003BFEFFE -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000000000 -REG 000000074807F887 -REG 00000000409FF484 -REG 0000000000000000 -REG FFFFFFFF0000FF00 -REG 8303E00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 001FFFFFFFFFFF80 -REG FFFFFFFF7F6FFFDF -REG 000000000000710F -REG 0000000000000000 -REG 000000000000710F -REG 00000000F69F3F00 -REG FFFFFFFFFFFFEFFF -REG 00000000209FF484 -REG 0000000000000020 -REG 0000000001000000 -REG FFFFFFF8F7F80779 -REG 00000000F69F04C4 -REG FFFFFFF8F7F80779 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000080900028 -REG FFFFFFFFFFFFFFF4 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 00000000813FE908 +GPR0 000000007FFFEDFF +GPR1 000000000001C020 +GPR2 FFFFFFFFF8C80000 +GPR3 0000000000000000 +GPR4 000000000001C021 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFB798 +GPR7 0000000000000400 +GPR8 00000000E00DC020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000001C003 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000004867 +GPR15 FFFFFFFFFFFFC020 +GPR16 0000000000000019 +GPR17 0000000000000000 +GPR18 00000000E00D82AB +GPR19 0000000000000000 +GPR20 00000000E00C0000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFB798 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000005B3C +GPR31 +CR 00000000307395D9 +LR 0000000000000000 +CTR 0009565555555555 +XER 00000000A005C020 + diff --git a/tests/703.bin b/tests/703.bin index 2dacad9..d272eb2 100644 Binary files a/tests/703.bin and b/tests/703.bin differ diff --git a/tests/703.out b/tests/703.out index d7d2d5f..3ed0002 100644 --- a/tests/703.out +++ b/tests/703.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 00000000074C9D5C -REG 0000000000001ECC -REG 0000000000000000 -REG 0000000003040504 -REG 0000000000000000 -REG 000000002A479D5C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000002F404044 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFE246BAD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001DB9452 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000005E890488 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 E46EFFFFFEFE3FDF +GPR3 FFFFFFFFFFFFFFFF +GPR4 E46EFFFFFF000000 +GPR5 000000000001C020 +GPR6 0000000000000020 +GPR7 FFC7DC8E46EFFF80 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000031 +GPR11 0000000000000020 +GPR12 00000003FFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 453FFE43453FF243 +GPR17 0000000000000020 +GPR18 03FFF8FF13FFF8FF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000001A +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 FEFFFFF1FEFFFFF0 +GPR28 04FFF90D14FFF90D +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000038499095 +LR 0000000000000003 +CTR 0000000000000000 +XER 00000000A004DF0C + diff --git a/tests/704.bin b/tests/704.bin index 9f783a1..3530468 100644 Binary files a/tests/704.bin and b/tests/704.bin differ diff --git a/tests/704.out b/tests/704.out index ec96191..d129cc2 100644 --- a/tests/704.out +++ b/tests/704.out @@ -1,32 +1,37 @@ -REG 00007C8300003629 -REG FFFFFFFFFFFFF2B6 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 00007C8000002827 -REG 00007C8000003628 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFBFAE -REG 0000000000000005 -REG 0000000000000001 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000040 -REG 0000002C1FF0002D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000001E0000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000300000006 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFF153E98AA4 -REG 0000000091E01088 +GPR0 000003FF000003FF +GPR1 FFFFFFFFFFFE3FDF +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 FFFFFFFFFFFFBBA6 +GPR5 000000000000379E +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FF774DFFF0034DFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 00000000000003FF +GPR15 FFFFFFF666A3D584 +GPR16 000000000001C020 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 000000000000AFFC +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFF000007C +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000006 +GPR31 +CR 0000000090150A94 +LR 0001C0070001C007 +CTR 0000000000000000 +XER 00000000C009C007 + diff --git a/tests/705.bin b/tests/705.bin index 61268c5..f0a942a 100644 Binary files a/tests/705.bin and b/tests/705.bin differ diff --git a/tests/705.out b/tests/705.out index 7a10c31..5d66e2e 100644 --- a/tests/705.out +++ b/tests/705.out @@ -1,32 +1,37 @@ -REG 000061612000619C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000616100006161 -REG 0000000000000040 -REG 0000616100006161 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG EFFFFFFFEFFFFFCF -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000040 -REG 000000002EC60000 -REG 0000000000006161 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF3C00001FFFB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002804040E -REG 0000000000000000 -REG 0000616100006162 -REG 0000000000000000 -REG 000000009008081C +GPR0 4DF860024DF85FFF +GPR1 FBBC6AAC33EFA000 +GPR2 000000000C105FFE +GPR3 04439553CC105FFE +GPR4 000000000001C004 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001C000 +GPR9 0000000000000000 +GPR10 000000000001C00D +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 04439553CC106020 +GPR15 0000000000001A97 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 00000000228CC004 +GPR19 FFFFFFFFF1FFFFBD +GPR20 0000000000000000 +GPR21 F000000000000000 +GPR22 000000000E000041 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFC004 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FBBC6AAC33EFA000 +GPR29 000000000001C004 +GPR30 000000000E000041 +GPR31 +CR 0000000050451359 +LR 0000000000000000 +CTR 0000000000001F92 +XER 00000000A0040000 + diff --git a/tests/706.bin b/tests/706.bin index 01c3e57..a938cb1 100644 Binary files a/tests/706.bin and b/tests/706.bin differ diff --git a/tests/706.out b/tests/706.out index 3a61dd1..a326ee4 100644 --- a/tests/706.out +++ b/tests/706.out @@ -1,32 +1,37 @@ -REG 000FFFFFFFFFFFFF -REG 00000000000037FA -REG 0000000000000000 -REG FFFFFFFF7FFFFFBF -REG 0000000020000002 -REG 0000000000000000 -REG 000000000000B382 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 03FFBFFFFFDFFFFF -REG 0000000000000000 -REG FFFFFFFFF8E6990F -REG 0000000000000000 -REG 0000003EFFFFFFFA -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000100000002 -REG 0000000000000000 -REG FFFFFFFFF8E6990F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000045260002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 1000000015800006 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000044809004 +GPR0 FFFFFFFFFFCEFFFF +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 FFFFFFFF96F60003 +GPR4 000000000000444A +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 00003484FFFF8000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000006909FFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000310000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFF00 +GPR24 0000000000000007 +GPR25 0000000000000000 +GPR26 FFFFFFFCF0000000 +GPR27 0000000000000006 +GPR28 0000000300800005 +GPR29 0000000300800005 +GPR30 0000000000000000 +GPR31 +CR 000000003090549F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/707.bin b/tests/707.bin index ae75685..9396493 100644 Binary files a/tests/707.bin and b/tests/707.bin differ diff --git a/tests/707.out b/tests/707.out index 237e4fb..1d5327d 100644 --- a/tests/707.out +++ b/tests/707.out @@ -1,32 +1,37 @@ -REG 30DB2D7230000D73 -REG FFFFFFFF7402B127 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000008300 -REG FFFFFFFFFFF0589B -REG 0000005518000000 -REG 0000000000000000 -REG CF24D28DCFFFFFEC -REG FFFFFFFFFFF0589B -REG FFFFFFFF7402FAF5 -REG 0000000000000003 -REG 000F1D2005E5FC05 -REG 000F1D2005E6365D -REG 0000000000000000 -REG FFFFFFFFFFF0258F -REG FFFFFFFFFFFFE099 -REG 00FFFFFFFFF0589B -REG 0000000040000000 -REG 0000000088240248 -REG 00000000000083D3 -REG 0000000000000000 -REG 102003FFFFFFFFFC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000F1D2005E6365D -REG FFFFFFFFFFF0589B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040408090 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFCAE3 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFE0 +GPR11 0000000000000000 +GPR12 FFFFCAE3FFFFC003 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFE8 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFE94A +GPR18 0000000000000000 +GPR19 0000000000003501 +GPR20 000000000000E6C3 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFCAFE +GPR23 FFFFFFFFFFFFFFE0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000006D04 +GPR31 +CR 000000003E50B955 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/708.bin b/tests/708.bin index 881b3b9..ab9b480 100644 Binary files a/tests/708.bin and b/tests/708.bin differ diff --git a/tests/708.out b/tests/708.out index a79219d..5c581c9 100644 --- a/tests/708.out +++ b/tests/708.out @@ -1,32 +1,37 @@ -REG FFFF800000007FB4 -REG FFFFFFFFFFFFFFFA -REG 0000000000000005 -REG 000000000000003F -REG 0000000000000000 -REG 0000000000000003 -REG 0000000061A20005 -REG FFFFFFFFFFFF8150 -REG FFFFFFFFFFFFD8ED -REG FFFFFFFFFFFFFFC8 -REG 0000000000000040 -REG 0000002A0000002A -REG FFFF800000000000 -REG FFFFFFFFFFFFFFD0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFF8000003F -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFF00007CF -REG 0000000000000070 -REG 000000084F48F820 -REG 61A2000461A20004 -REG 0000000000000003 -REG 0000000000000000 -REG 0459600000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000108091E05 +GPR0 0000000000000020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000000031BE +GPR4 00000000000031BF +GPR5 0000000000000000 +GPR6 0000007DCE000012 +GPR7 0000000000000020 +GPR8 0000000051B935B2 +GPR9 00000000000031C0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000007FFFFFF000 +GPR13 0000248E0AEF1397 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000002DD0 +GPR19 0000000000000000 +GPR20 0000000000007DCE +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000001B96 +GPR24 00000000DF800000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000003FA4 +GPR31 +CR 000000005309DB9B +LR 0000000000000000 +CTR 0000000000007800 +XER 0000000080000000 + diff --git a/tests/709.bin b/tests/709.bin index 71f7e39..5202a80 100644 Binary files a/tests/709.bin and b/tests/709.bin differ diff --git a/tests/709.out b/tests/709.out index 6f7bd65..b8f6bee 100644 --- a/tests/709.out +++ b/tests/709.out @@ -1,32 +1,37 @@ -REG FFFFFFEE7FFF6669 -REG 0000000000000E90 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFFFBF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000040480884 -REG 0000000080000000 -REG 0000000000000000 -REG C08000000000000C -REG 0000000000000020 -REG FFFFFFFFFFFFFFEF -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000009981 -REG 0000000080806080 -REG 0007FFFFFFFFFFEF -REG FFFFFFFFFFFFB5C4 -REG 000000000000D4B2 -REG 0000000040480884 -REG FFFFFFFF7FFF84DA -REG 0000000000000020 -REG 0000002000006D4A -REG 0000000000006447 -REG 0000000000000002 -REG 0808080608080806 -REG 0000000000000000 -REG 0000000040901108 +GPR0 FFFFFFFFFFFFFFF0 +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000000 +GPR3 0808080808080808 +GPR4 0CBC2B9B44220100 +GPR5 0000000000000000 +GPR6 00000000FFFFFFFF +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0002CCEE12E9BBA9 +GPR10 FFFFFFFFFFFFFFFF +GPR11 00000000FFFE3FE3 +GPR12 000000000001C020 +GPR13 00000000FFFFFFFD +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000940000000000 +GPR19 FFFFFFFFFFFFFFEF +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 00000000A004002A +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 00000000FFFE3FE3 +GPR26 FFFFFFFFFFFFFFEF +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 FFFFFFFFFFFFFFF0 +GPR30 0808080808080807 +GPR31 +CR 0000000052091812 +LR 0000000000000000 +CTR 0000000000000020 +XER 00000000A004002A + diff --git a/tests/71.bin b/tests/71.bin index fffe96d..d4b5015 100644 Binary files a/tests/71.bin and b/tests/71.bin differ diff --git a/tests/71.out b/tests/71.out index c85f044..ffa0507 100644 --- a/tests/71.out +++ b/tests/71.out @@ -1,32 +1,37 @@ -REG 000000007FFFFFE0 -REG 0000000000000000 -REG 000014BD000014BD -REG 0000000000000000 -REG FFFFC80000030007 -REG F7F7FCFFFFFDAE81 -REG 0000000000000004 -REG 00000000020200BF -REG 1F7E7AC040000000 -REG EFFFF052001123B0 -REG 00001256120C1550 -REG 0000000000000020 -REG 000000000000000B -REG EFFFF052001123B0 -REG 0000000000000000 -REG 0000000000000000 -REG 10000FADFFEEDC4F -REG EFFFF051C81165E7 -REG F7E7AC06FFFE10E1 -REG 0000000000000020 -REG 0000000000001940 -REG 0000000000000000 -REG FFFFFFFFBFFFC102 -REG 080803000002517F -REG FFFEF727FFFFFFFF -REG FFFFFFFFD2441C7C -REG 0000000000000000 -REG FFFF3FFD8304470E -REG FFEFAF0700006260 -REG 0000000000000000 -REG 000108D840003EFC -REG 0000000084889009 +GPR0 0000000000000000 +GPR1 1FFFFFFFFFFFFFF0 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 00000000FFFFFFF0 +GPR8 000000000001C020 +GPR9 0000000000000040 +GPR10 000000000001CCF7 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00001247D64C1F22 +GPR14 000000000001C01F +GPR15 FFFFFFFF7001B59B +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 800000000EFFFFFF +GPR19 0000000000000000 +GPR20 0000041FFFFFFC00 +GPR21 0000010508080600 +GPR22 7FFFFFFFF0FFFFFF +GPR23 FFFFFFFFFFFFC10E +GPR24 00000000000010E0 +GPR25 000000000001C026 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFE3FFF +GPR29 0000000000000000 +GPR30 0000000000000458 +GPR31 +CR 0000000034000A85 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A007FFFF + diff --git a/tests/710.bin b/tests/710.bin index 6fb17ce..fb96aaf 100644 Binary files a/tests/710.bin and b/tests/710.bin differ diff --git a/tests/710.out b/tests/710.out index 5fcea2c..4b59df1 100644 --- a/tests/710.out +++ b/tests/710.out @@ -1,32 +1,37 @@ -REG 000000003E465A3F -REG 0000000000000000 -REG 00000000A2640000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8FD6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000071AB -REG FFFFFFFFFFFF8E54 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFE037CFFE628E54 -REG 0018000000000000 -REG 0003EB2000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 002AEBCD002AEBCD -REG 000000003EB20000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000091008851 +GPR0 FFFFFFFFFFFFFFFF +GPR1 000000000000F8EF +GPR2 0000000100000001 +GPR3 0000000000007008 +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000000001C020 +GPR6 1000000010000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 000000006E16C020 +GPR13 FFFFFFFFFFFF0711 +GPR14 000000006E17C221 +GPR15 0000000000000020 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0001C01FFFFE3FE0 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 000000000000F8EE +GPR23 FFFFFFFFFFFFFFFF +GPR24 1000000010000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000007060201 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000030070004 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C01D + diff --git a/tests/711.bin b/tests/711.bin index cdb5f4e..9d32d8a 100644 Binary files a/tests/711.bin and b/tests/711.bin differ diff --git a/tests/711.out b/tests/711.out index 7cb226f..59c47cc 100644 --- a/tests/711.out +++ b/tests/711.out @@ -1,32 +1,37 @@ -REG FF83897F0BB7FFFF -REG 000003E3B407A240 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000000098B7 -REG FF83897FB18AF1E6 -REG 00000000001BFFF8 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000011 -REG 000000000013B5CE -REG 0000000000000000 -REG FFFFFFFFFF400001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000045F20000 -REG 0600000007400000 -REG 0000000028018008 -REG 00000000001381FF -REG F448000000000000 -REG 000000000C01000A -REG 00000000F4480001 -REG FFF9FFFFFFEC7DE0 -REG 000000000000000A -REG 00000000003F0013 -REG 0000000000000020 -REG FFFFFFFFFF400001 -REG 000000000000097B -REG 0000001C0000001B -REG 0000000045F20000 -REG 0000000000000000 -REG 0000000090030010 +GPR0 FFFFFFFFFF698666 +GPR1 0000000000000000 +GPR2 0000000000000041 +GPR3 0000000000000003 +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000000000014D +GPR6 000000000000171F +GPR7 0000000000000000 +GPR8 FFFFFFFF6FFFFFFF +GPR9 0000000000005C78 +GPR10 0000000000030799 +GPR11 0000000000000000 +GPR12 0000000000005C77 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 0000000000000104 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000000171E +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000971A28 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFE3FDF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 0000000050799596 +LR FFFFFFFFFFFFFFFE +CTR 0000004800000035 +XER 00000000A0040000 + diff --git a/tests/712.bin b/tests/712.bin index 3fcafc5..6ef037d 100644 Binary files a/tests/712.bin and b/tests/712.bin differ diff --git a/tests/712.out b/tests/712.out index dfba220..093e8ec 100644 --- a/tests/712.out +++ b/tests/712.out @@ -1,32 +1,37 @@ -REG 000000000000310F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFCED8 -REG FDF80000000003FF -REG 000000000000DFFF -REG 0000000000000010 -REG FFFFFFFFFFFFC7B6 -REG 000000003BD60000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 00000000D6FD0000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000E000 -REG 0000000000000000 -REG FFFFFFFFFFFF2000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000041 -REG 0000000000000000 -REG 000000001DE1FFFF -REG 000000002445F8F4 -REG FFFFFFFFFFFFCED8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000888BF1E9 +GPR0 0000000000003503 +GPR1 0000000000000054 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 FFFFFFFFFFFFFFFE +GPR5 FFFFFFFFFFFFFFEF +GPR6 000000000000000F +GPR7 FFFFFFFFFFFFFFFF +GPR8 0AAAAAAAAAAAAA6A +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFF47 +GPR11 0000000000000000 +GPR12 FFFFFFFFE99DFFFF +GPR13 0000000000000000 +GPR14 0000000000003FD7 +GPR15 00000000000076B0 +GPR16 0000000000000000 +GPR17 000000000001FFF0 +GPR18 0000000000000001 +GPR19 0000000000000040 +GPR20 0000000000000001 +GPR21 0000000000000021 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 00000000000076B0 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 F555555555555556 +GPR29 FFFFFFFFFFFFFFDF +GPR30 0000000000000000 +GPR31 +CR 0000000099499915 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/713.bin b/tests/713.bin index 8b5777f..d3fbcac 100644 Binary files a/tests/713.bin and b/tests/713.bin differ diff --git a/tests/713.out b/tests/713.out index 3a1fc26..c163cac 100644 --- a/tests/713.out +++ b/tests/713.out @@ -1,32 +1,37 @@ -REG 0000000000000007 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000100000006 -REG FFFFFFFFFFFFFFFF -REG 0000000050CB0000 -REG 0000000000000000 -REG 0000000000000004 -REG 000001D901C0519B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFA -REG 0000000000000004 -REG FFFFFFFFFFFFBF9A -REG 0000000000000000 -REG 0000000000000000 -REG 00000602FFFFE148 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFE26FE3FAE66 -REG FFFFFFFFFFFFBF9A -REG FFFFFFFEFFFFBF00 -REG 000000000000055C -REG FFFFFFFFFFFFFFFF -REG 0000000108090894 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFE85C +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFF1104 +GPR7 0000000000000040 +GPR8 000000000001C020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FC9 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000FF0 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000000EEFA +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003B500000 +LR 0000000000000020 +CTR 00000000000100FC +XER 00000000A005C020 + diff --git a/tests/714.bin b/tests/714.bin index 5821dd7..85cf338 100644 Binary files a/tests/714.bin and b/tests/714.bin differ diff --git a/tests/714.out b/tests/714.out index 46f56b8..ab287bb 100644 --- a/tests/714.out +++ b/tests/714.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE4 -REG 0000000000000000 -REG 0000001A0000001B -REG 0000000020000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFF1FF -REG 00000000000005FD -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFF00000000C175F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFEC175FFFF -REG FEA22FCA66AA0000 -REG FFFFFFFF114EFFFF -REG FFFFFFFFE0000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000090910108 +GPR0 00000000FFFFF00C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0700800000000000 +GPR4 00000000FFFFF00C +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFE3FE7 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFE3FE7 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFF00C +GPR18 0000000000000000 +GPR19 5BFFFFFFFFFF0FC8 +GPR20 0000000000000000 +GPR21 2208000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000036 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003014C040 +LR FFFFFFFFFFFE3FE7 +CTR 000000000001C03E +XER 00000000A0040000 + diff --git a/tests/715.bin b/tests/715.bin index 26d559b..9fe03e2 100644 Binary files a/tests/715.bin and b/tests/715.bin differ diff --git a/tests/715.out b/tests/715.out index 288c074..b1b5bec 100644 --- a/tests/715.out +++ b/tests/715.out @@ -1,32 +1,37 @@ -REG 00000000000025C6 -REG 0000000000000000 -REG 0007FFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFFFC0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003C2D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA701 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000002160 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 7FFFE1E9A0004943 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000003C2D -REG 0200000020000000 -REG 0007FFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040089E90 +GPR0 0000000000000000 +GPR1 00000000429D0000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFF9BC4FFFF +GPR12 FFFFFFFF9BC4FFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000040 +GPR23 0000000008080808 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003045955B +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000C0080003 + diff --git a/tests/716.bin b/tests/716.bin index 97c5098..c495fe9 100644 Binary files a/tests/716.bin and b/tests/716.bin differ diff --git a/tests/716.out b/tests/716.out index dd64f95..e7a9470 100644 --- a/tests/716.out +++ b/tests/716.out @@ -1,32 +1,37 @@ -REG 00000000617B0001 -REG 0000018633D24AF2 -REG 0000000040000000 -REG 0000000000000000 -REG 000000000000D90E -REG 0000000005D423FF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 00000000FA9C0002 -REG 0000000000000002 -REG 00000000000033E1 -REG 00000000FFFFFFFF -REG 00000000000033E2 -REG 0000000000000000 -REG 0000000000900000 -REG 0000000000000080 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFEF3 -REG 00000000000033E2 -REG C0000000003F0034 -REG C0000000003F0034 -REG FFFFFFFFFFFFBD0E -REG 0000000000000090 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000033E2 -REG 0000000000000002 -REG 0000013AB9C3DC00 -REG 0000000000000002 -REG 0000000000000090 -REG 000000008B1F601D +GPR0 9FFFFFFFFFFFFFFF +GPR1 0000000000000001 +GPR2 6000000000000001 +GPR3 FFFFFFFFFFFF007F +GPR4 FFFFFFFFFFFE3FF0 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFF94000 +GPR7 0000000000000000 +GPR8 00000000000000A0 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000FF7FFE00 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000001 +GPR24 FFFFFFF87FFFFFFE +GPR25 6000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000FFFFFE00 +GPR30 000000000000002F +GPR31 +CR 000000009B905098 +LR 0000000000000000 +CTR FFFFFFF87FFFFFFE +XER 000000008003FFFF + diff --git a/tests/717.bin b/tests/717.bin index fda687d..52ac421 100644 Binary files a/tests/717.bin and b/tests/717.bin differ diff --git a/tests/717.out b/tests/717.out index cc5f767..ec2e293 100644 --- a/tests/717.out +++ b/tests/717.out @@ -1,32 +1,37 @@ -REG 1EF80424F263BA45 -REG 000000000000002A -REG 0000000000000000 -REG 00000000DB000000 -REG 0000000000000000 -REG FFFFFFFFFF800000 -REG 0000000000000000 -REG 7FE0000000000000 -REG 000000000000002A -REG 07FFFFFFBD78FFFF -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E0000000013CDFFF -REG FEFFFBDB5687F02A -REG 0000020025EC0000 -REG FFFFFFFFFFFFDFFF -REG 0000000000000017 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF800000000000 -REG 00000424A9780FD5 -REG 000000000000002A -REG FFFFFFFFFFFF95BA -REG 7FE0000000000000 -REG 0000000000000017 -REG 0000000000000000 -REG 000000003F80002A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000108900000 +GPR0 0001247D64C1F224 +GPR1 0000000000000000 +GPR2 0000000000010201 +GPR3 0000000000000DDB +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFF8007 +GPR8 000000000000006F +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000003FF00003FF +GPR13 FFFFFFFFEFFFFFFF +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000E3FDEFFFFFFF +GPR22 0000E3FC00000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 FFFFFFFFFFFE3FDF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033050005 +LR 0000000000000000 +CTR FFFFFFFFEFFFFFFF +XER 0000000080000000 + diff --git a/tests/718.bin b/tests/718.bin index 084624d..14b0431 100644 Binary files a/tests/718.bin and b/tests/718.bin differ diff --git a/tests/718.out b/tests/718.out index 9c53d18..f5b318b 100644 --- a/tests/718.out +++ b/tests/718.out @@ -1,32 +1,37 @@ -REG F54F1231781870BE -REG E8906D10E8906D10 -REG E8906D10B55C6D10 -REG 00000000000058E0 -REG FFFFF49FA8808515 -REG 00000B60577FFAFB -REG 000000002C018444 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG B00000020577FFAF -REG E8906D1129EF0529 -REG 0000000000000000 -REG 4A7BC14A40000044 -REG FFFFF49F80000115 -REG 0000000080820000 -REG 0000000000000000 -REG 906D10E898600000 -REG B5843EB5BFFFFFBC -REG 0AB0EDCE8BE7FFFD -REG 0000000000000001 -REG 0000000095520000 -REG 0000000000000044 -REG 0000000000000000 -REG B5843EB5C000018A -REG 000000002C0276FF -REG E8906D10E8986D12 -REG 0000000000080002 -REG 0000000000000008 -REG 0000000000080002 -REG E8906D10E890FD95 -REG E8906D1129EF0529 -REG 000000011E1F0882 +GPR0 0000000000000040 +GPR1 0000000000004739 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 000000000000000F +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 FFFFFFFEFFFFB8C7 +GPR12 0000000001FFB8C0 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFC0 +GPR17 0000000000000020 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFF00000001 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039081C91 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFDD +XER 00000000A007D9DF + diff --git a/tests/719.bin b/tests/719.bin index 19e1a5b..48fcdab 100644 Binary files a/tests/719.bin and b/tests/719.bin differ diff --git a/tests/719.out b/tests/719.out index 42fb1aa..3b2d66e 100644 --- a/tests/719.out +++ b/tests/719.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000017B90004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000A00000008 -REG 0000000000000000 -REG 0000000000000000 -REG FFFCF7FEFB3F14FA -REG 0003D7FF8A26B527 -REG FFFCF7FE8A270448 -REG FFFCF7FEFB3F14FA -REG FFFFFFFFC3F54449 -REG 00000000000042F7 -REG FFFFFFFFFFFF8C32 -REG FFFFFFFFFFFF8C32 -REG FFFFFFFF8A274449 -REG 0000000000000407 -REG FFFFFFFFFFFFBD09 -REG 000000000000001C -REG FFFCF7FE8A270448 -REG FFFFFFFF8A274449 -REG 30CBFFFE30CBFFFE -REG 000000007ABFFF1C -REG 0000000000000000 -REG FFFFF7FEDB0714FA -REG FFFFFFFFFFFFBD09 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000969E9910 +GPR0 00000000B6330511 +GPR1 000000000001C036 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 0000000000000009 +GPR7 FFFFFFFDFBDBD9BA +GPR8 000000000001C020 +GPR9 000000000001C036 +GPR10 0000000000000000 +GPR11 FFFFFFFF7FFC0001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000002 +GPR15 0000000000000000 +GPR16 0000000000009237 +GPR17 0000000000000000 +GPR18 0000000000009237 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFDBA99E +GPR25 FFFFFFFFFFFFFAEF +GPR26 FFFFFFFFFD25FFFE +GPR27 FFFFFFFFFFFFFFFE +GPR28 000000000001C038 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000599059B5 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/72.bin b/tests/72.bin index 802cd9a..f49d30a 100644 Binary files a/tests/72.bin and b/tests/72.bin differ diff --git a/tests/72.out b/tests/72.out index 9aeb3e1..de7dc21 100644 --- a/tests/72.out +++ b/tests/72.out @@ -1,32 +1,37 @@ -REG 11A4A587FA27A783 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 11A4A587FD24A5C7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000020 -REG 0000000000000000 -REG 0000011A4A587FD2 -REG 0000003FFF800000 -REG 0000000000000005 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000800 -REG 0000000000000005 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000003FFFB00000 -REG FFFFFFC0007FFFFA -REG 00000000000068A2 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000020000000 -REG 0000000040001040 +GPR0 FFFFFFFFFFFF8606 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFC00007 +GPR5 00000000000068BD +GPR6 00000000000151AC +GPR7 00000000149CFFFF +GPR8 00000000B532C020 +GPR9 000000000001C020 +GPR10 FFFFFFFFF04E77A9 +GPR11 000000000001C020 +GPR12 FFFFB81D5EDCA3FE +GPR13 0000000000000000 +GPR14 000000002910DAE0 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFE +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFD +GPR20 0000000000000000 +GPR21 00000000B532C020 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000002910DAE0 +GPR25 FFFFFFFFD6EF251F +GPR26 FFFFB81D4A405C01 +GPR27 FFFFB81D5EDCA3FF +GPR28 0000000000000000 +GPR29 00000000000068BD +GPR30 0000000000000000 +GPR31 +CR 000000009F9985BB +LR 00000000149CFFFF +CTR 0000000000004115 +XER 0000000080000000 + diff --git a/tests/720.bin b/tests/720.bin index 65600b5..85435a7 100644 Binary files a/tests/720.bin and b/tests/720.bin differ diff --git a/tests/720.out b/tests/720.out index a90fa15..4444965 100644 --- a/tests/720.out +++ b/tests/720.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002FFFFB4F -REG FFFFFFFFFFFFFFFF -REG 7FFFFFFFFC000000 -REG FFFFFFFFFFFF1AD4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFE323FFFE -REG 0000000000004C19 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006206 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 400000004000014C -REG FFFFFFFFFFC7FFFF -REG 0000000000000020 -REG FFFE000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002000 -REG 0000000000000001 -REG 0000000041E1E81E +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFF8BDE +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFF8 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000003E48 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF8BDE +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000C00400000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C004 +GPR30 0000000000000040 +GPR31 +CR 0000000051070300 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFE + diff --git a/tests/721.bin b/tests/721.bin index 68668c7..ec835f2 100644 Binary files a/tests/721.bin and b/tests/721.bin differ diff --git a/tests/721.out b/tests/721.out index 096e900..9f7e478 100644 --- a/tests/721.out +++ b/tests/721.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 000600000000001F -REG 0000000000000000 -REG 0808080808080808 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF8000001FC0 -REG FFF9FFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000D5A0040 -REG 000000200000001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000600000000001F -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFF2A5FFC0 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000028B70000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000005E970880 +GPR0 0000000001FFFE00 +GPR1 0000000000000000 +GPR2 FFFFFFFFFE0001FF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000000FFFE +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFD0 +GPR12 0000000000000000 +GPR13 00000000000F5DF8 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000001FFFDFE +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000001FFFE00 +GPR21 0000000000000009 +GPR22 0000000000000000 +GPR23 0000000000000008 +GPR24 0000000000000000 +GPR25 0000000080000000 +GPR26 FFFFFFFFFE0001FF +GPR27 0000000000000004 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000000000D0000 +GPR31 +CR 0000000059395350 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFE5 +XER 0000000080000000 + diff --git a/tests/722.bin b/tests/722.bin index 023a33e..6ab505e 100644 Binary files a/tests/722.bin and b/tests/722.bin differ diff --git a/tests/722.out b/tests/722.out index 02bc1ee..f8627b8 100644 --- a/tests/722.out +++ b/tests/722.out @@ -1,32 +1,37 @@ -REG FFFFFFFF53EB7592 -REG 00000000BCE30098 -REG 00000000A8830000 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000068 -REG 0000000000000039 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000BCE388BD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF431CFF67 -REG 00000000A8830000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000F9F0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000201C0C000000 -REG 0000000080911000 +GPR0 0000000000000000 +GPR1 0000000003C199AF +GPR2 0000000000000000 +GPR3 0000000000014E9E +GPR4 0000002000000019 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000002000000019 +GPR11 0000000000003804 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000000F +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 FFFFFFFFFFFFFFE8 +GPR30 0000000000000040 +GPR31 +CR 0000000039F22FF9 +LR 0000000000000000 +CTR 000000000A3C28F5 +XER 00000000C0080000 + diff --git a/tests/723.bin b/tests/723.bin index 5cc2205..986583d 100644 Binary files a/tests/723.bin and b/tests/723.bin differ diff --git a/tests/723.out b/tests/723.out index 3ed900e..f02496b 100644 --- a/tests/723.out +++ b/tests/723.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDC17FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000B66E -REG 000000000000C14B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000014E5 -REG 000000000000006D -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000014 -REG 000000000000006C -REG 0000000000003606 -REG 0002BDA7A347FFF8 -REG FFFFFDFF364EB34C -REG FFFFFFFFFFFF9AB1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF6BC75A02 -REG 00000000000014E5 -REG FFFF80002BDA7A34 -REG 0000000000000000 -REG 0000000000007A34 -REG FFFFFFFFFFFFFFB5 -REG 0008FA0000000000 -REG 000000000000FE6F -REG 000000006B020000 -REG 0000000000000000 -REG 0000000100080080 +GPR0 000000000000FFFF +GPR1 0000000000000000 +GPR2 0000000080006A8C +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 000000000001C010 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000084A1E008 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000038 +GPR21 FFFFFFF3FFFFF833 +GPR22 FFFFFFFFFFFFFFFC +GPR23 0000000000000000 +GPR24 0000000000003CDD +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFC +GPR28 0000000000000000 +GPR29 000007FFFFFC0003 +GPR30 0000000000000000 +GPR31 +CR 0000000095050A84 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000040 + diff --git a/tests/724.bin b/tests/724.bin index 82b18a7..de8910a 100644 Binary files a/tests/724.bin and b/tests/724.bin differ diff --git a/tests/724.out b/tests/724.out index ff9b7e1..acb1b85 100644 --- a/tests/724.out +++ b/tests/724.out @@ -1,32 +1,37 @@ -REG 01FFFCC25FF711D6 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000D4420000 -REG 0000000000000040 -REG 0000000000000400 -REG 087C7128087C7128 -REG 0000002000007E2C -REG 0000200000000000 -REG 0000040000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 3500000035000000 -REG 0000000000000001 -REG 000000004008FEF0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF21ECFFE0 -REG FA34031FFA34031F -REG 0000000000000000 -REG 000000200000001F -REG 0000000000000000 -REG 0000000000000001 -REG 00000000DE13001F -REG 087C7128087C7127 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFF9843 -REG 0000000000040000 -REG 0000000000000001 -REG 000000008011FDE0 +GPR0 0000000000000000 +GPR1 0000000000000040 +GPR2 0000000000000000 +GPR3 2CDFFFFFFFE00000 +GPR4 0000000000000000 +GPR5 0000000000000004 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000004B37 +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000000001C020 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000091D90000 +GPR18 FFFFFFFFFFFFFFED +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000013 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000F34 +GPR31 +CR 0000000030491900 +LR 0000000000000004 +CTR FFFFFFFFFFFFFFFC +XER 00000000E00C0000 + diff --git a/tests/725.bin b/tests/725.bin index 431ca18..55ad754 100644 Binary files a/tests/725.bin and b/tests/725.bin differ diff --git a/tests/725.out b/tests/725.out index a15cf01..f18cd85 100644 --- a/tests/725.out +++ b/tests/725.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE1 -REG FFFFFFFFFFFC824C -REG 0000000000000000 -REG 000000000000754C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFD0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF616BFFE2 -REG FFFFFFFFFFFF5A1C -REG FFFFFFFFFFFC0D00 -REG 0000000000000000 -REG FFFFFFFFFFFF3A7E -REG FFFFFFFFF1BDFF7F -REG 0000000000000000 -REG CFFFFFFFFFFFFFF8 -REG 00000000DA190000 -REG 000000000E420080 -REG D000000000007544 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000000000DC -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFFFFC052E -REG FFFFFFFFFFFFFFD0 -REG 000000000000EA98 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000101E90009 +GPR0 FFFFFFC5A8CAFA00 +GPR1 000000001E2CD11C +GPR2 0000000000000000 +GPR3 0000000069500000 +GPR4 FFFFFFFFFFFF2EB1 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000001 +GPR7 0000000000000001 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFF96AFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 F2D58136F2D58136 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000001 +GPR21 FFFFFFFFE1D32EE3 +GPR22 0000000000000000 +GPR23 00000000000000DF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 000000000000000F +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003F51577E +LR 000000000000000F +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/726.bin b/tests/726.bin index 4479756..5a6dc74 100644 Binary files a/tests/726.bin and b/tests/726.bin differ diff --git a/tests/726.out b/tests/726.out index 6959590..0437b0b 100644 --- a/tests/726.out +++ b/tests/726.out @@ -1,32 +1,37 @@ -REG 00000000007F3C31 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 05071A0305071A03 -REG F4FA800405071A03 -REG BFB2CE5FBFB2FE5F -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 84080A0E3407E9F5 -REG 0D11A1339FE219B6 -REG 0000000000000000 -REG FFFFFFFF8257FFEF -REG FFFFFFFFFFFFC000 -REG 0000000000000000 -REG FFFFFFFFBFB7FE5F -REG 0000000000000000 -REG 0000000000004000 -REG 0000000000000000 -REG 0000000000000000 -REG 7BF7F5F1CBF7F659 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000000000002E -REG 000000000000221A -REG 0000000000000000 -REG FFFFFFFFFFFFFFD1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 84080A0E3407E9F5 -REG 000000011E06000C +GPR0 FFFDC839F3F0EDB8 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 000000000000000A +GPR4 0000000000000000 +GPR5 FFFFFFCF9E9E0000 +GPR6 0000000000000000 +GPR7 0000000030000000 +GPR8 0000000000000010 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFCF9E9E0000 +GPR14 0000000000000000 +GPR15 FFFFFFFFCFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFF00 +GPR21 FFFFFFF5F5F5F5F7 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000022 +GPR30 DC61F876DC000076 +GPR31 +CR 0000000000100051 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/727.bin b/tests/727.bin index 53b186f..83b0f15 100644 Binary files a/tests/727.bin and b/tests/727.bin differ diff --git a/tests/727.out b/tests/727.out index 9d2a8fa..35c04de 100644 --- a/tests/727.out +++ b/tests/727.out @@ -1,32 +1,37 @@ -REG 000000FF7C56000B -REG 0000000000000000 -REG 0000000000000000 -REG 00000000003FFFC0 -REG DEE97C25A65676F8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG FFFFFFFFE8000000 -REG 0000000044204404 -REG 0000000000000000 -REG 9FFFFFFF00000000 -REG 0000000098530000 -REG 0000000000000000 -REG 0000000000006BFF -REG 0000000000006840 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFF0083A9FF00 -REG FFFFFF0083A9FF00 -REG 0000000000000000 -REG FFFFFFFFFFFFC18A -REG 0000000000000000 -REG 0000000098530000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000006BBF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFED5D -REG 0000000108408808 +GPR0 FFFFFFFFFFFFFFC5 +GPR1 0000000000000000 +GPR2 0000000000000040 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000000003A +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 000000000000003A +GPR21 FFFFFFFFFFFFF8A0 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 FFFE00000FFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000040 +GPR30 FFFFFFFFFFFFFFBF +GPR31 +CR 0000000053559C73 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/728.bin b/tests/728.bin index e2cf013..f9d6d89 100644 Binary files a/tests/728.bin and b/tests/728.bin differ diff --git a/tests/728.out b/tests/728.out index b89a425..6a7ad26 100644 --- a/tests/728.out +++ b/tests/728.out @@ -1,32 +1,37 @@ -REG 0000000000000020 -REG 07740000001FFFFB -REG FFFFFFFFFFFFFFFF -REG 03FFFFFFFB0777FF -REG FFFFFFFFFFF00000 -REG FFFFFFFFFEA40EE0 -REG FFFFFFFFFFFF60EE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000001FFFFFFFFF -REG F80056FC483FFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000006DCC -REG FFFFFFFFFFFEC1DD -REG 0000002000000020 -REG 3EFF80003EFF8000 -REG 0000000000000020 -REG 0000002000000010 -REG 00000000015B2660 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFB3B8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG 00000000015BF11F -REG 0000000109F49F15 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 1C0200001C000000 +GPR4 0000000000000020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFBFAC0000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000030000000 +GPR18 000000000001C020 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 001C021000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 FFFFFFFFBFABFFC1 +GPR26 FFFFFFFFBFAB868B +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033050949 +LR FFFFFFFFBFAC0001 +CTR FFFFFFFFFFFFFFEC +XER 00000000E00C0000 + diff --git a/tests/729.bin b/tests/729.bin index 036c2a5..e35e700 100644 Binary files a/tests/729.bin and b/tests/729.bin differ diff --git a/tests/729.out b/tests/729.out index 9e3b9aa..7931cbc 100644 --- a/tests/729.out +++ b/tests/729.out @@ -1,32 +1,37 @@ -REG 5B522C495AF57392 -REG A1AFD3B6A00FD3B6 -REG 8ACFFFFF8AD0001F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFB906 -REG 75300000752FFFE0 -REG 0000000000000036 -REG 0000000000000000 -REG 0000000000000200 -REG 00000000000046FA -REG 0000000061BF0000 -REG 000000008AD00000 -REG 000000008AD00000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000046FA -REG 0808080808080808 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000008DF4 -REG A1AFD3B6A00FD3B6 -REG FFFFFFFFFFFFA821 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000621C -REG 0000000082058F84 -REG FFFFFFFFFFFFE4EA -REG 0000000000000005 -REG 00000000450B1F09 +GPR0 000000000001C0DA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 000000000001FBB8 +GPR6 FFFFFFFFFFF03B81 +GPR7 00000000000FC8E1 +GPR8 FFFFFFFFFFFFC7FB +GPR9 0000000000000000 +GPR10 0000000000118990 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFF03B61 +GPR15 0000000000000000 +GPR16 0000000000303158 +GPR17 00000000000FC49E +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFC484 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000004D02C0 +GPR24 FFFFFFFFFFFE3FDF +GPR25 FFFFFFFFFFFE07DA +GPR26 0000000000000000 +GPR27 000000000001C010 +GPR28 0000000000000001 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 000000003031588D +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/73.bin b/tests/73.bin index 05f8f85..e643ce8 100644 Binary files a/tests/73.bin and b/tests/73.bin differ diff --git a/tests/73.out b/tests/73.out index 3b4cf55..cc1bb8d 100644 --- a/tests/73.out +++ b/tests/73.out @@ -1,32 +1,37 @@ -REG 000000FFFFFFF5EE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG F00000000000007F -REG 0000000000003FFF -REG 0000000000000000 -REG 0000000000000021 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000000B -REG 0000000000000006 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000000B -REG FFFFFFFFFFFFFFFF -REG F00000000000007F -REG 0000000000000000 -REG 000000000000001A -REG 000000000D4BFE40 -REG 0000000000000000 -REG FFFFFFFFF2B401C0 -REG 0000000080000000 +GPR0 0004000000030000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 CF0000C1CF005C2F +GPR6 0000000000000000 +GPR7 FFFFFFFD7C61FFFF +GPR8 0000000100000001 +GPR9 0000E1FEFFFE7E9F +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFF1E0100018160 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFF00094FCF +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFEFFFFFFFE +GPR19 0000000000000000 +GPR20 FFD67BD113637F28 +GPR21 FFFFE402004A27D9 +GPR22 000000000000000C +GPR23 0000000000000000 +GPR24 00000000FF80A1CB +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFF06 +GPR27 0000000000000000 +GPR28 0000000000400000 +GPR29 00000002839E0000 +GPR30 0000000000000000 +GPR31 +CR 00000000380000D4 +LR 00000000E1CB0000 +CTR FFD67BD113637F28 +XER 00000000C0080000 + diff --git a/tests/730.bin b/tests/730.bin index 79b1775..557171f 100644 Binary files a/tests/730.bin and b/tests/730.bin differ diff --git a/tests/730.out b/tests/730.out index baa24a2..282c6b7 100644 --- a/tests/730.out +++ b/tests/730.out @@ -1,32 +1,37 @@ -REG FFFFFFFF5D0EFFDF -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000008649 -REG 0000000000000040 -REG 0000000000006B81 -REG 0003A0000003AA00 -REG FFFFFFFFFFFFFFFF -REG 000000000000001A -REG 0000000000000032 -REG 0000000000000020 -REG FFFFFFFFFFFF8119 -REG E19240001FFFFFFF -REG E19240001FFFFFFF -REG 00000000A2F10000 -REG 0000000000000000 -REG 0000000000100000 -REG FFFFFFFFFFFFFFFF -REG 4800043248004489 -REG 0000000000000020 -REG 0000000005100032 -REG 0000000000000000 -REG FFFFFFFFFFFF8649 -REG 0000000000000000 -REG 0000000005100032 -REG 0003A0000003AA00 -REG 0000000000004000 -REG E192400020000A99 -REG 0000000000000000 -REG 0000000000000000 -REG 000013A002920000 -REG 0000000010004901 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000033500000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 FFFFFFFFFFFE3FC2 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000004 +GPR12 0000000000000000 +GPR13 001FF00000000F59 +GPR14 FFFFFFFFFFFE3F82 +GPR15 000000000000000C +GPR16 0000000000008006 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000F598FFFF +GPR22 0000000000002752 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000010 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000033500000 +GPR30 000000000001C03E +GPR31 +CR 0000000032500000 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/731.bin b/tests/731.bin index 546dd70..eb7f799 100644 Binary files a/tests/731.bin and b/tests/731.bin differ diff --git a/tests/731.out b/tests/731.out index efd32f9..3720683 100644 --- a/tests/731.out +++ b/tests/731.out @@ -1,32 +1,37 @@ -REG 000000001C47800B -REG FFFFFFFFFFFFFFFA -REG 000000001C478000 -REG 0000000000000000 -REG 0000500000000000 -REG FFFFFFFFFFFFFFE5 -REG 00000000459F2D2B -REG 0000000000000000 -REG 000000000000001F -REG FFFFFFFFE8C100C0 -REG FFFFFFFFFFFFFFBF -REG 0000000000040000 -REG 0000000000000005 -REG 0000000080000000 -REG FFFFFFFE459F2D2B -REG FFFFFFFFFFFFFFFA -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFFFFFFE8D0 -REG FFFFFFFFFFFFFFE0 -REG FFFFF80000000000 -REG 0000000000000000 -REG 00000000459F2D2B -REG FFFFFFFFFFFFFFE0 -REG 0808080808080403 -REG 0000002D2B000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001B -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000220 -REG 00000000820901E2 +GPR0 000000000067FFDA +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 FFFFFFFC0E0107DA +GPR4 0000000000000000 +GPR5 000000000001C018 +GPR6 E000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFF980025 +GPR12 0000000000000020 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000000 +GPR16 000000000067FFDA +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 600097FE600091FE +GPR24 0000000000000000 +GPR25 0000000000000010 +GPR26 000000000001C020 +GPR27 000000000000000F +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 000000003535F455 +LR 0000000000000000 +CTR 000000000067FFDA +XER 0000000080000000 + diff --git a/tests/732.bin b/tests/732.bin index 3cfac6a..1d2a552 100644 Binary files a/tests/732.bin and b/tests/732.bin differ diff --git a/tests/732.out b/tests/732.out index e8a3420..540a4fc 100644 --- a/tests/732.out +++ b/tests/732.out @@ -1,32 +1,37 @@ -REG FFFFFFFF137BFFD0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFEF847 -REG FFC0000000000FFF -REG 000003FFCAB90000 -REG 000000CC1102FFFF -REG 0000000080000000 -REG 0000000000000020 -REG FFFFFC0035470000 -REG 54FFFFFFFFFFFFFF -REG FFFFFFFF77FBFBFF -REG 0000000000000010 -REG FFFFFFFFFFFFE37D -REG 0000000000000000 -REG 00000000EC840000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000000 -REG 0000000008000000 -REG FFFFFF33AE790000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000088040400 -REG FFFFFF33AE790000 -REG 0029E63E2DAFCB70 -REG 0000000000000000 -REG 0000000108000000 +GPR0 FFFFFFFFFFFE3FDF +GPR1 0000000000000000 +GPR2 000000000001C02E +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000000003F +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFF000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00000000000083BC +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000040 +GPR23 0000000030000000 +GPR24 0000000000000000 +GPR25 00000000FFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE3FDF +GPR31 +CR 0000000030599029 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0061B40 + diff --git a/tests/733.bin b/tests/733.bin index 291e62d..7bc3a5a 100644 Binary files a/tests/733.bin and b/tests/733.bin differ diff --git a/tests/733.out b/tests/733.out index 05b9a90..05be7d3 100644 --- a/tests/733.out +++ b/tests/733.out @@ -1,32 +1,37 @@ -REG A554FFFF98BFFFE2 -REG 000000006743001D -REG FFFFFFFFE9553FFF -REG 000000003CD60000 -REG 000000001539FFC9 -REG FFFFFFFFFFFFA743 -REG 0000000000000000 -REG FFFFFFFFFEBFFFFF -REG 000B556000000060 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFAC -REG FFFFFFFFFFFFE9F9 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000016AAC000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001539FF88 -REG 0000000100000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000000001539FFC9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040810003 +GPR0 FFFFFFFF84620000 +GPR1 0000000000000001 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 FFFA000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000040 +GPR8 FFF9FFFFFFFDFFBE +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFF146 +GPR13 FFFFFFFFFFFFF146 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FDF +GPR20 0000000000004C6C +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFF9FFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFE0 +GPR29 FFF9FFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000039309D9D +LR 0000000000000200 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040020 + diff --git a/tests/734.bin b/tests/734.bin index 5b77199..e7c3e3c 100644 Binary files a/tests/734.bin and b/tests/734.bin differ diff --git a/tests/734.out b/tests/734.out index e54b1f8..cfa6168 100644 --- a/tests/734.out +++ b/tests/734.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD7F0FFD0 -REG 0000000035660000 -REG FA3080FFF0000000 -REG 0000000000000000 -REG 0000000000000002 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFFFFFFE3E6 -REG 0000024A90000000 -REG 0000000000000000 -REG FFFFFFFFA3085127 -REG FFFFFFFFA3080FFF -REG 0000000000000019 -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000000 -REG 0000001B0000001C -REG FFFFFFF8DA000000 -REG 0000000000000000 -REG FA3080FFF0000000 -REG FA3080FFF0001000 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000726000000 -REG 0000000000001000 -REG 000000000000001F -REG FFFFFFFFFFFFFFC0 -REG 00000001301E0008 +GPR0 0000000047484B34 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FDF +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFF96E6A9A7 +GPR6 FFFFFFFFFFFE3FDF +GPR7 0000000000000020 +GPR8 FFFFFFFFB7302FB5 +GPR9 0000000000000002 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFF102A +GPR12 000000000001C034 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 FE00000000000000 +GPR23 0000000000000000 +GPR24 0000000069195658 +GPR25 FFFFFFFF96E60000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000A9A7 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030484B75 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00C0008 + diff --git a/tests/735.bin b/tests/735.bin index 9706d33..f9ccdf5 100644 Binary files a/tests/735.bin and b/tests/735.bin differ diff --git a/tests/735.out b/tests/735.out index 30d44a7..0afcdbc 100644 --- a/tests/735.out +++ b/tests/735.out @@ -1,32 +1,37 @@ -REG 80333FFFFFFF8601 -REG 0000000000000011 -REG 0000000000000003 -REG FFFFFFFFFFFFFFE2 -REG FFFFFFFFFFFFFFC4 -REG 0000000000000000 -REG FFFFFFFFB607FFFF -REG FFFFFFFFFFFFFFE2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002136 -REG 0000000000000000 -REG 000000004D800000 -REG 0000000048800002 -REG 0000000048800002 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001D -REG 0000000000000001 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFF79BFFE3 -REG 0000000000000B7F -REG 00667E66002EA522 -REG 000000004D800000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000010F3101C6 +GPR0 0000000000000000 +GPR1 0000000000000007 +GPR2 0000000000000007 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000EBE +GPR7 0000000000001128 +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000000 +GPR10 0808080808080808 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000208C0003 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000208C0004 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000077500040 +GPR31 +CR 0000000050015509 +LR 0000000000000000 +CTR FFFFFFFFFFFFFF9F +XER 0000000080000000 + diff --git a/tests/736.bin b/tests/736.bin index ec51bf6..fc32101 100644 Binary files a/tests/736.bin and b/tests/736.bin differ diff --git a/tests/736.out b/tests/736.out index 970c558..c10b49e 100644 --- a/tests/736.out +++ b/tests/736.out @@ -1,32 +1,37 @@ -REG FFFFFFC8A84D0F0A -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG FFFFFFFFFFFFD6EF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF7FF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000002910 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C490 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEB -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFD6EE -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002910 -REG FFFFFFFFFC0003FF -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFC0 -REG 000000011001089E +GPR0 0000000000007DB8 +GPR1 0000000000000000 +GPR2 000000002E8A0040 +GPR3 000000000000001F +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFDF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 00000000FFFFFFDF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFDF +GPR18 0000000000000000 +GPR19 0000000000000011 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 00000000FFFFFFDF +GPR30 0000000000000000 +GPR31 +CR 0000000037793157 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 000000008003FFE3 + diff --git a/tests/737.bin b/tests/737.bin index f9f0332..2bcbc46 100644 Binary files a/tests/737.bin and b/tests/737.bin differ diff --git a/tests/737.out b/tests/737.out index 61a758a..74d6a44 100644 --- a/tests/737.out +++ b/tests/737.out @@ -1,32 +1,37 @@ -REG 000000008B44A898 -REG FFFFFFFFFFFFF3EE -REG FFFFF1F8F7F812F8 -REG FFFFFFFFFFFFFFEF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF47E -REG 0150C32F0150C32F -REG 0000000000000000 -REG 0150C32F0150C32F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008B442887 -REG 0000058100000581 -REG FFFFFFFFFFFFFFFE -REG 0000000016B80000 -REG 00000E070807ED07 -REG FFFFFFFFFFFFF3EE -REG 0000000000000000 -REG 0000000000000001 -REG 001DE2D2001DE2D2 -REG 0000000000000000 -REG 000000008B442887 -REG 0000000016B7AC86 -REG FFFFF1F8F7F812F8 -REG FFFFFFFF00000000 -REG FFFFF1F8F7F812F8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000057084910 +GPR0 FFFFFFFFFFFFFD5D +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000D7B6DE3 +GPR4 FFFFFFFFFFFFFD5D +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 FFFFFF800000CFFF +GPR8 FFFFF0001FFFFFFF +GPR9 FFFFFF80000007FE +GPR10 FFDFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFF9 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 FFFFFF800000CFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000005 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000015 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFF80000007FE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000007FFFFFF802 +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090548A54 +LR 000000000000E87B +CTR 0000000000000000 +XER 00000000A007FFFF + diff --git a/tests/738.bin b/tests/738.bin index 52099ca..03b5df9 100644 Binary files a/tests/738.bin and b/tests/738.bin differ diff --git a/tests/738.out b/tests/738.out index 442f4f0..bcb4b58 100644 --- a/tests/738.out +++ b/tests/738.out @@ -1,32 +1,37 @@ -REG EC0000005523F696 -REG 0000000000000000 -REG FFFFFFFFFFFF0902 -REG 1000000010000000 -REG 0000000000004B45 -REG FFFFFFFFD02D8977 -REG 000000002FD27688 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000004F8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 1000000090420000 -REG FFFFFFFFFFFFE500 -REG 00000000012022C0 -REG 0000000000000000 -REG FFFFFFFF3623FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 1000000010000000 -REG 00000000280B0000 -REG 0000000000000000 -REG FFFFFFFFFFFF0902 -REG 0004F80000000000 -REG 0000000000000000 -REG 000000000004808B -REG 0000000000009ECA -REG 0000000000000000 -REG 0000004FCA00004F -REG 0000000000000000 -REG 000000005E090080 +GPR0 000000000001C020 +GPR1 000000000001C03C +GPR2 0000000000000000 +GPR3 FFFFFFFFDFEFFB6E +GPR4 0000000000000000 +GPR5 000000004ACF0040 +GPR6 FFFFC00000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000020100491 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFA40E +GPR12 FFFFFFFF7001C016 +GPR13 0000000000000000 +GPR14 0000000000000018 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFB530FFC0 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000006 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFB530FFFF +GPR31 +CR 0000000088100491 +LR 0000000000000000 +CTR FFFFFFFF6FFFFFFF +XER 0000000000003306 + diff --git a/tests/739.bin b/tests/739.bin index 447de6b..e2ca00a 100644 Binary files a/tests/739.bin and b/tests/739.bin differ diff --git a/tests/739.out b/tests/739.out index aeb878d..77ae9d5 100644 --- a/tests/739.out +++ b/tests/739.out @@ -1,32 +1,37 @@ -REG FFFFFFFE7244F69D -REG FFFFFFFFFFFFFE00 -REG 0021FFFECB9EB5C3 -REG 0000000000000000 -REG 000101010100E0D2 -REG F7F7F7F7F8F96D00 -REG 08080808070692E7 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFBFBFBFBFC7CB6 -REG FFFFFFFFFB0D0000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFE5 -REG 0000000000000019 -REG 27272727424E81A3 -REG FFFFFFFFFFFFFF01 -REG 000000000000000F -REG 0000000000000003 -REG 0000000000000040 -REG 000000000000003F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG C000000000000F75 -REG 0000000000000001 -REG 0000000000000001 -REG 00000000804684E7 -REG 0021FFFF20FAB5C3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050900081 +GPR0 000000000000000F +GPR1 00000000B8AC5000 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 000000000000002F +GPR6 0000000000000000 +GPR7 00000000A007FFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0001000000000000 +GPR16 0000000000005000 +GPR17 0000000000000000 +GPR18 0000000000002C1D +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFD3E2 +GPR24 000000000000003B +GPR25 000000000005583A +GPR26 0000000000000000 +GPR27 0000000000160000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 A000000000000000 +GPR31 +CR 0000000055000004 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/74.bin b/tests/74.bin index 41b4f8e..960de66 100644 Binary files a/tests/74.bin and b/tests/74.bin differ diff --git a/tests/74.out b/tests/74.out index ced7dca..034e664 100644 --- a/tests/74.out +++ b/tests/74.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFDF49 -REG FFFFFFFFFFFFFFFF -REG 0000000200000000 -REG FFFFFFFFFFFF0790 -REG 0012088000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF834E -REG 000000000000004F -REG 0000000000000000 -REG C0000013C0000003 -REG 0200000001010306 -REG 000000000000D06C -REG 8C41070000000000 -REG C000000000000000 -REG F3BEF8FFFFFFFFFF -REG 009000135000A5C4 -REG 0000000007320000 -REG FFFFFFFFFFFFFFFF -REG 3FFFFFFFFFFFFFFE -REG 3FFFFFFFFDFD7330 -REG C000000000015D39 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG C000000000008CCD -REG FFFFFFFFFDFDFFFD -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000004822 -REG 00000000E33AFF32 -REG C000000002028CCF -REG 0000000110500111 +GPR0 FFFFFFFFFFFFF451 +GPR1 0000000059021181 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000006 +GPR6 00000000FFFE3FEB +GPR7 FFFFFFFFFFFE3FD8 +GPR8 FFFFFFFFFFFE3FEB +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C026 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 645640A4400240A4 +GPR18 0000000000000005 +GPR19 00000000000000A0 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000020 +GPR23 0000000003FFFFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000059029191 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000005D700003E60 +GPR30 0000000000000000 +GPR31 +CR 0000000059099081 +LR 0000000000000006 +CTR 0000000000000000 +XER 0000000080012262 + diff --git a/tests/740.bin b/tests/740.bin index 524a455..b3e521d 100644 Binary files a/tests/740.bin and b/tests/740.bin differ diff --git a/tests/740.out b/tests/740.out index 715e114..8824622 100644 --- a/tests/740.out +++ b/tests/740.out @@ -1,32 +1,37 @@ -REG FFFFF8204FCFFFDE -REG 03FFFFFFC0A0DC00 -REG 0000000000000046 -REG 0000000000000000 -REG 000000000000096E -REG FFFFF8000000FFE0 -REG 0000000000000046 -REG 0000000080A00000 -REG 0000016700000007 -REG 0000000000000000 -REG 0000000000000040 -REG 000001E000000167 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080800002 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000016700000007 -REG C99C7FA721948400 -REG FFFFFFFFBFFFFFBF -REG FFFFFFFFFC20001E -REG 0000000080800002 -REG 0000000000007FF3 -REG 0000000000000000 -REG FFFFFFFFC0000000 -REG FFFFFE98FFFFFFF8 -REG 0000000000000040 -REG 000007E030D00022 -REG 0000000081000004 +GPR0 FFFFFFFFFFFFFFF2 +GPR1 000000000000FFFF +GPR2 000000000000FFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000090010080 +GPR8 0000000000004735 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFCBC60000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000000000C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000004735 +GPR22 0000000000005AE4 +GPR23 0000000000000001 +GPR24 000000003439FFFE +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 FFFFFFFFFEF0177F +GPR28 00000000FFFFFFF2 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000034015080 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E0060000 + diff --git a/tests/741.bin b/tests/741.bin index de9cd86..348be6e 100644 Binary files a/tests/741.bin and b/tests/741.bin differ diff --git a/tests/741.out b/tests/741.out index 15d1c4d..16762d4 100644 --- a/tests/741.out +++ b/tests/741.out @@ -1,32 +1,37 @@ -REG F0B434E6277E34FC -REG 000000000000003A -REG 0000000000000000 -REG 0000000000000000 -REG 000000000FFFF000 -REG FFFFFFFFFFFFFFC5 -REG FFFFFFFFFFFFFFFF -REG B22A0005B7FE0005 -REG FFFFFFFFFFFFFFDD -REG FFFC5FFFFFFE6000 -REG 0000000000080000 -REG 0000000000000020 -REG 0800000038000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000003FFFE2FFFFF -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFF74007FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 000000000000039B -REG 000000000000001F -REG 000000009010F110 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000300000003 +GPR4 0000000000040049 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00008C7800006226 +GPR8 0000000000000000 +GPR9 000000000001E286 +GPR10 FFFFFFFFFFFBFFB5 +GPR11 FFFFFFFFFFFFFFCC +GPR12 FFFFFFFFFFFFFFFE +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFC7FBE +GPR15 FFFFFFFFFFFFFD6B +GPR16 0000000000000000 +GPR17 FFFFB9C3FFFFCEEB +GPR18 FFFFFFFFFFFFFFFE +GPR19 0000000000000000 +GPR20 0000463C00003113 +GPR21 0000000081A20000 +GPR22 0000000000000000 +GPR23 00001C71C71C71C7 +GPR24 0000000000000001 +GPR25 0000000000000294 +GPR26 0000000000000000 +GPR27 0000463C00003114 +GPR28 00000000FFFFFFCC +GPR29 000000000001C006 +GPR30 0000000000000000 +GPR31 +CR 000000008011F8D1 +LR 0000000000000000 +CTR 0000000000005DA2 +XER 0000000020047508 + diff --git a/tests/742.bin b/tests/742.bin index 1b8be4e..31e127f 100644 Binary files a/tests/742.bin and b/tests/742.bin differ diff --git a/tests/742.out b/tests/742.out index 5341c3b..9afa94b 100644 --- a/tests/742.out +++ b/tests/742.out @@ -1,32 +1,37 @@ -REG 7FFFFFFFFFFF893B -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000000 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000600000006 -REG 0000000080000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFC49DBFFFE0000 -REG 000000000000003F -REG 000000007FFFFFC0 -REG 0000000000000040 -REG 0000000000000000 -REG 80000000000076C4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000019 -REG 0000000100000001 -REG FFFFFFFFFFFFFFE7 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7FFFFFE7 -REG FDFFFFF8807FFFFF -REG 0000000000000022 -REG FFFFFFFFFFFFFFFF -REG 00000000490089FC +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000004428 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000001ADB +GPR14 0000000000000001 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000001 +GPR28 0000000000000002 +GPR29 000000000001C020 +GPR30 0000000000000001 +GPR31 +CR 000000005F430B91 +LR 000000000001C020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/743.bin b/tests/743.bin index 41861fc..b49e392 100644 Binary files a/tests/743.bin and b/tests/743.bin differ diff --git a/tests/743.out b/tests/743.out index 4994a58..28e7fcd 100644 --- a/tests/743.out +++ b/tests/743.out @@ -1,32 +1,37 @@ -REG 0000026509941264 -REG 1294966509949665 -REG FFFFFFFFFFFFFFFF -REG 0000000000002281 -REG 00007FFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFD0D40000FF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG FFFFFFFFFFFFFFB1 -REG 2DC4EF35ECD75A24 -REG 0000000000000004 -REG 00000000D6DB0000 -REG 00000265099452EF -REG FFFEFAFAEBD8AFD0 -REG 0000000000000000 -REG 000010CC00003709 -REG 00000000D6DB0000 -REG 0000000000000020 -REG 0000000000000004 -REG 000000000000006D -REG 0000000000000000 -REG 0000000000000000 -REG 0000370800003708 -REG 0000000000000000 -REG D23B2CCA1328A5DC -REG 1294966509949665 -REG 0000000000000000 -REG 0000026509944D16 -REG 2DC4D335ECD7CA4E -REG 0000000058A80842 +GPR0 00000000000040F8 +GPR1 0000000000000000 +GPR2 000000004EC80000 +GPR3 0000000000000000 +GPR4 000000003CF20000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFF8 +GPR8 0000000000000000 +GPR9 FFFFFFFF617A001F +GPR10 9F2BD75D9F2B7EDC +GPR11 000000003CF20001 +GPR12 0000000000000000 +GPR13 0000000000004092 +GPR14 0000000000000000 +GPR15 0000000000000008 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 9F2BD75D9F2B7EDC +GPR19 FFFFED9B5BCB98CC +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFF000004EEFA180 +GPR23 0000000000000000 +GPR24 000000000000002A +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 000000000000476D +GPR29 0000000000000040 +GPR30 000000000001C020 +GPR31 +CR 000000003091170D +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/744.bin b/tests/744.bin index 93149bc..7392e3b 100644 Binary files a/tests/744.bin and b/tests/744.bin differ diff --git a/tests/744.out b/tests/744.out index 77ba203..21d990e 100644 --- a/tests/744.out +++ b/tests/744.out @@ -1,32 +1,37 @@ -REG 000000000000F2D7 -REG 000000000000577B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000020 -REG 00000000000077BA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000003777B -REG 0000000040000000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000043F7E -REG 000000000003777B -REG FFFFFFFFFFFFFFFF -REG 0000000000000018 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000021 -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000019 -REG 000000000000577B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000302 -REG 0000000000000000 -REG 0000000090005108 +GPR0 FFFFE5DE25F21FA2 +GPR1 FFFFFFFFBBDE41C5 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000007F800000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 00001A20C8C65E68 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFBE3A +GPR9 FFFFFFFF9A4E41C3 +GPR10 0000000065B1BE3C +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000C0000000BF +GPR15 00000000000009EA +GPR16 00000000000059D8 +GPR17 0000CB6360000000 +GPR18 FFFFFFFFFFFFFFFE +GPR19 00000000CEAA0000 +GPR20 0000000000000000 +GPR21 FFFFFFFF9A4E41C5 +GPR22 00000000000041C5 +GPR23 0000000065B1CCC9 +GPR24 FFFFFFFF9A4E41C4 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 FFFFFFFF9A4E41C5 +GPR28 0000000000000000 +GPR29 0000000065B1CCC9 +GPR30 E3C0000000065B1B +GPR31 +CR 000000000000419A +LR 00000004645D1C00 +CTR 0000000000000E8E +XER 00000000A0000000 + diff --git a/tests/745.bin b/tests/745.bin index 11b80ba..805111a 100644 Binary files a/tests/745.bin and b/tests/745.bin differ diff --git a/tests/745.out b/tests/745.out index 049540e..64ff5cc 100644 --- a/tests/745.out +++ b/tests/745.out @@ -1,32 +1,37 @@ -REG 0000000000003283 -REG 0000000000003283 -REG 011D500020AF0000 -REG 0000000000003B2F -REG 0000000000000000 -REG 00000CA0A6BB8A53 -REG 0000000000000000 -REG 0000000000003B2F -REG 0000000000000000 -REG 0000000020CEC975 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000003FFF7FF2 -REG FFFFFFFFFFFFC975 -REG 000000003FFF7FF1 -REG FFFFFFFFC00066D9 -REG 0000000000000000 -REG 00000CA0A6BBBEDF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000003B2F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000022 -REG FFFF800000000000 -REG FFFFFFFFFFFFFF83 -REG 0000000000003283 -REG FFFFFFFFFFE0368A -REG 0000000000000000 -REG 0000000000000000 -REG 000000009E9E5E84 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 000000000000000E +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 00000000FC440000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFD +GPR9 0000088D0EAA35C0 +GPR10 0000083FFFFE3FDF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFF3 +GPR14 FFFFFFFF5FFA3FE0 +GPR15 0000088D0EAA35C0 +GPR16 000000000000C01E +GPR17 0000000000000000 +GPR18 000000000000003F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 37CE4FE7092E3FDA +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000007780000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000005000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 0000000035015093 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/746.bin b/tests/746.bin index 6ab7bda..d0caf3d 100644 Binary files a/tests/746.bin and b/tests/746.bin differ diff --git a/tests/746.out b/tests/746.out index 8fa5c87..2453955 100644 --- a/tests/746.out +++ b/tests/746.out @@ -1,32 +1,37 @@ -REG FFFFFF80FFFFFF80 -REG 0000000000000000 -REG 000000F7FFFFFFFF -REG 0000000000000000 -REG 04000000000000E9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008BD00000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 001FFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG F000000000000000 -REG FFFFFFFFFFFFFFBB -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 04000000AA1000E9 -REG 0000000000000000 -REG FFFFFFFFFFFFB8F4 -REG 0100000000000000 -REG 7FFFFF700FFFFFFF -REG 0000000090000108 +GPR0 0000000000003000 +GPR1 00000000000005BD +GPR2 0000000000000000 +GPR3 0000000000000008 +GPR4 0000000000000038 +GPR5 00000000C00BFFFF +GPR6 0000000000000000 +GPR7 00000000C00BFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000006000 +GPR12 FFFFFFFFFFFFFFF7 +GPR13 0000000000000000 +GPR14 0000000000001938 +GPR15 0000000000000001 +GPR16 0000000000000008 +GPR17 00000000C00BFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000380400 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFE9FF +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0001000004000004 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059959939 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/747.bin b/tests/747.bin index 7a6a053..e91884c 100644 Binary files a/tests/747.bin and b/tests/747.bin differ diff --git a/tests/747.out b/tests/747.out index ff64ee6..8dacd15 100644 --- a/tests/747.out +++ b/tests/747.out @@ -1,32 +1,37 @@ -REG FFFFFFFF491C1FFE -REG 0000000076F7AC05 -REG 0000000000000000 -REG 0000000000000000 -REG 0007FFC00C00754D -REG 007000000002515C -REG 0000000000000004 -REG 001C000000009457 -REG FFFFFFFFFFFFFFFF -REG 7FFC000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000BF030000 -REG C800000000000000 -REG 0000000000000000 -REG 00000000F8D00000 -REG FFFFFFFFFFFF6257 -REG 000000200000001C -REG 0007FFC000AC0000 -REG 00000000244F44F4 -REG 00000000128AE000 -REG 00000000533891FE -REG 0007FFC000AC0000 -REG 3800000001000000 -REG 0000000000000000 -REG 0000000000000000 -REG 559FFFFEE3B020E8 -REG C8000000234F44F3 -REG 00000000889E89E8 +GPR0 00000000E1780000 +GPR1 0000000000000020 +GPR2 00000000B1B8FFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000002 +GPR6 0000000000000002 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFC +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001A098 +GPR13 0000000000000001 +GPR14 00000000B1B93924 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFC6F2 +GPR23 00000000B1B90000 +GPR24 FFFFFFFFFFFFFFE0 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFD +GPR27 0000000000000000 +GPR28 00000000FFFF3AFE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009200D9D2 +LR FFFFFFF0FFFFFFF1 +CTR FFFFFFFF88000000 +XER 0000000080000000 + diff --git a/tests/748.bin b/tests/748.bin index 28b2d51..c7b8cf3 100644 Binary files a/tests/748.bin and b/tests/748.bin differ diff --git a/tests/748.out b/tests/748.out index 60e73b1..0bdf0d8 100644 --- a/tests/748.out +++ b/tests/748.out @@ -1,32 +1,37 @@ -REG FFFFF000FFE92B10 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000039 -REG F945DE95ECF15D9F -REG 000000000000002D -REG 0000000000000000 -REG FFFFFFFFFBFC0000 -REG 0000000000000000 -REG FFFFF000FFC00000 -REG 0000000000005903 -REG 0000000000000000 -REG 0DB71F2200F71F64 -REG 000002A080000000 -REG F945DE95ECF15D9F -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000069F000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002A08 -REG 000000006C80009C -REG FFFC00000000000F -REG 000272E800000000 -REG 0000000000000000 -REG FFFFFFFFFBFC0000 -REG FFFFFFFFFFFFAF64 -REG FFFFFFFFFFFFDED0 -REG FFF3BF4007FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100888890 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C020 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFF8 +GPR9 0000000000000000 +GPR10 000000000001C015 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000005800070 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFE1E1E1E2AF5 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0B0000E00B0000E0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005920D918 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/749.bin b/tests/749.bin index 51083aa..f43da71 100644 Binary files a/tests/749.bin and b/tests/749.bin differ diff --git a/tests/749.out b/tests/749.out index 8c1542a..713faab 100644 --- a/tests/749.out +++ b/tests/749.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 0000007800000018 -REG 0050FE1E00000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000080000000 -REG 0000000000000000 -REG 03C0000000000000 -REG 000143F878000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000078000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000143F878000000 -REG 0000000078000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000073F0 -REG 0000000000000000 -REG 000004BEE3C20000 -REG 0000000000000000 -REG 0000000078000000 -REG 03BFE88903BFE889 -REG 0000000000000031 -REG 0000000000000000 -REG 0000000000000040 -REG 00000000000001E0 -REG 0000000007FFCDF3 -REG 0000001FFFFFFEE1 -REG 0000000088089008 +GPR0 FFFFFFFFCBE4EF5B +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0001D124CBE4EB1C +GPR4 0000000000000000 +GPR5 C817FFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0001D124CBE4EB1B +GPR9 FFFFFFFFFFFFEB1B +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000025F27580 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 EEB10EAB497C76DC +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000055099590 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0001D124CBE4EAAB +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0001D124CBE4EB1C +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000095099590 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFC3 +XER 00000000C00A3FDF + diff --git a/tests/75.bin b/tests/75.bin index 0d51e41..a79a0e8 100644 Binary files a/tests/75.bin and b/tests/75.bin differ diff --git a/tests/75.out b/tests/75.out index a1c56d4..6d45a21 100644 --- a/tests/75.out +++ b/tests/75.out @@ -1,32 +1,37 @@ -REG C07FFFFFFFFFE4FB -REG 0000000000000000 -REG 000000000000728F -REG FFFFFFFFFFDCFFFF -REG 0000000000000020 -REG 451862C784E82B63 -REG 00000410FE30FFE0 -REG 0000000000000000 -REG 0000000091200748 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000000 -REG 00000410FA330000 -REG 0000000091200729 -REG 00000000000060F3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000065CBE177CB1 -REG 9120074891200048 -REG 0000001900000000 -REG F5A5D2A8B0418800 -REG 0000000000000019 -REG 0000065CBE17CF95 -REG 0000000000000000 -REG 4801D2644801D200 -REG 00000000B7E80749 -REG 0000000000230020 -REG 00000410FE540000 -REG 0000000091200749 -REG 0000000000000000 -REG 00000410FE540000 -REG 00000000800E1E11 +GPR0 000000000001C020 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 92CFFFFC92CFFFFC +GPR5 FFFFFFFFFFFFFFFF +GPR6 01B690000001F800 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 7FEFFFFF80001B69 +GPR10 000000000000003F +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 6D3000036D300003 +GPR14 0000000000000000 +GPR15 0000002000000020 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 00000000C0080000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFF3339 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000003FEC +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 0000000099000393 +LR 0000000000003FEC +CTR 0000000000000000 +XER 00000000E00FFFFF + diff --git a/tests/750.bin b/tests/750.bin index 45a7bb3..007f97f 100644 Binary files a/tests/750.bin and b/tests/750.bin differ diff --git a/tests/750.out b/tests/750.out index 2955fa1..8b08059 100644 --- a/tests/750.out +++ b/tests/750.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG D044000000001FBF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000027AD155A -REG 0000000000000000 -REG 0000000000001EEB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFC000000000000 -REG 0000000000000000 -REG 0000000027ADB411 -REG FFFBFFFFFFFFB411 -REG 0000000000000000 -REG 0000000000000000 -REG FB8FFFFFFCB7C498 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG D044000000001EB6 -REG 0000000000000000 -REG 0000000000000075 -REG 00000000411FE11F +GPR0 0000000000000000 +GPR1 00000000548492FE +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 00000000000B0000 +GPR5 FFFFFFFFFFFF92FF +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 00000000548F92FF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000002715 +GPR15 FFFFFFFFD47E0000 +GPR16 0000000000000001 +GPR17 FFFFFFFFFFFFD8EA +GPR18 0000000000006D01 +GPR19 FFFFFFFFFFFFFFF3 +GPR20 0000000000000007 +GPR21 0000000000000000 +GPR22 000000000000000C +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000014 +GPR26 00000000548F6BE9 +GPR27 00000000000FFFFF +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000050FBF89A +LR 00000000C0080000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/751.bin b/tests/751.bin index 1ce526a..fe60a06 100644 Binary files a/tests/751.bin and b/tests/751.bin differ diff --git a/tests/751.out b/tests/751.out index 7de38a5..403d639 100644 --- a/tests/751.out +++ b/tests/751.out @@ -1,32 +1,37 @@ -REG 000000000000333D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 000000008C690000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000DFD3B8 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000DFD3B8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG C000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000100001108 +GPR0 0000000058057532 +GPR1 0000000000000000 +GPR2 000000000000F3BE +GPR3 FFFFFFFFFFFFFFFF +GPR4 00000000000163C0 +GPR5 0000000000000000 +GPR6 000000000000000F +GPR7 0000000010000000 +GPR8 0000000031B13308 +GPR9 0000000010000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 1C02000000000000 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000000040 +GPR29 FFFFFFFFF8001FE8 +GPR30 000000000000F07F +GPR31 +CR 0000000038157533 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/752.bin b/tests/752.bin index 840cc7a..667c062 100644 Binary files a/tests/752.bin and b/tests/752.bin differ diff --git a/tests/752.out b/tests/752.out index f066f20..46bfb97 100644 --- a/tests/752.out +++ b/tests/752.out @@ -1,32 +1,37 @@ -REG 000088000000005E -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000FFEFAF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000002F2749467 -REG 0000000028990002 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 000000002899F862 -REG 0000000000000000 -REG 8080440880800008 -REG FFFFFFFFFFFFFFFF -REG 0000000000FFEFAF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 000000008001FFE0 +GPR0 0000000000008208 +GPR1 0000000000000000 +GPR2 0000310000003100 +GPR3 00000000FFFFFFE7 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFF0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000B308 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000003100 +GPR18 FFFFFFFFFFFF4CF8 +GPR19 00000001FFFFFF9A +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000003100 +GPR24 0000000000000000 +GPR25 0000000000000018 +GPR26 000000000001C020 +GPR27 000000000000001A +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000090091413 +LR 0000000000000000 +CTR 000000000001C020 +XER 00000000A0040000 + diff --git a/tests/753.bin b/tests/753.bin index 824b94d..19d2461 100644 Binary files a/tests/753.bin and b/tests/753.bin differ diff --git a/tests/753.out b/tests/753.out index 1bdb596..770e4de 100644 --- a/tests/753.out +++ b/tests/753.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFE00000007A8A8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000627D -REG FFFE00000007A8A8 -REG 0001FFFFFFF85757 -REG 0000000000000000 -REG FFFFFFFFFF8007FF -REG FFFFFFFFFFFFFFFF -REG 000000000007FFFE -REG FFFE00000007FFFF -REG 0000000000000000 -REG 0000000000000019 -REG 0000000000000720 -REG 00000000CAAD000D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000080100400 +GPR0 0FFFFFFFC14149D4 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 01140F6977D56500 +GPR4 FFFFFFFFFFFFFFD4 +GPR5 0000000000000200 +GPR6 0000000000000004 +GPR7 0000000000000001 +GPR8 0000000000001BC8 +GPR9 1000000000000001 +GPR10 0000000000000001 +GPR11 0000000000015917 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFEFBFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0103FFFFFFFFFFEE +GPR18 FFFFFFFFFFFFFFFE +GPR19 D7C30C4CDE209A00 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C014 +GPR23 EFFFFFFFFFFFFFFD +GPR24 010400002C60000F +GPR25 07FFFFFFFFFFDC00 +GPR26 00C3FFFFC1412DE2 +GPR27 FFFFFFF00001C014 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000006500 +GPR30 9170001591700015 +GPR31 +CR 000000003854D515 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/754.bin b/tests/754.bin index 59cfc70..9c625fd 100644 Binary files a/tests/754.bin and b/tests/754.bin differ diff --git a/tests/754.out b/tests/754.out index 47b9e26..3116fc7 100644 --- a/tests/754.out +++ b/tests/754.out @@ -1,32 +1,37 @@ -REG FFFFFFE00000754B -REG 00000000389D0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFB6CDBCFF0001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFF0000FFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFB6CDBCFF0000 -REG FFFFFFFFFFFFFFFF -REG 002FFFFF0020007F -REG 0000000000000000 -REG 1400000000000000 -REG F53FB9EE8D4B4D7B -REG 0000000000000040 -REG 0000002000000000 -REG 000000000000000A -REG 0000000000000000 -REG FFFFFFFF0000FFFF -REG 0000000000000000 -REG 000000000BFFFFF2 -REG 000000004000BEA0 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 FFFFFFFFFFFFFFF8 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 FFFFFFFFFFFFFFE1 +GPR23 000000000000AA6E +GPR24 0000000000009530 +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 0000000000000010 +GPR29 000000000001C006 +GPR30 0000000000000000 +GPR31 +CR 0000000090009520 +LR 000000000001C03C +CTR 000000000001C020 +XER 0000000080000000 + diff --git a/tests/755.bin b/tests/755.bin index 9aa6bdd..bc82f81 100644 Binary files a/tests/755.bin and b/tests/755.bin differ diff --git a/tests/755.out b/tests/755.out index b883ddd..752f08e 100644 --- a/tests/755.out +++ b/tests/755.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF96FFFF5 -REG 000000070000258B -REG 0000000000000021 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000006900002 -REG 0000000000000000 -REG 0000000000000000 -REG FC7E77A4113309E8 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000088C45208 -REG 0000000000000020 -REG FFFF9DD6FFFC0006 -REG FFFFFFFFF96FFFFD -REG 1000000010007F00 -REG 0000000088C4520A -REG 000000000000003A -REG 73FF9DD788C0520E -REG 0236AD127713ACD8 -REG FDC952ED88EC5328 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003A -REG 0000000000000007 -REG 0000000000000000 -REG 0000000040000000 -REG FFFFFFFFF96FFFFD -REG FFFFFFFFF96FFFFD -REG 0000000000000001 -REG 0000000000000000 -REG 000000004018C608 +GPR0 00000048F8AE0F71 +GPR1 0000000000000001 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000924687E2251A +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 000000000029B1EE +GPR8 FFFFFFFFFFFE3FF6 +GPR9 0000000039F03105 +GPR10 0000000000000001 +GPR11 000000000AFC0000 +GPR12 000000002CC15C64 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 000000000000FFFE +GPR15 0000924687E2251A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000059F03105 +GPR20 0000000000000000 +GPR21 000000000000FFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000059F03104 +GPR25 0000000000000000 +GPR26 000000031049813F +GPR27 000000002CF81882 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFF8 +GPR30 FFFFFFFFFFFF0000 +GPR31 +CR 0000000039F03105 +LR FFFFFFFFFFFFFFFE +CTR 0000000000000000 +XER 00000000E00E3FF6 + diff --git a/tests/756.bin b/tests/756.bin index 9a2a3ee..5459527 100644 Binary files a/tests/756.bin and b/tests/756.bin differ diff --git a/tests/756.out b/tests/756.out index a853870..b42a324 100644 --- a/tests/756.out +++ b/tests/756.out @@ -1,32 +1,37 @@ -REG 0000010000009B09 -REG 000000002FF40000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000001FFF -REG 00000000D19A001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 2D1FFFFFFFFFFFE0 -REG 0000000000000000 -REG 000000000000DA0C -REG FFFFFFFFFFFFFFE0 -REG D2E0000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000040006CB00000 -REG FFFFFEFFFFFFBEFC -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE1BFFFFF -REG FFF7DC1FFFC00000 -REG CFFFFFFFCFFFFFFF -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFE3 -REG FFFFFFFFFFC00FFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000DA0C -REG 0000000089E88108 +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000600000001 +GPR9 0000000600000002 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000600000001 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 000000000001109F +GPR20 000000005B46FFFF +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 FFFFFFF9FFFFFFFE +GPR24 0000000000000000 +GPR25 0000000600000001 +GPR26 000000000001109F +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 00000000540C5545 +LR 0000000600000001 +CTR 000000005B46FFFF +XER 0000000080020000 + diff --git a/tests/757.bin b/tests/757.bin index 71bb72a..90c8e89 100644 Binary files a/tests/757.bin and b/tests/757.bin differ diff --git a/tests/757.out b/tests/757.out index bc9449c..af8b269 100644 --- a/tests/757.out +++ b/tests/757.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFF7CCC10 -REG 0000000C0000000C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000013 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007074 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFB -REG 0000000000001520 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000023 -REG FFFFFFFFFFFFFFFE -REG 000000001FFFFBFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFBFF -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000048111E88 +GPR0 0000068000000680 +GPR1 000000000939F828 +GPR2 00000000A0040000 +GPR3 FFFFFFFFFFFFBFDF +GPR4 0000000000000000 +GPR5 000000000939F828 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 FFFFFFFF63266C8C +GPR12 0000001000000000 +GPR13 0000000000000040 +GPR14 FFFFFFFFF6C60663 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000000063AB +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFF3A7 +GPR21 00000003FFFFDB10 +GPR22 00000000939F99D5 +GPR23 0000000000000000 +GPR24 00000000A004EAB9 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 000000000000BF43 +GPR30 0000000000000000 +GPR31 +CR 0000000090090522 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/758.bin b/tests/758.bin index 1e2394b..46e364e 100644 Binary files a/tests/758.bin and b/tests/758.bin differ diff --git a/tests/758.out b/tests/758.out index 3b01726..2842ec6 100644 --- a/tests/758.out +++ b/tests/758.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF36AC -REG 0000000000000000 -REG 000000002729CDAB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FFFCDAB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFDFFFFFFFCF7FF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000000F7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF08 -REG 0000000000000AAB -REG 0000000000000000 -REG 0000000040100908 +GPR0 FFFFFFFFCA6ACA3E +GPR1 0000000000000000 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000FD95BFDF +GPR8 0000000000000020 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 FFFFFFFF94160000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 7FBFFB2B794FFB2B +GPR16 0000000000000001 +GPR17 0000000000000008 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 8200000000680000 +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 00000000691435C5 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000001 +GPR30 8200000000687133 +GPR31 +CR 0000000055953D39 +LR 00000000359535C1 +CTR FFFFFFFFFD95BFDF +XER 00000000800135C1 + diff --git a/tests/759.bin b/tests/759.bin index c4a51ef..ed39b65 100644 Binary files a/tests/759.bin and b/tests/759.bin differ diff --git a/tests/759.out b/tests/759.out index 2c044e0..eb78abc 100644 --- a/tests/759.out +++ b/tests/759.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC8BF7230 -REG 000000000000001E -REG FFFFFFFFFFFBFE5F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFAD12 -REG 0000000040884808 -REG 00000000000044A2 -REG 0000000000000000 -REG 000000007FFFFFC0 -REG FFFEFFFFFFFF7230 -REG 0000000000000000 -REG 0000000052650000 -REG 0000000000000000 -REG 0000000000000000 -REG 3280007FFFE00029 -REG 0000000000000000 -REG 09FFFF5A25FFDFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 052000000FFFFC00 -REG 0000000040000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 09FFFF5A25FFE000 -REG FFFFFFFFFFFFFFFF -REG 0000000081109010 +GPR0 FFFFFFFFFFFFDB64 +GPR1 0000000000000000 +GPR2 000000000001C00C +GPR3 0000000000000AC6 +GPR4 00000012DA69CF4C +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0017C31C082B11A0 +GPR10 588D08139869CF4C +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0017C31C082B11A1 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFDB64 +GPR22 588D0800BE000000 +GPR23 0000000000000000 +GPR24 0000000000000001 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000000001C020 +GPR27 000000000001C00C +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000006CA1 +GPR31 +CR 0000000050089139 +LR 0000000000000000 +CTR 000000000000E3FF +XER 00000000A0040001 + diff --git a/tests/76.bin b/tests/76.bin index 6623788..4e70cea 100644 Binary files a/tests/76.bin and b/tests/76.bin differ diff --git a/tests/76.out b/tests/76.out index d4e7e66..faa9edb 100644 --- a/tests/76.out +++ b/tests/76.out @@ -1,32 +1,37 @@ -REG FFFFFFFEFB007C6C -REG FFFFFFFFFFFFFFFF -REG 0000000004FFBF95 -REG FFFFFFFFFFFFFFF8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000008000 -REG 0000000000000000 -REG 0000000000000821 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007B3E -REG FFFFFFFFFFFF3839 -REG 0000000000000008 -REG FFFFFFFFFFFFBC6B -REG 000000000000C7EF -REG FFFFFFFFFFFFFFFF -REG 0000000000004394 -REG 0000000000000000 -REG 0000000000000834 -REG FFFFFFFFFFFFE800 -REG 0000000000E0C000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003D56C00 -REG 0000000003D56C00 -REG 0000000000E0C000 -REG 0000000000000000 -REG 0000000081E11E89 +GPR0 00000000FFFFFF00 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000003933D535 +GPR4 FFFFFFF2C6CC2ABD +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFF2FFFFFFF2 +GPR9 0000000000000000 +GPR10 0000000100000001 +GPR11 000000003933D534 +GPR12 0000000000000082 +GPR13 0000000000000000 +GPR14 000000003933D53A +GPR15 0000000000001DDF +GPR16 0000000003040000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 000000000AAAAAAA +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000001DDF +GPR25 0000000000000004 +GPR26 0000000000000004 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000000E6370020 +GPR31 +CR 000000005933D535 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0082 + diff --git a/tests/760.bin b/tests/760.bin index 812dff9..391a766 100644 Binary files a/tests/760.bin and b/tests/760.bin differ diff --git a/tests/760.out b/tests/760.out index 34bd9ea..4b765d0 100644 --- a/tests/760.out +++ b/tests/760.out @@ -1,32 +1,37 @@ -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000210E0004 -REG 0000000000000000 -REG 000000000000003B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001F -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFC00000003B -REG 000000009FF90000 -REG 0000000000000000 -REG 0000000001000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000004000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000088100008 +GPR0 0000000000000000 +GPR1 00000000505501D1 +GPR2 0000000000000000 +GPR3 000000000000003D +GPR4 0000000000000AC9 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 000000000001C000 +GPR8 0000000000000742 +GPR9 FFFFFFFFFFFFFFC0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0080000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000001E +GPR17 000000000000D2FF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 FFFFFFFFFFFE3FDF +GPR22 0000000000000020 +GPR23 000000000001C020 +GPR24 000000000000000E +GPR25 00000000FFFFFFC0 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 000000000001C000 +GPR30 0000000000000000 +GPR31 +CR 00000000505501D1 +LR 0000000000000000 +CTR 0000000000000040 +XER 000000008003FFFF + diff --git a/tests/761.bin b/tests/761.bin index 2396daf..a1e6846 100644 Binary files a/tests/761.bin and b/tests/761.bin differ diff --git a/tests/761.out b/tests/761.out index 446add0..0044a9b 100644 --- a/tests/761.out +++ b/tests/761.out @@ -1,32 +1,37 @@ -REG 0000355113051836 -REG 0000000100000009 -REG 0000000007E00000 -REG 00006AA30004036C -REG 0000000000000000 -REG 000FFFBDFFFFB5E8 -REG 0000000000003BAE -REG 0000000000000000 -REG 0000000000000000 -REG 00006AA30004036C -REG 0000000000000040 -REG 0000000000000020 -REG 0000D5460007C327 -REG FFFFFFFFFFFFD684 -REG 00006AA30003BFBB -REG F7F7F7F7F7F7F7FB -REG 00006AA30003BFBB -REG 0808080808080805 -REG 00003551800201B6 -REG FFFFFFFFFFFFFFF6 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000009 -REG 0000000007DFA109 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000007FFA109 -REG 0000000000000000 -REG 00006AA30004036C -REG 0000000000000000 -REG 0000000100014829 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 000000009F7A0000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFCFABFF7F +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFD7F +GPR11 000000000001C00C +GPR12 0000000000000000 +GPR13 0000000000000005 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000009F7A0000 +GPR20 0000000000000000 +GPR21 FE00000100000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000001415403 +GPR25 0000000000000040 +GPR26 000000000000E001 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 00000000935540F3 +LR 0000000000000000 +CTR FFFFFFFFFFFE3FDD +XER 0000000080000000 + diff --git a/tests/762.bin b/tests/762.bin index 987627b..1be1871 100644 Binary files a/tests/762.bin and b/tests/762.bin differ diff --git a/tests/762.out b/tests/762.out index e33d695..2223cc0 100644 --- a/tests/762.out +++ b/tests/762.out @@ -1,32 +1,37 @@ -REG 000000003F30F47F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFD0001 -REG C5D400000000FC00 -REG 0000000000000000 -REG FFFFF0000000018B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000FFFF804 -REG 0000000048240488 -REG FFFFFFFF80003FF8 -REG 7FFF07FDFFF40004 -REG 00FF0000FF000000 -REG 000000004F240488 -REG FFFFFFFFFFFFFFFF -REG 003FE40000002400 -REG 002FFFFFFFFFD6F9 -REG 0000000000000000 -REG 002FFFFFFC012026 -REG 0808080806010607 -REG 000000004F240488 -REG 0000000000000004 -REG 0000000000000001 -REG FF00000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFD0000000002907 -REG 0000000000000000 -REG 0000000000000000 -REG 002FFFFFFFFFE02E -REG 0000000110480910 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000014020 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFF8 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000088142 +GPR12 FFFFFFFFA0094020 +GPR13 0000000000014020 +GPR14 FFFFFFFFA0080000 +GPR15 0000000000000005 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFC01F +GPR18 0000000000000020 +GPR19 000000002A270000 +GPR20 0000000000000000 +GPR21 000000008003FFFF +GPR22 0F31D0000038047F +GPR23 0000000000000000 +GPR24 0000000000000012 +GPR25 FFFFFFFFA0080000 +GPR26 0000000000000000 +GPR27 007E63A0007E0000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 00000000390E5504 +LR FFFFFFFFA0080000 +CTR 0000000000000000 +XER 00000000C00BFFFF + diff --git a/tests/763.bin b/tests/763.bin index bdb1f59..d5b2339 100644 Binary files a/tests/763.bin and b/tests/763.bin differ diff --git a/tests/763.out b/tests/763.out index 2c202f5..2341d4d 100644 --- a/tests/763.out +++ b/tests/763.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD70B4ED5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC4 -REG 00010000001FA200 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000005254 -REG 00000000000018FB -REG 0000000000005D47 -REG 00000000000000FF -REG 0000000000000000 -REG 0000000000000000 -REG 0000D68B0000D68B -REG F238FFFB9B390000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000010000014E0 -REG FFFFFFFFE4910000 -REG 0000000000000000 -REG 000000000003FFFC -REG 0000000000005255 -REG 0DC7000464C6FFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFDBBBFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000004 -REG FFFFFFFF085FFFFF -REG 0000000000000010 -REG 0000000000000000 -REG 000018DFFFFFFFFF -REG FFFFFFFFFFFFFF07 -REG 0000000091E9E9FF +GPR0 FFFFFFFFFFFFFFFF +GPR1 FE7FFFFFFE80001F +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 00000000FFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000006 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000003575E8B0 +LR 0000000000001556 +CTR FE7FFFFFFE80001F +XER 0000000080000000 + diff --git a/tests/764.bin b/tests/764.bin index 41862e2..c330da2 100644 Binary files a/tests/764.bin and b/tests/764.bin differ diff --git a/tests/764.out b/tests/764.out index eac641a..50a0330 100644 --- a/tests/764.out +++ b/tests/764.out @@ -1,32 +1,37 @@ -REG 000000004004A5E6 -REG FFFFFFFFFFFFFFFF -REG 00000000000076BF -REG 0000000000000000 -REG 00000000800480F8 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 800480F880048020 -REG 0000000000000000 -REG 00F0000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000005311 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF9F -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000040010000 +GPR0 FFFFFFFF24C05A89 +GPR1 00000000000005F4 +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFCC2E +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFC6 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFC +GPR12 0000000000000000 +GPR13 FFFFFFFF24C0FFFC +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFF7F0 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFDF42 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000084B20004 +GPR24 FFFFFD7AFFFFFD7A +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 FFFFFFFFFFFFF7F0 +GPR28 00000000DB3F0004 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030002500 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BF7F0 + diff --git a/tests/765.bin b/tests/765.bin index 1e0afb2..4b6b638 100644 Binary files a/tests/765.bin and b/tests/765.bin differ diff --git a/tests/765.out b/tests/765.out index c27e798..19cec88 100644 --- a/tests/765.out +++ b/tests/765.out @@ -1,32 +1,37 @@ -REG 00000000000073F1 -REG 0000000000005AE7 -REG 0000000000000037 -REG 0000000000000016 -REG 0FEFEC6D0FEFEC6D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001659 -REG 0000000000000000 -REG 0ADAFC000BFF9CBE -REG 0000000000000016 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFEC00000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFEFEC6D5 -REG 0ADAFC000BFF9CBE -REG 0000000006D00037 -REG 0000000000000000 -REG 0000000000000037 -REG 0000000000000020 -REG FFFFFFFFE0000003 -REG FFFFFFFFFC000ADA -REG 0000000000FF8000 -REG 0000000000FF8000 -REG 00000000000031A1 -REG 0000000000002196 -REG 0000000000000000 -REG 0000000088110090 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000001 +GPR3 0000000000000001 +GPR4 00019E56D6600000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000080000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFF25A +GPR13 00000000ECB3000E +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFE +GPR16 FFFFFFFFFFFFFFFF +GPR17 000000000000000D +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFBB06 +GPR20 000000000000205E +GPR21 00000000ECB30000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 FFFFFFFFFF000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000000007FFFF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000000071FA +GPR30 0000000000000000 +GPR31 +CR 0000000053F84582 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/766.bin b/tests/766.bin index cadf96b..77557ad 100644 Binary files a/tests/766.bin and b/tests/766.bin differ diff --git a/tests/766.out b/tests/766.out index 33a8c0e..196e859 100644 --- a/tests/766.out +++ b/tests/766.out @@ -1,32 +1,37 @@ -REG 0000000000000225 -REG 0000000066770000 -REG 00001FFF12001213 -REG 0000000000007753 -REG 0000000000000000 -REG 0000000000001213 -REG FFFFFFFFFFFFB100 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000374 -REG 0808080808080807 -REG 0000000000000000 -REG 0000000000000000 -REG FFF00000000FFF89 -REG 0000000000000020 -REG 0000000007E30000 -REG 0000000007E31213 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000001212 -REG FFFFFFFFFF620000 -REG 0000000000001212 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFF00000000FFF88 -REG 0000000000000000 -REG FFFFFFFFFFFFEF89 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000080008808 +GPR0 0000000000000000 +GPR1 0000000000016B76 +GPR2 0000000000000005 +GPR3 0000000000000001 +GPR4 0000000000000005 +GPR5 0000000000000006 +GPR6 0000000000000038 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 00000000FFFFFFFE +GPR14 000000000001C020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFA +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000004 +GPR28 000000003F050C38 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 000000003F050038 +LR 000000000001C03E +CTR FFFFFFFFFFFFFFFF +XER 0000000080004001 + diff --git a/tests/767.bin b/tests/767.bin index dc2d819..840af86 100644 Binary files a/tests/767.bin and b/tests/767.bin differ diff --git a/tests/767.out b/tests/767.out index eae375e..91369cf 100644 --- a/tests/767.out +++ b/tests/767.out @@ -1,32 +1,37 @@ -REG 000000FC00003EB3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000082084880 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000003F0000003F -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020300000 -REG FFFFFF03FFFFC002 -REG FFFFFF03FFFFC002 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF7FFFFFF00F -REG 0000000082084880 -REG 000000000000789F -REG 0000000020300000 -REG FFFFFFFFFFFFFEA5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000014 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF03FFFFC002 -REG FFFFFFFFFFFFFFFF -REG 0000000104109100 +GPR0 0000000052504C20 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFE3FE0 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000005EDE4C1F +GPR7 0000000000000040 +GPR8 FFFF000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00005FED7CDE9FC3 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00005FEE00005FEE +GPR17 FFFFFFFFA121B3E0 +GPR18 0000000000000000 +GPR19 0000000042F40000 +GPR20 00005FEE00005FEE +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000005EDE4C20 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000008321C02A +GPR31 +CR 0000000032504C20 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/768.bin b/tests/768.bin index e8acf8c..2e0b5d6 100644 Binary files a/tests/768.bin and b/tests/768.bin differ diff --git a/tests/768.out b/tests/768.out index c207929..22e01a5 100644 --- a/tests/768.out +++ b/tests/768.out @@ -1,32 +1,37 @@ -REG 00000000A0080017 -REG FFFFFFFFFFFFFFFF -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFF0F57FFFF -REG 0000000000000000 -REG 0000000001200001 -REG 000000005FFFFC00 -REG 0000000000000000 -REG 000000004800B000 -REG FFFFFFFFFEDFFFFF -REG 0000000000000000 -REG 000000000000003B -REG 0000000000000000 -REG 0000000001200000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000007 -REG 0000000000000000 -REG 7FFE000000000000 -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFEC5A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001200001 -REG 000000004800B000 -REG 0000000000000000 -REG 0000000050016000 +GPR0 000000000001C014 +GPR1 0000000000000020 +GPR2 FFFFFFFFFFFFFFF8 +GPR3 0000000000000000 +GPR4 0000000055395829 +GPR5 000000000000C5A6 +GPR6 0000000000000E00 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000020 +GPR16 0000000000000002 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000001C014 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 0000000000380280 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035395829 +LR 0000000000000000 +CTR 000000000000001A +XER 0000000080000046 + diff --git a/tests/769.bin b/tests/769.bin index d0ec5c4..42d30ee 100644 Binary files a/tests/769.bin and b/tests/769.bin differ diff --git a/tests/769.out b/tests/769.out index 45acdad..e988355 100644 --- a/tests/769.out +++ b/tests/769.out @@ -1,32 +1,37 @@ -REG 01FFFFFF035C0000 -REG 002F4E77015BFFFF -REG 0000000000000000 -REG FFFFFFFFFFD01080 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFD0B18892000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000002FEF80 -REG 0000000000000000 -REG 00000000FCA40000 -REG FFFFFFFFFFFFC212 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000068E30000 -REG 0000000000000000 -REG FFD0B18892000000 -REG 00000000FCA40000 -REG 00000000E8E50000 -REG FFFFFFFFFFFFFFFF -REG 80FFD010803FD010 -REG 0000000000000000 -REG FE000000000010AB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100803001 +GPR0 FFFFFFFFFAFFFFFE +GPR1 FFFFFFFFFFFFFFCD +GPR2 FFFFFFFFFFFFFFFF +GPR3 00000000FAFFFFFF +GPR4 FFFFFFFF1A1A3D61 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000001C020 +GPR9 0000000000000010 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE3FCF +GPR13 0000000000000000 +GPR14 FFFFFBFFFFFE7D9E +GPR15 0000000000000000 +GPR16 0000000000003D61 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFF05003D61 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 000000000000000E +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000001 +GPR31 +CR 00000000355895D9 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080004769 + diff --git a/tests/77.bin b/tests/77.bin index 5d4e61e..a90bc7a 100644 Binary files a/tests/77.bin and b/tests/77.bin differ diff --git a/tests/77.out b/tests/77.out index dde18e9..4317396 100644 --- a/tests/77.out +++ b/tests/77.out @@ -1,32 +1,37 @@ -REG 0010000079F80001 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000F000000 -REG 0000000000003881 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFF0000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFEFFFFFFFFFC77F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFEFFFFFFFFFFFFF -REG FFFFFFFFFFFFAFFC -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 00000000000006A6 -REG FFEFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000100000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000005E804090 +GPR0 000000000000E000 +GPR1 0000000000000000 +GPR2 00000000DE730000 +GPR3 00000000A0040000 +GPR4 0000000000000000 +GPR5 0000000000BFF620 +GPR6 000000000000000C +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFDBCBF0 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000000004E +GPR16 0000000000005EB2 +GPR17 0000000000000000 +GPR18 FFFFFFFF5FFBFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000248FAA +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000000E0000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003C181921 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/770.bin b/tests/770.bin index c58a685..014df88 100644 Binary files a/tests/770.bin and b/tests/770.bin differ diff --git a/tests/770.out b/tests/770.out index 34a8ff4..21f2325 100644 --- a/tests/770.out +++ b/tests/770.out @@ -1,32 +1,37 @@ -REG 000000002B885B09 -REG 000000002F0D0001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFB89B -REG 0208080804060808 -REG 0000000D5284FFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002F0D0001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000002F0D0000 -REG 0000000000004765 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000019 -REG 0000000000000040 -REG 000000000000003A -REG FFFFFFDFFFFFFFE7 -REG 0000000000000000 -REG 0000000D5284FFE0 -REG FFFFFFFFD7BBBB7A -REG 0000000000000000 -REG FDF7F7F7FBF9F7F7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000091109F11 +GPR0 FFFFFFFF0B95FE36 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FC2 +GPR3 0000000000000000 +GPR4 0000000000006912 +GPR5 FFFFFFFFC6C00020 +GPR6 0000000000000000 +GPR7 000000000E010001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000E010001 +GPR11 000000000000001F +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000020 +GPR18 0000000000000020 +GPR19 0000010000000000 +GPR20 FFFFFFFFFFFF96ED +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFF1FEFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000DE5F0000 +GPR30 0000000000000000 +GPR31 +CR 000000002955959B +LR 0000000000000000 +CTR 000000002FFFFFFF +XER 0000000020040000 + diff --git a/tests/771.bin b/tests/771.bin index 720fa47..16f271b 100644 Binary files a/tests/771.bin and b/tests/771.bin differ diff --git a/tests/771.out b/tests/771.out index d36c0c8..411735f 100644 --- a/tests/771.out +++ b/tests/771.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFE001FFF -REG 0000000000000000 -REG 0050000000500000 -REG 0000000000FFF000 -REG FFFFFFF8000005DF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG FFFFFFFFFFFF8D74 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG FFFFFFF8000005DF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFDBE4 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000024C800 -REG 0000000040080840 -REG FFFFFFFFFFFFFFFF -REG 000000002D400000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000004860 -REG 0000000000000001 -REG 0000932000009320 -REG FFFFFFFFFFFFFFBF -REG 0000000080101081 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFA1A6 +GPR2 0000000700000000 +GPR3 000000000001C020 +GPR4 0000000000018020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000004140 +GPR9 FFFFFFFFFFFFFFF0 +GPR10 0000000000000040 +GPR11 FFFFFFFFFFFFA1A6 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000005E59 +GPR15 FFC7FBFF726D7A2F +GPR16 0038040000004EED +GPR17 FFFFFFFFFFFFFFFE +GPR18 0000000000000020 +GPR19 0000000000018020 +GPR20 FFC7FBFFFFFFB110 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFA1A6 +GPR26 007FFFFFFC000000 +GPR27 000000000001C00C +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000092919125 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00DB95C + diff --git a/tests/772.bin b/tests/772.bin index 5a4df09..81b172b 100644 Binary files a/tests/772.bin and b/tests/772.bin differ diff --git a/tests/772.out b/tests/772.out index 65f115a..ae423d7 100644 --- a/tests/772.out +++ b/tests/772.out @@ -1,32 +1,37 @@ -REG FFFEE7FFA01854B6 -REG 00000005FFFFFFFF -REG 5E64FFFF98F1FFFF -REG 0001180001B151C7 -REG 000000003AAD0000 -REG 0001180001B18E1F -REG 5E64FFFF5E44FFFF -REG FFFFFFFFFFFFB122 -REG 000000000017FFF9 -REG F572C470000020F5 -REG 0000000000000020 -REG 0000000000000000 -REG 7FFF0F7260000002 -REG 0001180001B11800 -REG FFFEE7FFFE4EAE37 -REG 000000000013FFF8 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG B1E181E6B6A96557 -REG FFFD7913803D7913 -REG FC9B3F0000000000 -REG FFFEE7FFFE4E71E1 -REG 0000000000000000 -REG 000000000013FFF8 -REG 0A8CFFFFFFFFDECB -REG 5E64FFFF5E44FFFF -REG 5E64E7FF5E4471E1 -REG 000000000017FFF8 -REG FFFFFFFFFFFFFB12 -REG 0000000081E1E8BE +GPR0 000000000001C03C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000040 +GPR13 0000000000000020 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000000000018 +GPR17 0000000000000000 +GPR18 0000000000000018 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0080000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFE7 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000001C020 +GPR31 +CR 000000005759B510 +LR 0000000000000000 +CTR 0000000000000018 +XER 0000000080000000 + diff --git a/tests/773.bin b/tests/773.bin index dfcfa9e..b27576d 100644 Binary files a/tests/773.bin and b/tests/773.bin differ diff --git a/tests/773.out b/tests/773.out index 789f32a..7773047 100644 --- a/tests/773.out +++ b/tests/773.out @@ -1,32 +1,37 @@ -REG 00009800FA190DF7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000063091B93 -REG 000000000009FFF5 -REG 00000000A8140000 -REG 000000004FF80888 -REG 0000000000000000 -REG 0000000000000048 -REG 03FFE00000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000003C800000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000003D -REG 00000000FFFFFFFF -REG 0000000000007FFF -REG 00000000FFFFFFFF -REG FFFFFFFFFFFF831A -REG FFFFFFFFB007F777 -REG 0000000000010000 -REG DFFFFFFFDC3FFFFF -REG FFFFFFFFFFFF82DD -REG FFFF67FF05E7F8BD -REG FFFFFFFFB3000000 -REG A813FFEAA813FFEA -REG 000003C800000001 -REG FFFFFFFFFFFFFFF7 -REG FFFFFFFFB006F777 -REG 0000000000000000 -REG 000000009FF1E910 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 000110AFFFFFFFFF +GPR3 FFFFFFFFFFFFF92F +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 000110B000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFF6FFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000000A5EA +GPR20 FFFFFFFFFFFFFFFF +GPR21 FFFFFFFF6FFFFFFE +GPR22 FFFEEF4FFFFFFFFE +GPR23 FFFFFFFFFFFFFFFF +GPR24 00000000000006D0 +GPR25 0000000000000040 +GPR26 0000000000000000 +GPR27 0808080808080808 +GPR28 0000000000000040 +GPR29 0000000000000001 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000390534D0 +LR 0000000000000000 +CTR 000000000001C020 +XER 00000000A005C020 + diff --git a/tests/774.bin b/tests/774.bin index 7f6a0f8..87fc7b6 100644 Binary files a/tests/774.bin and b/tests/774.bin differ diff --git a/tests/774.out b/tests/774.out index 7fd2fb7..c54b6d0 100644 --- a/tests/774.out +++ b/tests/774.out @@ -1,32 +1,37 @@ -REG 5BB7AFDDFFFFFE48 -REG FFDF7F5FFFFFFFDF -REG 0000000000000000 -REG E7B7AFFFFFFFFFFE -REG E7B7AFFFFFFFFFFE -REG 0000000000000000 -REG FDB7AFFFFFFFBFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003D6AFFFF -REG FFFFFFFFFFFFFFFF -REG 7FFFFFFFFFFFFFFF -REG 000000003D6AE47B -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000088004080 -REG 0000000000000000 -REG FFFFFFFFBFFFFDFF -REG 000000003D6B0000 -REG 0000000000000020 -REG 040000003FFFF98C -REG 0000000000000000 -REG FFFFFF7FFFFFFD7F -REG FFFFFFFFFFFFFFFF -REG 0000000088004480 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000110008900 +GPR0 FFFFFFFF9BDA0042 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000001 +GPR4 0000000000001072 +GPR5 000000004000007F +GPR6 0000000000000000 +GPR7 FC00000000000000 +GPR8 0000000055D00D47 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000042 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035D90D47 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080000808 + diff --git a/tests/775.bin b/tests/775.bin index 1315207..d8aaf62 100644 Binary files a/tests/775.bin and b/tests/775.bin differ diff --git a/tests/775.out b/tests/775.out index 6f6c2f6..69eaa34 100644 --- a/tests/775.out +++ b/tests/775.out @@ -1,32 +1,37 @@ -REG 00000000000951F3 -REG 0000000000000020 -REG FFFFFFFF9C3FF954 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFF499 -REG 000001790000E17D -REG 0000000000000009 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000013 -REG 0000000000000260 -REG 000001790000E17D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000004BB55B1E -REG 0000000000001000 -REG FFFFFFFFB44AFB3A -REG 0000000000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000389A -REG 000000004BB55B1E -REG 0000000000000000 -REG 0000000000000000 -REG 000000004BB504C5 -REG 0000017900000179 -REG 0000000003280000 -REG 0000000000000119 -REG 000000001FAA0007 -REG 0000000048891008 +GPR0 0000000000000008 +GPR1 000000000001C020 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFE3FDF +GPR5 FFFFFFFFFFFFFFFF +GPR6 1C0200000000001B +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000001B +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 EAAAAAAA882A0000 +GPR17 EAAAAAAA8829FFFF +GPR18 1C0200000000001C +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000000000001C +GPR26 000000003408850D +GPR27 000000000FFFF840 +GPR28 0000000000400000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000009508852D +LR 0000000000000000 +CTR 000000000000003E +XER 00000000A0040000 + diff --git a/tests/776.bin b/tests/776.bin index dd6a27f..9041058 100644 Binary files a/tests/776.bin and b/tests/776.bin differ diff --git a/tests/776.out b/tests/776.out index cc67cb2..433b83e 100644 --- a/tests/776.out +++ b/tests/776.out @@ -1,32 +1,37 @@ -REG 0000000000005368 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000000 -REG 0007C0FF00000000 -REG 0000000000028DB4 -REG 02A2F7D702A2F7D7 -REG 000000000000F55F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000030304 -REG 0000000000000000 -REG 1C0000000000CE79 -REG 000000000000536D -REG 0000000000000000 -REG 557FEAA955800000 -REG 0000000000000000 -REG 0000000000028DB4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000E00000000 -REG 1C00000000000000 -REG 0000000000000000 -REG 7C0E0000700E0000 -REG 02A2F7D702A37C4D -REG FFFFFFFFFFE09134 -REG 2000181820000018 -REG 02A2F7D702A328E0 -REG 83F1FFFFF912FFFF -REG FFFFFFFFFFFFCEF7 -REG 0000000000000000 -REG 000000008C881088 +GPR0 FFFFFFCEF8FFF3FF +GPR1 0000000000000000 +GPR2 0001C01400000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 000000000000002F +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFD +GPR8 FFFFFFFFFFFE3FE0 +GPR9 F37F3B7000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000001C020 +GPR12 FFFFFFF000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFF959A0000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000004 +GPR17 FFFFFFFFFFFFFFFF +GPR18 000000000000002F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C014 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000002F +GPR25 0000000000000022 +GPR26 0000000000000000 +GPR27 000000000000000B +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000054000099 +LR 000000003FF60000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/777.bin b/tests/777.bin index f978ca4..3530bf3 100644 Binary files a/tests/777.bin and b/tests/777.bin differ diff --git a/tests/777.out b/tests/777.out index 58360a3..ba49ed8 100644 --- a/tests/777.out +++ b/tests/777.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFE174 -REG 0000000000000001 -REG 0000005DB5500E84 -REG 000000000000B5B4 -REG 0000000000000000 -REG 0000034BFDBA72D6 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF80BC958A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000011BA -REG 0000000000000001 -REG 0000000000000000 -REG A807420000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFB690 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF80BD0000 -REG 000000007F436A77 -REG 0000000000000000 -REG 0000002000000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000B5B4 -REG 0000000109100080 +GPR0 FFFFFFFF00000DFF +GPR1 0000000057D40000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000008080806 +GPR6 0700100007001000 +GPR7 FFFFE000FFFFFFE1 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000002A635 +GPR12 0000000000000000 +GPR13 00000000000239EA +GPR14 0000000000000400 +GPR15 000000000001C004 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFD59CA +GPR20 0000000000000000 +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 00000000FFFFFFDE +GPR25 0000000000000000 +GPR26 000000000002A636 +GPR27 00000000FFFFFFDE +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000005A091005 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFE + diff --git a/tests/778.bin b/tests/778.bin index 84b45ef..fc5acfb 100644 Binary files a/tests/778.bin and b/tests/778.bin differ diff --git a/tests/778.out b/tests/778.out index ebe9897..616e32b 100644 --- a/tests/778.out +++ b/tests/778.out @@ -1,32 +1,37 @@ -REG 00100C0C1150A098 -REG FFFFFFFFFBF7FCF9 -REG FFFFFFFFFFFE5C60 -REG 0000000000000000 -REG 0000000004080305 -REG FFFFFFFFF0006306 -REG 0000000000000000 -REG FFFFFFFFFF000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0001010000000000 -REG 0000000088AD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0060000000000000 -REG 0000000000000000 -REG 000000200000EB9D -REG 0000000004080306 -REG 0000000000000000 -REG 0000000003800000 -REG 0000000000000000 -REG 0060000000000000 -REG 00000000003E7E80 -REG FFFFFFFFFFFFFFC0 -REG 000000200000001C -REG 0000000000000000 -REG 0000000000000000 -REG 0000001B0000001B -REG 0000000000000020 -REG 0000000000000020 -REG 00000020003E951D -REG 0000000080901812 +GPR0 000000004E4401FC +GPR1 FFFFFFFFFFFFFFFF +GPR2 000000000001C020 +GPR3 FFFFFFFFFB6E0A6C +GPR4 FFFFFFFEFE000101 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 0000000000000002 +GPR9 0000000000000001 +GPR10 000000000000007F +GPR11 0000000000000000 +GPR12 0A007FFFE0000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000011F6F +GPR17 0000000000000002 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFF7FFFFFE37FF +GPR28 00000000A007FFFE +GPR29 FFFFFFFEFE0000FE +GPR30 0000000000000001 +GPR31 +CR 0000000039D25311 +LR 00000000FFFFFFFF +CTR 0000000000800000 +XER 000000008003FFFE + diff --git a/tests/779.bin b/tests/779.bin index 76e2420..a60616f 100644 Binary files a/tests/779.bin and b/tests/779.bin differ diff --git a/tests/779.out b/tests/779.out index 2c0ffc3..426a34a 100644 --- a/tests/779.out +++ b/tests/779.out @@ -1,32 +1,37 @@ -REG 0000008000001C86 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF0000FFCF -REG 0000000000000000 -REG 0008000000000000 -REG FFFFFFFFFFFF84CE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE307 -REG 0000000000001CF8 -REG 0000000000000020 -REG FFFFFFFFFFFF84CE -REG 0000000000005857 -REG 0000001F4F88A000 -REG FFFFFFFFFFFFFF54 -REG 0000000000000000 -REG 0000000051E37F39 -REG 0000000000000000 -REG 0000000000800000 -REG 000000000000BEFA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004480 -REG 0000000000000000 -REG FFFFFFFFFFFE7F39 -REG 00000000FFFF0A35 -REG 00000000000FFFE1 -REG 000000000001FFFF -REG FFFFFFFFFFFFE307 -REG 0000000041010004 +GPR0 C000000000000000 +GPR1 0000000000400000 +GPR2 96F000000000DFFE +GPR3 0000000000000000 +GPR4 690FFFFF7FFF2001 +GPR5 0000000000004000 +GPR6 2DE00000000015C0 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 000000000001C020 +GPR11 0000000000000010 +GPR12 FFFFFFFFFFBFFFFF +GPR13 000000AE016F0000 +GPR14 0000000000000000 +GPR15 690FEFFF63FF4001 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFC +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 C000000000000000 +GPR22 000000102700409C +GPR23 000000200000001F +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFBFFFFF +GPR29 00000000DBF40040 +GPR30 0000000000000000 +GPR31 +CR 0000000024556619 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000000000000 + diff --git a/tests/78.bin b/tests/78.bin index 235b8d6..2125c1f 100644 Binary files a/tests/78.bin and b/tests/78.bin differ diff --git a/tests/78.out b/tests/78.out index b4d2835..e316c83 100644 --- a/tests/78.out +++ b/tests/78.out @@ -1,32 +1,37 @@ -REG 547DE903BB3E16A8 -REG 0000000000000000 -REG FFFFFFFFFDF80000 -REG 00000000000007CA -REG FFFFFFFFFFFF9D43 -REG FFFFFFFFFFFFFFFF -REG 000000C8000000C8 -REG 00000000D7860014 -REG 0000000000000001 -REG 000000000000003B -REG 0000000004000000 -REG FFFFFFFF80000000 -REG FFFFFFFFFFFFFFC5 -REG 00000000000062BC -REG 0000000000000000 -REG FFFFFFFFFFFFC1A9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC1A8 -REG FFFFFFFFFFFF9D43 -REG FFFFFFFFFFFF9D43 -REG 0000000000000000 -REG 0000000000003E57 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000108801080 +GPR0 F7F7F7F7F7F7F7F7 +GPR1 0000000000000000 +GPR2 0808080808080808 +GPR3 FFFFFFFFFFFFEFE8 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 FFFFFFFFC5197FB2 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000400000000 +GPR12 FFFFFFFFFFFFBFC0 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000002000000 +GPR16 0000000000003C1A +GPR17 0000000000000000 +GPR18 0000000000000006 +GPR19 0000000000000020 +GPR20 0000200000000000 +GPR21 0808080808080807 +GPR22 0000000000000000 +GPR23 0000000030000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000000044A6 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 00000000393E9FFF +LR 00000000000044A6 +CTR 000000000000001E +XER 00000000C0080000 + diff --git a/tests/780.bin b/tests/780.bin index 71de158..89f7453 100644 Binary files a/tests/780.bin and b/tests/780.bin differ diff --git a/tests/780.out b/tests/780.out index 6de87e6..1d499d2 100644 --- a/tests/780.out +++ b/tests/780.out @@ -1,32 +1,37 @@ -REG FFFFF6976E95FFF6 -REG 00000000C4570000 -REG 0000000000000040 -REG 00000000000080A8 -REG 0000000000000020 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 000000185BC00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002646 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFF7F57 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000FF0100004B6C -REG 0000FF0100004B6C -REG 0000FF0100004B6C -REG FFFFFFFFFFFFEB23 -REG 0000000082310000 -REG FFFFFFFF8C8E0000 -REG 0000000000004532 -REG 0000000000000002 -REG FFFFFFFF3ECFE30D -REG 0000000049350000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000808099F0 +GPR0 0000000000000000 +GPR1 00000000A0040000 +GPR2 EEDADA55B7FFFEE9 +GPR3 FFFFFFFFFFFF91FF +GPR4 FFFFFFFFFFFFFFFF +GPR5 00000000000006E0 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000006E01 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0007FFFF00000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000006E01 +GPR15 0000000000000000 +GPR16 C000000000000000 +GPR17 0000000000000002 +GPR18 0000000000000000 +GPR19 00000000002E6562 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 000000000001C02C +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000006DFF +GPR30 0000000000000020 +GPR31 +CR 000000003D0D50D1 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/781.bin b/tests/781.bin index 4d923c3..72b1e43 100644 Binary files a/tests/781.bin and b/tests/781.bin differ diff --git a/tests/781.out b/tests/781.out index 41137f7..16f71d0 100644 --- a/tests/781.out +++ b/tests/781.out @@ -1,32 +1,37 @@ -REG FCB90000000016A8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0500000000000000 -REG 000003FC00000011 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000068E00000 -REG 0000000000000000 -REG 0004A2BAE900276D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF97200000 -REG 0000000000000005 -REG 0000000000000020 -REG 0000000000000000 -REG BFFFA386A0000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000068E00000 -REG 000000051600FF00 -REG 000000000000001B -REG BFFFA386A0000000 -REG FFFFF003FFFE0000 -REG 0000000000000000 -REG FFFFF003FFFE0000 -REG 0000000040800010 +GPR0 0001C00000000004 +GPR1 0000000400000003 +GPR2 0E0100000E000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 000000000001C020 +GPR5 0000000000002C8F +GPR6 00000000197477AE +GPR7 0000000000000000 +GPR8 35AECFEAC3423190 +GPR9 0000000000002C8F +GPR10 0000000000003B84 +GPR11 FFFFFFFFFFFE3FDF +GPR12 2C29B00000000020 +GPR13 FFFFFFFFFFFFD371 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000070080000 +GPR17 FFFE3FFFFFFFFFFB +GPR18 000000006A790004 +GPR19 0000000000000000 +GPR20 0000000040000000 +GPR21 0000000000000000 +GPR22 000000003A02C29B +GPR23 0000000000000001 +GPR24 00000000000018C7 +GPR25 0000000000002C8F +GPR26 00001C0006A79000 +GPR27 0000000070080000 +GPR28 0000000094609205 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000054609285 +LR 0000000000002C8F +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/782.bin b/tests/782.bin index ebc9240..f22de85 100644 Binary files a/tests/782.bin and b/tests/782.bin differ diff --git a/tests/782.out b/tests/782.out index 12f8598..c7fe398 100644 --- a/tests/782.out +++ b/tests/782.out @@ -1,32 +1,37 @@ -REG 0000020000018184 -REG 000003F800000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC6A2953C -REG FFFFFFFFFFFEFEFA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFEFFF953C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFEF23FFF1 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF1 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000010105 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000010105 -REG FFFFFFFFEF23FFF1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000004002080A +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 00000000000057D5 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFE3FDF +GPR8 000000000000607C +GPR9 FFFFFFFFFFFFFFF8 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFA829 +GPR12 0000000000000000 +GPR13 0000000000000010 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFF9F7C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000005736 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000036410000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000005735 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000005736 +GPR30 0000000000000000 +GPR31 +CR 0000000030009693 +LR 00000000000057D5 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007E3FE + diff --git a/tests/783.bin b/tests/783.bin index 28ffa16..c8d801c 100644 Binary files a/tests/783.bin and b/tests/783.bin differ diff --git a/tests/783.out b/tests/783.out index cc08dc6..13be50e 100644 --- a/tests/783.out +++ b/tests/783.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFBE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000056390000 -REG 0000000040000000 -REG 0000000000003E5C -REG 2000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 4000000000000B61 -REG E000000000000000 -REG 000001FFFE000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000027F30000 -REG 0000000000000000 -REG 0B61000000000000 -REG 0000000000000000 -REG 0000000100000880 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000099D99F5A +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0007FFFF80000000 +GPR12 FFFFFFFFFF2607DF +GPR13 0000000000000000 +GPR14 0000000000800000 +GPR15 0000000000000001 +GPR16 0000000000800000 +GPR17 0000000000800000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 000001287C000000 +GPR22 0000000000D97800 +GPR23 0000000000000000 +GPR24 0000000099D99F59 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000800000 +GPR30 0000000000D97800 +GPR31 +CR 0000000059D99F59 +LR 0000000000000001 +CTR 5FA76A0000000000 +XER 000000008003FFFE + diff --git a/tests/784.bin b/tests/784.bin index cd92944..d72dc04 100644 Binary files a/tests/784.bin and b/tests/784.bin differ diff --git a/tests/784.out b/tests/784.out index df1fbf7..dab652c 100644 --- a/tests/784.out +++ b/tests/784.out @@ -1,32 +1,37 @@ -REG 00000007FFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFC3D00 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007B47 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF84BF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF6F554E4F80 -REG 0000000000000000 -REG 00000090AAB1337C -REG 0000000000000000 -REG 0000000000007B40 -REG 0000000000000001 -REG FFFFFFFFFFFFCEFC -REG 0000000000000000 -REG 000000004A91C980 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFE9655 +GPR2 000000000001C01F +GPR3 0000000000000000 +GPR4 000000000001C028 +GPR5 0000000000000000 +GPR6 0001FFFFAFF32788 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFE0000500CD876 +GPR9 000000001557C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 FFFFFFFFFF000000 +GPR18 0000000000000000 +GPR19 000000000001C01F +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0001FFFFAFF3278A +GPR23 0000000000000000 +GPR24 0001FFFFAFF3278A +GPR25 0000000000000000 +GPR26 0000000045560000 +GPR27 000000000001C020 +GPR28 000000000001C031 +GPR29 000000000001C03E +GPR30 000000009157C028 +GPR31 +CR 000000005FF32883 +LR 0000000000000001 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/785.bin b/tests/785.bin index 7a59436..061ed10 100644 Binary files a/tests/785.bin and b/tests/785.bin differ diff --git a/tests/785.out b/tests/785.out index 3f68feb..239101c 100644 --- a/tests/785.out +++ b/tests/785.out @@ -1,32 +1,37 @@ -REG 000000000000365A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000070000000 -REG 00000000000000C6 -REG FFFFFFFFFFFFFFFF -REG 000000000005618A -REG 0000000000000403 -REG 0000000071F359D8 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000400000 -REG 1F4000001FFFFFFD -REG 0000000000000000 -REG 000000000000734B -REG 0000000000008EC8 -REG 0000000000000000 -REG 0000000000400001 -REG 0000000000000000 -REG 0000000000001589 -REG 0000008EC8000000 -REG 0000000000000007 -REG 0000000000000000 -REG 00000000000096A4 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000084911010 +GPR0 FFFFFFFFFFFFBFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF39 +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFEF4C +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FE1FFFFF3FFFFFFC +GPR10 000000000007FFFC +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000040 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000FFFF00FFFF00 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFF80003 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 01E0FFFFC0FFFF03 +GPR23 000000000001C020 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000000000C5 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFD622 +GPR29 000000000001C020 +GPR30 FE1FFFFF3FFFFFFD +GPR31 +CR 000000003D085100 +LR 000000000000000C +CTR 000000000001C020 +XER 00000000A0040000 + diff --git a/tests/786.bin b/tests/786.bin index 0f9c059..d7fe5fd 100644 Binary files a/tests/786.bin and b/tests/786.bin differ diff --git a/tests/786.out b/tests/786.out index 9976e32..5d942da 100644 --- a/tests/786.out +++ b/tests/786.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFAF63 -REG 0000000020808888 -REG 0000000000000000 -REG FFFFFFFFFABD994F -REG 0000000FEE7FFFBF -REG 00000000A3220001 -REG FFFF994FFFFF994F -REG 00000000A3220001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF0000000000 -REG FFFFFFF011800000 -REG 0000000800000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000FEE7FFFBF -REG 20000000039BC480 -REG F0000003F0000003 -REG 0001FFFFFD5EDED0 -REG FFFFFC0000000000 -REG FFFFFF0003820000 -REG 0000000000000000 -REG FFFFFFFF5CDE644D -REG FF00000000000000 -REG 0FFFFFFBD381FFFD -REG 0000000000000000 -REG FFFFFF0000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000081011110 +GPR0 FFFFFFFFFFFFFFFB +GPR1 0000000000000006 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0038040020005BEF +GPR8 0000000000000020 +GPR9 0000000000004BC0 +GPR10 FFFFE00000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFB440 +GPR14 00000000000227DC +GPR15 0038040020005BEF +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFB440 +GPR21 0000000000000001 +GPR22 0000000000004BC0 +GPR23 0000000023FFB439 +GPR24 0000000000004BC0 +GPR25 000000002BFFB439 +GPR26 0000000000000020 +GPR27 0000000000000002 +GPR28 000000000001C020 +GPR29 0000000000000018 +GPR30 0000000000000018 +GPR31 +CR 00000000995056D3 +LR FFFFFFFFFFFFEE5B +CTR FFFFFFFFFFFFFFFB +XER 000000008002FFDE + diff --git a/tests/787.bin b/tests/787.bin index 086512e..9da483e 100644 Binary files a/tests/787.bin and b/tests/787.bin differ diff --git a/tests/787.out b/tests/787.out index f754385..e37ee02 100644 --- a/tests/787.out +++ b/tests/787.out @@ -1,32 +1,37 @@ -REG 00000270212D6763 -REG FFFFFFFFFFFF1688 -REG FFFFFFFFFDFFFE00 -REG 2EE0000000000018 -REG 0000000080000000 -REG 0000000000000000 -REG 000000001FC472CA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0100000000000000 -REG 00000B98C15EC09E -REG 0000324755CECE32 -REG FFFFFFFFFFFEFA9C -REG FFFFFFFF7FFFEF5E -REG FFFFFFFFDFFF1688 -REG C000000000000000 -REG FFFFFFFFFFFFFDFF -REG FFFFFFC7FFFFFFFF -REG 2EE0000000000018 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000002 -REG 000000007FFF99AE -REG 000000000000CB51 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 43FFFFFFFFFF1688 -REG 03FFFFFFFFFF1688 -REG 0000000088800810 +GPR0 0000000000000001 +GPR1 0000000000000040 +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000006AEB +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000040 +GPR19 0000000020B5D946 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 0000000000006AEB +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000031A59859 +LR FFFFFFFFFFFE3FD6 +CTR 000000000001C01F +XER 00000000E00DC020 + diff --git a/tests/788.bin b/tests/788.bin index f80dcb4..37658b4 100644 Binary files a/tests/788.bin and b/tests/788.bin differ diff --git a/tests/788.out b/tests/788.out index 2cc4f95..18da4e2 100644 --- a/tests/788.out +++ b/tests/788.out @@ -1,32 +1,37 @@ -REG 000044044D30FBFB -REG FFFFFFFFB6CB0001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFBFFFB6CB0001 -REG 0000000000000000 -REG 000000001FD70000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000080810000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000031 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000031 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000040404040404 -REG FFFFFFFFB6CB0001 -REG 0000000000000000 -REG 0000000051001089 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000020 +GPR2 0000000014EC0000 +GPR3 0000000000000000 +GPR4 000000005621481D +GPR5 0000000000000020 +GPR6 000000000001C013 +GPR7 0000000000000000 +GPR8 00007FFFC0000000 +GPR9 0000000000000021 +GPR10 0000000000000000 +GPR11 FFFFFFFF7FFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000001789E +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFC538 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 FFFFFFFFA9DEFFFF +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 000000000001789E +GPR31 +CR 000000003899F993 +LR 0000000000000000 +CTR 0000000000000001 +XER 0000000080000000 + diff --git a/tests/789.bin b/tests/789.bin index 2d5922b..8f8df26 100644 Binary files a/tests/789.bin and b/tests/789.bin differ diff --git a/tests/789.out b/tests/789.out index 216fe37..cdcef02 100644 --- a/tests/789.out +++ b/tests/789.out @@ -1,32 +1,37 @@ -REG 000000000BD0D52D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE86002FF -REG FFFFFFFFEF41E67B -REG 0000000300000003 -REG 7FFFFFEEEF7C6D6E -REG 000000000BD0D500 -REG 0000000000000000 -REG 8000001180000011 -REG 0000000000000000 -REG 000000000BD0D500 -REG 0000000000000020 -REG 0000000080080000 -REG 0000000000000118 -REG 0000000000000017 -REG FFFFFFFFFFFFFF00 -REG 000000000000002F -REG FFFFFFFFCDC5B371 -REG 0000000000000178 -REG FFFFFFFFFFFFFFFF -REG 00000000000076E9 -REG AB63FFFFFFFFFFFF -REG F82000FFE85E55FE -REG FFFFFFFF6F7C6D7F -REG FFDCFFFFCA5C99BD -REG 0000000017A1AA00 -REG FFFFFFFFFFFFC021 -REG F82000FFFFFFFFFF -REG 0000000000000000 -REG 0000000000000002 -REG 0000000040100001 +GPR0 0000000030D59359 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000310700400 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000007088C00A +GPR10 0000000000000000 +GPR11 0000000310700420 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000030959359 +GPR17 0000000000000000 +GPR18 0000000310700420 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFC000FFC0 +GPR24 000000000EF0FFFF +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFA460FFFF +GPR31 +CR 0000000030D59359 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/79.bin b/tests/79.bin index 71da94f..af97859 100644 Binary files a/tests/79.bin and b/tests/79.bin differ diff --git a/tests/79.out b/tests/79.out index 47ea4bf..62a5ded 100644 --- a/tests/79.out +++ b/tests/79.out @@ -1,32 +1,37 @@ -REG 000000007DAB0000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000F6E40000F6E4 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000024000 -REG FFFFFFFFFFFC8024 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000E00003B34 -REG FFFFFFFFFFFFA361 -REG DBDE824000000000 -REG 000000003DB90000 -REG 000000007C2B0001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFF83D4FFFF -REG 0000000E00000012 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC6A90000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000050808031 +GPR0 0000000000000020 +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000000 +GPR3 0000000030000000 +GPR4 0000000030000000 +GPR5 000000000001C020 +GPR6 FFFFFFFF6615FFFF +GPR7 000000000C6E0014 +GPR8 FFFF90000000380E +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 000FFFFFDF000000 +GPR12 0000000000000000 +GPR13 000000000C6E0000 +GPR14 FFFFFFFFFFFFFFF0 +GPR15 0000000000000000 +GPR16 000000000C6E0000 +GPR17 0000000000000000 +GPR18 00006FFFFFFFFFDF +GPR19 FFFFFFFFFFFFFFDF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 00006FFFFFFFFFDE +GPR23 00006FFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFFE +GPR25 0000000000000000 +GPR26 00006FFFFFFFFFDF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 0000000039500900 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040000 + diff --git a/tests/790.bin b/tests/790.bin index ca95d48..88588d8 100644 Binary files a/tests/790.bin and b/tests/790.bin differ diff --git a/tests/790.out b/tests/790.out index 4ef1fd3..bf13af8 100644 --- a/tests/790.out +++ b/tests/790.out @@ -1,32 +1,37 @@ -REG 7FFD6FFF851D26A1 -REG 6B1F00026B000002 -REG 070808079AE0FFFD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFF7001F1480FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000006B1F0002 -REG FFFFFFFFFFFFEA64 -REG 0708080806000000 -REG 0000000000000020 -REG 00E1010100C00000 -REG 0000000000000000 -REG 0000000000000001 -REG 7FFFFFFFEE000000 -REG FFFFFFFF94E0FFFD -REG 00000000000001C0 -REG 00000000DFAD0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFFC1E3 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000004A -REG FFFFFFFFFDFDFE4A -REG 00000001001181C0 +GPR0 0000000000000AAA +GPR1 0000000000000AAA +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000004 +GPR7 FFFFFFFF79C0FFFC +GPR8 FFFFFFFFFFFFFFFC +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 F0000000863FFFFF +GPR16 FFFFEAABFFFFE002 +GPR17 0000000000002A70 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFDFFFFFFFD +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFEFFFFFFFE +GPR28 000000000000002A +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000992B939D +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/791.bin b/tests/791.bin index 6841e2d..a68fdfd 100644 Binary files a/tests/791.bin and b/tests/791.bin differ diff --git a/tests/791.out b/tests/791.out index 110a42a..b0d5a7b 100644 --- a/tests/791.out +++ b/tests/791.out @@ -1,32 +1,37 @@ -REG 000159C413C0003E -REG 0000000000000038 -REG 0000000000000000 -REG 000000000100000E -REG 0000000000000000 -REG FFFFFFFFFFFFA212 -REG FFFFFFFFFFFFFFC0 -REG 0000000003BFA219 -REG 0000000001FFFEFF -REG FFFFFFFFFFFFE09D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000F309 -REG 0000000000000000 -REG 0000000003C00000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFC40539A -REG 0000000000000000 -REG FFFFFFFFFFFF4B85 -REG FFFFFFFFFC3F5FED -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000048000804 +GPR0 FFFFFFFFFFFFFFFE +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000000000000C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 0000000000000040 +GPR15 00000000FFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000004EB60000 +GPR19 0000000000000000 +GPR20 00000000724D0000 +GPR21 0000000031550599 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000613D +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 0000000093AE0000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000040002509 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/792.bin b/tests/792.bin index 71204d2..edc1296 100644 Binary files a/tests/792.bin and b/tests/792.bin differ diff --git a/tests/792.out b/tests/792.out index 242a9ab..2af985d 100644 --- a/tests/792.out +++ b/tests/792.out @@ -1,32 +1,37 @@ -REG 0001284CE3F6F62F -REG 0000000A0000000A -REG FFFED7B31C000000 -REG 00000000000091BB -REG 00000000000ED0A5 -REG 0000000000000020 -REG FFFFFFFFFFF12F3F -REG FFFFFFFFFFF12F3F -REG 0000000000009341 -REG 0000000000000000 -REG 000000000000000B -REG FFFFFFFFFFF12F20 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FF20BBFFFFFFFBFF -REG FFFFFFFFFFF12F3F -REG 03B3F8BDE83FF8BD -REG 0040EF0000000000 -REG 0000000000000006 -REG FFFFFFFFFFF12F20 -REG 0000000080400048 -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000400 -REG FFFFFFFFFFFFC0FB -REG 0000000000000000 -REG 00DF440000000400 -REG 00000000000ED0A5 -REG 000000008040003D -REG FFFFFFFFFFFFF897 -REG 0000000100800091 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 00000000000137B0 +GPR5 0000000000000040 +GPR6 0000000003F392CA +GPR7 0000000000000000 +GPR8 00000000C0080000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFE3FE0 +GPR11 000000000012DA14 +GPR12 0000000000000000 +GPR13 FFFFFFFFBA1C0000 +GPR14 00000000C0080000 +GPR15 0000000000000020 +GPR16 000000000012DA14 +GPR17 FFFFFFFFBA1C0000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFC41F +GPR22 0000000000000000 +GPR23 00000000108893F6 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000003F409FD +GPR28 0000000000310000 +GPR29 FFFFFFFFFFFE03FF +GPR30 0000000003340000 +GPR31 +CR 0000000099100015 +LR FFFFFFFFFFFE3FE0 +CTR 0000000000005BA8 +XER 00000000A0040000 + diff --git a/tests/793.bin b/tests/793.bin index ec45d80..bd0d32c 100644 Binary files a/tests/793.bin and b/tests/793.bin differ diff --git a/tests/793.out b/tests/793.out index 856a7da..87b3ecc 100644 --- a/tests/793.out +++ b/tests/793.out @@ -1,32 +1,37 @@ -REG 0000000000003CD0 -REG FFFFFFFFFFFFFFFF -REG FFFFFF37AFFFD89C -REG 0000000000000041 -REG 0000000000000000 -REG FFFFFFFFFFFE0000 -REG 000000000001FFFF -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFCCEA -REG 000000000FEA0040 -REG 0000000000003CD0 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFC03 -REG 0000000000005A7F -REG FFFFFFFFFFFFFFFF -REG FFFFFF37AFFFD89D -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000002000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000FFFFFF -REG 11B5A1A7D65E9FD6 -REG 00000000B1C81F86 -REG FFFFFFFFFFFFFFFF -REG 000000C8500027A3 -REG 0000000091001010 +GPR0 FFFFFFFFFFFFFF72 +GPR1 007E41F07C9B26C9 +GPR2 00000000A30D0000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 000000000000001A +GPR9 00000000E00DC034 +GPR10 FFFFFFFFFFFFFFE1 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 00000000000000C8 +GPR16 0000000000000000 +GPR17 0000000000000004 +GPR18 00000000007FFFF8 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 0000000000000010 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFE4 +GPR26 000000000001C020 +GPR27 0000000000000010 +GPR28 0000000000000020 +GPR29 0000000000003577 +GPR30 0000000000000000 +GPR31 +CR 0000000096595009 +LR 00000000007FFFF8 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0063551 + diff --git a/tests/794.bin b/tests/794.bin index c394f5c..ef289f8 100644 Binary files a/tests/794.bin and b/tests/794.bin differ diff --git a/tests/794.out b/tests/794.out index 6e59663..2f5a5e9 100644 --- a/tests/794.out +++ b/tests/794.out @@ -1,32 +1,37 @@ -REG 0000000028CEC818 -REG 0000000000000000 -REG 000000000000FE2C -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000007FA5C00000 -REG 0000000000000000 -REG FFFFFFFFFFFFB7DA -REG 5200000000000000 -REG 0000000000000001 -REG 3FFFFE00080046BD -REG 0000000000000000 -REG FFFFFFFFA4920000 -REG 0000000000008FBC -REG 0000000000000000 -REG 3FFFFE0007FFFE97 -REG 0000000000000005 -REG C00001FFF8000128 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003CEB0005 -REG FFFFFFFFFFFF8B00 -REG 0000000028840040 -REG 0000000000000000 -REG 000000000000C827 -REG 0000000000000000 -REG 0000000000000000 -REG 3FFFFE0007FFFE97 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005FFE0300 +GPR0 FFFFFFFFFFFF93C5 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000406C3B +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C034 +GPR11 0000000000000000 +GPR12 0808080808080808 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000008000 +GPR16 FFFFFFFFFFFF8000 +GPR17 0000000000000001 +GPR18 FFFFFFFFF2C40000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000001 +GPR23 FF7FFFFFFF77CBBB +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000080000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000400000 +GPR31 +CR 0000000053950090 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/795.bin b/tests/795.bin index a545f72..f59d6d6 100644 Binary files a/tests/795.bin and b/tests/795.bin differ diff --git a/tests/795.out b/tests/795.out index ae88b3b..9dce0b6 100644 --- a/tests/795.out +++ b/tests/795.out @@ -1,32 +1,37 @@ -REG 0000000008010013 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF00FF -REG FFFFFFFFFFFFFFFF -REG 0000000000000326 -REG 0000000000000039 -REG 00000D8000000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000001 -REG 00000D8000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000002800 -REG 0000000000000000 -REG 0000000000000012 -REG FFFFFFFFFFFFFFD9 -REG 0000000000000026 -REG 0000000000000039 -REG 0000000097E60000 -REG 0000000000000000 -REG 0000000010000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000024000 -REG 0000000000000001 -REG 00000000000050C5 -REG 0000000000000000 -REG 0001000000000000 -REG 0000000005240000 -REG 0000000000000000 -REG 0000000101008889 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000001F +GPR4 FFFFFFFFFFFE3FDB +GPR5 0000000000000000 +GPR6 0000000000000008 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 00000000009E401C +GPR11 0000000000000040 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFF00 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 FFFFFFFFD2460040 +GPR17 000000000001C023 +GPR18 0000000000A00040 +GPR19 0000000000000003 +GPR20 000000000000001E +GPR21 0000000000000000 +GPR22 00000000009E401C +GPR23 00000000EFBD0000 +GPR24 0000000000A00041 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000A00000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE3FDB +GPR30 0000000000000000 +GPR31 +CR 0000000051859B55 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/796.bin b/tests/796.bin index 506e84d..a4faeb9 100644 Binary files a/tests/796.bin and b/tests/796.bin differ diff --git a/tests/796.out b/tests/796.out index a42852a..ae22d82 100644 --- a/tests/796.out +++ b/tests/796.out @@ -1,32 +1,37 @@ -REG 646080000083A442 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000608A -REG 646080000083E43D -REG E01000FFE0000000 -REG 0000000000000006 -REG 0000000020A82AFB -REG 0000000000000040 -REG FFFFFFE0FFFFFFE0 -REG 003FF8040083D40D -REG 0000000000000040 -REG C3E00003C3E00003 -REG 000000000F000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF937D0040 -REG 000000000000000F -REG E01000FFE0000000 -REG 0020AC5F1F4C21BC -REG 0000001F0000001F -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000020A80850 -REG FFFFFFFFFFFFC1CE -REG 0000000027F40006 -REG FFFFFFFFFFFFE5FF -REG 000000000003BF88 -REG 0000000101511080 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000010000000100 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000200000001C +GPR16 0000000000000000 +GPR17 000FFF8000000000 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000001 +GPR23 0000000000000020 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000002 +GPR26 000000000000001F +GPR27 FFFFFFFFC03FFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005100043B +LR 0000000000000000 +CTR 000000000001C020 +XER 00000000C00BFFFF + diff --git a/tests/797.bin b/tests/797.bin index 275cb52..c74bf66 100644 Binary files a/tests/797.bin and b/tests/797.bin differ diff --git a/tests/797.out b/tests/797.out index 7799710..70894c9 100644 --- a/tests/797.out +++ b/tests/797.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001000 -REG 0BEF00000009E1F5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000214 -REG 0000000000000000 -REG 0000AE07F8E844A4 -REG C000000000000000 -REG 0000AE07B8E844A4 -REG 0000FFFF05045F17 -REG BF8000000027F800 -REG 0000000000000000 -REG 0000000005040707 -REG FFFF51F8471826EF -REG 0000000002740000 -REG 0169A89142AEA4D0 -REG 0000000000000000 -REG 000000001674FDEC -REG 0000000000000000 -REG 0808080808080808 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 3828203838280000 -REG FFFF51F8471826EF -REG 0000000000001000 -REG 0000000000000000 -REG 2735ED98782BD043 -REG 0000000060420000 -REG 0000000000000002 -REG 0000000090810050 +GPR0 FFFFFFFFFFFFFFF6 +GPR1 FFFFFFFFFFFFFFE0 +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 0000000000000040 +GPR5 0001C01C0001C01C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FE0 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFF7 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFBC32 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFF901 +GPR18 0000000000000000 +GPR19 0000000000000700 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFF900 +GPR24 0000000036603FA0 +GPR25 0000070000000000 +GPR26 0000000000017C52 +GPR27 0000000000000001 +GPR28 FFFE000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 000000005094B39F +LR 0000000000000040 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/798.bin b/tests/798.bin index fd50d77..547de52 100644 Binary files a/tests/798.bin and b/tests/798.bin differ diff --git a/tests/798.out b/tests/798.out index b33fb1f..f582043 100644 --- a/tests/798.out +++ b/tests/798.out @@ -1,32 +1,37 @@ -REG 0000000000000066 -REG 0000000000000009 -REG 0000000000000000 -REG FFFFFFFF17C907FC -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C929 -REG 0000000000000000 -REG 0000000001E2F803 -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000001 -REG 0000000000000011 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFA -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0FFFFFFFF80104EF -REG 0000000000000000 -REG 00000000CBBA0000 -REG 0000000000000000 -REG 00000000E836F804 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 00000000CBBA0000 -REG FFFFFFFFFC0007FC -REG 0000000000007058 -REG 0000000000000005 -REG 0000000088110800 +GPR0 FBFFFFC7FBFE3FDF +GPR1 0000000000000000 +GPR2 000000000001C060 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000001000 +GPR11 000000000001C020 +GPR12 FFFFFFFFFFFE3F9E +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000002159 +GPR21 0000000000009075 +GPR22 FFFFFFFFFFFFFCCA +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFCE9A +GPR27 000000000001C020 +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000036355092 +LR 0000000000000400 +CTR 000000000001C020 +XER 00000000E00C0020 + diff --git a/tests/799.bin b/tests/799.bin index c87a908..2999b33 100644 Binary files a/tests/799.bin and b/tests/799.bin differ diff --git a/tests/799.out b/tests/799.out index 132cf3b..f0c3fee 100644 --- a/tests/799.out +++ b/tests/799.out @@ -1,32 +1,37 @@ -REG 000000006FA00000 -REG 0000000000000000 -REG 00000000000017C5 -REG 1FFFFFFFFFE3FFFF -REG FFFFFFFFD5DFFFC3 -REG 0000000000000000 -REG 06AEFCEC06AEFCEC -REG 06B2FCEC06AEFCEB -REG 00D5DF9D80D52479 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFC000000000000 -REG 0000000000000000 -REG 1FFFFFFFFFE4D1FE -REG 0000000017000000 -REG FFFFFFFFFFFFFFF8 -REG FFFFFFFFF8000000 -REG 06AEFCEC06AEFCEC -REG 0000000000000000 -REG 0000376100003761 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFC000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8DE2 -REG 0000000004000000 -REG 0000000000006E0B -REG 0000000000000000 -REG 0000000110082109 +GPR0 C1492868F60714DE +GPR1 FFFFFFFFFFFFE494 +GPR2 0000000000001B6B +GPR3 3EB6D79709F8A719 +GPR4 FFFFFFFFCFFFE494 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000004C38 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 000000000000D795 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFF00006 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000003B037513 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000001B6B +GPR21 0000000000000020 +GPR22 C1492868F60758E7 +GPR23 000000000000543D +GPR24 C1492868F60758E6 +GPR25 0000000000010201 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000095037513 +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 0000000095037513 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFE +XER 000000008001C020 + diff --git a/tests/8.bin b/tests/8.bin index c7374d6..727519c 100644 Binary files a/tests/8.bin and b/tests/8.bin differ diff --git a/tests/8.out b/tests/8.out index ddd7cdf..f8631c8 100644 --- a/tests/8.out +++ b/tests/8.out @@ -1,32 +1,37 @@ -REG 7FFE22237FFF2461 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFF741C046 -REG 0000000000000000 -REG 0000000000000000 -REG 20393EB620393EB6 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG D2374D8D89AF799B -REG 0000000000000000 -REG 0000000000000000 -REG 00BFFFF1BA46E9B8 -REG F98800018001DDDB -REG FFD627C013680D9F -REG 0000000000000000 -REG 000000000000F891 -REG FFFFFFFFFFFFFFFF -REG 0677FFFE7FFEF0DF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 3EBDC7E01ED69480 -REG 0677FFFE7FFE2224 -REG 2FFFFFFE7FFEA667 -REG D2374D8DD237BDE0 -REG FFFFFFFFFFFEF9BF -REG D2374D8D89AF799C -REG 0000000048884444 -REG 0000000000000000 -REG 0000000051108888 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000003 +GPR3 0000000000000004 +GPR4 000000000001C018 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFF52F +GPR9 0000000000000000 +GPR10 EA5FFFFFEA4003FF +GPR11 00000000000000FF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFF8 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000003 +GPR21 0000000000000000 +GPR22 000000008003FFBF +GPR23 000000008002A200 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 000000000001C032 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000004000 +GPR30 0000000000000000 +GPR31 +CR 000000003939FEA0 +LR 0000000000000000 +CTR 0000000000000001 +XER 000000008003FFBF + diff --git a/tests/80.bin b/tests/80.bin index a3bbe24..108cd31 100644 Binary files a/tests/80.bin and b/tests/80.bin differ diff --git a/tests/80.out b/tests/80.out index dd7f741..f22775c 100644 --- a/tests/80.out +++ b/tests/80.out @@ -1,32 +1,37 @@ -REG 0000001A00000007 -REG 0018F00000180000 -REG 0000000000000000 -REG 0000000000004A5E -REG 0000000000000000 -REG FFFFFFFFFFFFBA75 -REG FFFFFC2B000063C0 -REG 0000000000030000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000007B0A63D5 -REG 0000000000000000 -REG FFFFFFFF84F60000 -REG 0000000000000000 -REG 000000000000BA75 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFE000000000 -REG 0000000000000012 -REG 000000000000003F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000027290000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFCF59 -REG 00000000000063D5 -REG 000000001F000000 -REG 0000000091090500 +GPR0 0000000000000000 +GPR1 1FF9FFFFFFFE89BE +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFC4 +GPR7 E00600000001C000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 E00600000001C000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0E00000000000000 +GPR22 000000000000003C +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 0000003FE0000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030009050 +LR FC00000000000000 +CTR 0000000000000000 +XER 000000008001C03A + diff --git a/tests/800.bin b/tests/800.bin index 909d283..cf0669c 100644 Binary files a/tests/800.bin and b/tests/800.bin differ diff --git a/tests/800.out b/tests/800.out index e957b62..ccf8fbe 100644 --- a/tests/800.out +++ b/tests/800.out @@ -1,32 +1,37 @@ -REG 0000000006FF00FC -REG 0000000000000000 -REG 0000000000000030 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFDFCA75F298 -REG 0000000000000030 -REG 000000000C03FE6A -REG 00000020358A83D1 -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000010 -REG FFFC000000FFFFFF -REG 00000000BFBD0000 -REG 0000000000800000 -REG 000000000000000D -REG 000000000000FFFF -REG 00000000B7940000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000006FFFFFF -REG FFC9D77FFFFFFFBF -REG 00000020358A83D1 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000005FDE8000 -REG FFF5F7F5EBFDD008 -REG 0000000000000000 -REG 0000000000000000 -REG FFF5F7F5EBE6A008 -REG 000000000000FFFF -REG 0000000049000089 +GPR0 FFFBDEE6B123E3AD +GPR1 000000000001C020 +GPR2 0000000000000001 +GPR3 FFFFFFFFFFFFFFFF +GPR4 000000000001C021 +GPR5 0000000000000002 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 F7BDEF7BDEF7BDF0 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 F7BDEF7BDEF7BDF0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFC200 +GPR27 000000000001F3FD +GPR28 0000000000000005 +GPR29 0000000083DC0001 +GPR30 0000000000000000 +GPR31 +CR 000000009FD99969 +LR 0000000000000000 +CTR 0000000000001C80 +XER 0000000080000006 + diff --git a/tests/801.bin b/tests/801.bin index 2b4b834..0bff977 100644 Binary files a/tests/801.bin and b/tests/801.bin differ diff --git a/tests/801.out b/tests/801.out index 7a623f7..a7bc807 100644 --- a/tests/801.out +++ b/tests/801.out @@ -1,32 +1,37 @@ -REG FFFFFFFFEA7FFFD8 -REG 000000000F000000 -REG 000000000F000000 -REG 0000000074CC0000 -REG FFFFFFFFFFFFFF9D -REG 0000000000000000 -REG 0400000000000000 -REG FFFFFFFFEA7FFFAA -REG 0000000000000000 -REG 000000000000AB42 -REG 000008EB0000AF7B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 000000000F000000 -REG 040008EB0000AB69 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000F000000 -REG 000000000F000000 -REG FFFFFFFFFFFFFF9D -REG 0000000000000001 -REG 0000000000000000 -REG 000008EB0000AB69 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000F000000 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000100891000 +GPR0 FFFFFFFFFFFFF7FF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFF5838 +GPR6 FFFFFFFFFFFFC01E +GPR7 00000000A007F800 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 00000000FF7905A7 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFC01E +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 FFFFFFFFFFFFC34F +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000437D2C9A49EA3 +GPR23 0000000080000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 FFFFFFFF902C83C0 +GPR28 000000000000001A +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003955D549 +LR 0000000000000000 +CTR 0000000000000001 +XER 00000000A007F800 + diff --git a/tests/802.bin b/tests/802.bin index ea92a85..3a59feb 100644 Binary files a/tests/802.bin and b/tests/802.bin differ diff --git a/tests/802.out b/tests/802.out index ae80eff..24dcb86 100644 --- a/tests/802.out +++ b/tests/802.out @@ -1,32 +1,37 @@ -REG 000000000000008E -REG 0000000000000000 -REG 0000000000000014 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000C3B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF3C5 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFD6F0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFBB046 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000049000800 +GPR0 000000000001C020 +GPR1 FFFFFFFFFFFFC01F +GPR2 000000008A940000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFF080 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000002 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFD6 +GPR13 FFFFFFFF756BFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFB807 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFB807 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFF790D2 +GPR20 0000000000000000 +GPR21 FFFFFFFFF773263C +GPR22 FFFFFFFFFFF790D2 +GPR23 000000000001C028 +GPR24 0000000000000000 +GPR25 0000000000005000 +GPR26 0000000000000F80 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000005900C0 +LR 0000000000005000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/803.bin b/tests/803.bin index 98d6c50..980b564 100644 Binary files a/tests/803.bin and b/tests/803.bin differ diff --git a/tests/803.out b/tests/803.out index d59ce10..cf0019d 100644 --- a/tests/803.out +++ b/tests/803.out @@ -1,32 +1,37 @@ -REG FFFFF000A00017B9 -REG 00000012F800F1F9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE35D -REG 000000000003FFFF -REG 0000000000005491 -REG 00000012F8001000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00003FFFFFD7FFFF -REG FFFFFFFFFFFFFFFF -REG FFFFF000AB6E9AED -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG FFFFFFFFFFFF5491 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000004910000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE35C -REG FFFFFFFFFFFFE35D -REG 0000000080000000 -REG 0000000040000010 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFE +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 0000000000000001 +GPR9 0000000000000005 +GPR10 03FFFEFC5914E9BB +GPR11 0000000000000000 +GPR12 0000000120E91D2A +GPR13 0000000000001663 +GPR14 0000000000000006 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 0808080808080808 +GPR26 0000000000000000 +GPR27 FFFFFF000000E9BB +GPR28 FFFFFFFFFFFFFFFF +GPR29 0808080808080808 +GPR30 0000000000000000 +GPR31 +CR 0000000051048E75 +LR FFFFFFFFFFFFFFFD +CTR 0000000000000000 +XER 000000008001C26D + diff --git a/tests/804.bin b/tests/804.bin index 9dadd83..dc4d1c5 100644 Binary files a/tests/804.bin and b/tests/804.bin differ diff --git a/tests/804.out b/tests/804.out index dc185af..6e1c95b 100644 --- a/tests/804.out +++ b/tests/804.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFF -REG 8000000000000000 -REG FFFFFFFFD9DDFFBF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBD73FFFF -REG 8000000000000000 -REG 8000000026220060 -REG FFFFFFFFFFFFFFDF -REG 0000000026220040 -REG 0000000000000000 -REG 0000000000001A21 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001B -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFE396003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFE396003F -REG FFFFFFFFFFFFA8DC -REG 00001174423C4325 -REG 0000000000000020 -REG 000000000B02DF5F -REG FFFFFFFFBD73FFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000026220060 -REG 0000000000000000 -REG FFBD73FFFF220060 -REG 0000000100090081 +GPR0 0001000000001D00 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000000656C +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 000000000000000F +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000800000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000002000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFE8 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000800000008 +GPR27 0000000000000000 +GPR28 0001000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030540C99 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/805.bin b/tests/805.bin index dce5b7b..2489437 100644 Binary files a/tests/805.bin and b/tests/805.bin differ diff --git a/tests/805.out b/tests/805.out index 9afc9d7..6144071 100644 --- a/tests/805.out +++ b/tests/805.out @@ -1,32 +1,37 @@ -REG 000073FFC0FFFFE1 -REG FFFFFFFFFFFFFFFF -REG 0000001700000017 -REG FFFFFFFFFFFFF7E2 -REG 0000000000000000 -REG 00000000B88AC5A5 -REG 00000000B88AE68A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004210 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000B1B07C8C -REG 0000000080104298 -REG FFFFFFFFFFFFFFFF -REG 0000000000FFD7AF -REG FFFFFFFFFFFFF000 -REG 0000000000000000 -REG 000000003FFFFFFF -REG 00001D0040100098 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG 0000000004030503 -REG FFFFFFFFC0000000 -REG 0000000000000000 -REG 0000000000FFFFFF -REG 0000000000000000 -REG 0000000088200130 +GPR0 00000000001C03F0 +GPR1 0000000000000000 +GPR2 00000000FFFFCAD8 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000003F009915 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 0000000000001984 +GPR14 000000000001C004 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFF8FFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000000B +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 00000000001C03F0 +GPR30 FFFFFFFFFFFFCAD8 +GPR31 +CR 000000003F209913 +LR FFFFFFFFFFFC76CE +CTR 0000000000001984 +XER 0000000080030000 + diff --git a/tests/806.bin b/tests/806.bin index d3de693..886e96d 100644 Binary files a/tests/806.bin and b/tests/806.bin differ diff --git a/tests/806.out b/tests/806.out index ad76e4c..34e5e38 100644 --- a/tests/806.out +++ b/tests/806.out @@ -1,32 +1,37 @@ -REG 256000E625600000 -REG 0000000000000000 -REG FFFFFD9EE6256000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFD9EE6256000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFD9EE6256000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG DA9FFF19DA9FFFFE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFD9EE6256000 -REG FFFFFFFFFFFFFFFF -REG FFA3FFFFFFBDDFE9 -REG FFFFFD9EE6256000 -REG 0000000000000000 -REG 056B8C42F9D7E6E6 -REG 0000000048000008 +GPR0 FFFFFFFFDFFE0202 +GPR1 FFFFFFFFFFFDFDFE +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000040000000 +GPR11 000000000000FFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000002 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000020202 +GPR23 FFFFFFFF4FFFFFFC +GPR24 000000000000003D +GPR25 0000000000000000 +GPR26 0000000000000014 +GPR27 0000000000000000 +GPR28 2000000000000000 +GPR29 0000000000000000 +GPR30 000000008400C830 +GPR31 +CR 000000003400C830 +LR 0000000000000000 +CTR 0808080808080808 +XER 00000000C0080000 + diff --git a/tests/807.bin b/tests/807.bin index 705e44a..37c0593 100644 Binary files a/tests/807.bin and b/tests/807.bin differ diff --git a/tests/807.out b/tests/807.out index 9372523..2c88fd6 100644 --- a/tests/807.out +++ b/tests/807.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFB88B70 -REG 0000000000000000 -REG 000000000000000A -REG FFFFFFE0000073C7 -REG F400000000C000BD -REG 0000000000000020 -REG 0000040000000000 -REG 0000000000000000 -REG 00041FFF65520000 -REG 0000000000003F61 -REG 000000000000F48F -REG 0000000000000000 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000478000 -REG 0000000000800000 -REG FFFFFFFFFFB8FFFF -REG 0000000000001B2F -REG 0000001FFFB80C38 -REG 000000000000F48F -REG 0000002000000000 -REG 0000000000001B2F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0BFFFFFFFF3FFF00 -REG 0000000000000042 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001011F1888 +GPR0 000000002DC20000 +GPR1 0000000000000000 +GPR2 F000000000020000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 6B5AD6B68BD25A99 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFC2 +GPR17 000000000000003D +GPR18 000000000000003C +GPR19 0000000000000000 +GPR20 0000000000000004 +GPR21 6B5AD6B68BD25AD7 +GPR22 0000000000000000 +GPR23 F5D01C102DC2567B +GPR24 0000000000000000 +GPR25 0000000000001C00 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000000B +GPR29 0808080808080806 +GPR30 0000000000000000 +GPR31 +CR 000000003FF9F090 +LR 000000000000003E +CTR 0808080808080800 +XER 00000000A005C038 + diff --git a/tests/808.bin b/tests/808.bin index c590834..6ccf355 100644 Binary files a/tests/808.bin and b/tests/808.bin differ diff --git a/tests/808.out b/tests/808.out index 1037894..e96bf12 100644 --- a/tests/808.out +++ b/tests/808.out @@ -1,32 +1,37 @@ -REG FFFFFFFFA6BA0041 -REG 0000000000000000 -REG F000000000000000 -REG 0000000079ADBDA0 -REG 0000000079A33DA0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000029742A -REG FFFFFFFFA02A0011 -REG FFFFFFC7E9EA9840 -REG 000000005FD5FFEF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 011192AFFFFFFF7A -REG FFFFFFFFFFFFFFA0 -REG 0000000000000001 -REG 0000000079ADBDA0 -REG 0088C957FFFFF2BD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 000000007B65FFC5 -REG 0000000000000020 -REG FFFFFFFFFFFFFF8A -REG 0000000000000040 -REG 003A000000300000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001111B0888 +GPR0 0000000098278216 +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0203080602030806 +GPR10 0000000000000000 +GPR11 0000000000000013 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFF01D0 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000018240000 +GPR21 00000C1200000000 +GPR22 FFFFFE0EC27C7B96 +GPR23 FFFFFFFFC149FFC5 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000000FE31 +GPR27 FFFFFFFFFFFF8205 +GPR28 0000000018240000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030354200 +LR 0000000018240000 +CTR 0000000000000000 +XER 00000000E00F8205 + diff --git a/tests/809.bin b/tests/809.bin index 9130985..cc1a4d5 100644 Binary files a/tests/809.bin and b/tests/809.bin differ diff --git a/tests/809.out b/tests/809.out index 3e793ac..cc6a628 100644 --- a/tests/809.out +++ b/tests/809.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE7 -REG 0000000000000000 -REG 0000000000000000 -REG 000001AB084BE1AA -REG 000001AB37850191 -REG 00007FFFFFFFFFFF -REG 0000000000000000 -REG FFFF7E54C7851E55 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 000001AB378501AB -REG 00000FFFFFFFFFFF -REG 0000000000000006 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFDD5C -REG 0000000000000000 -REG 00001BC3275784B3 -REG 0000000020000000 -REG 000000000000000F -REG 0000000000009EF8 -REG 000001A000000000 -REG FFFFFE54C7851E54 -REG 0000000000000006 -REG 0000000000000001 -REG 0000000040408201 +GPR0 000000000000001B +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 000000000000001B +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 FFFFFFFFC44CABC8 +GPR9 0900000008000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000001B6B +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000095540000 +GPR22 000000003BB35472 +GPR23 0000000000000000 +GPR24 FFDC75A4060D123A +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000008000002D +GPR30 000000000001C020 +GPR31 +CR 000000009BB35438 +LR 000000000000001B +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C002D + diff --git a/tests/81.bin b/tests/81.bin index 6dfaf27..fbab4eb 100644 Binary files a/tests/81.bin and b/tests/81.bin differ diff --git a/tests/81.out b/tests/81.out index 7c6f2cb..6f080e8 100644 --- a/tests/81.out +++ b/tests/81.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC00032E8 -REG 0000000020003104 -REG FFFFFFFFFFFE0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000003A9A0000 -REG 0000000000003504 -REG FFFFFFFFFFFFCAD3 -REG 0000000000000000 -REG 0000000020003104 -REG F000040000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000033C1000033C1 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF7FCCC -REG 0000000000000000 -REG 0000000000000000 -REG F000040000000000 -REG 0000000002010201 -REG 0000000000000000 -REG FFFFFFFFFFF7FCCC -REG 000000000000003B -REG FFFFFFFFFFFFFFFF -REG 000033C1000033C1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000007FFFFFFBFE -REG 7FED9FFFFFFFFFFF -REG 0000000048911080 +GPR0 0000000000000001 +GPR1 FFFFFFFFFFFFFF1F +GPR2 0000000000000022 +GPR3 00000000046F1CA2 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000002 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000FFFF0004 +GPR13 0000000000000004 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFE +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFF1F +GPR23 0000000000000000 +GPR24 000007C3000007C2 +GPR25 0000000000000040 +GPR26 000000000001C020 +GPR27 000000000001C034 +GPR28 0000000000000000 +GPR29 000007C2FFFFC092 +GPR30 000000000001C034 +GPR31 +CR 00000000304D5910 +LR 000000008003D507 +CTR FFFFFFFFFFFFFFFF +XER 00000000C009C020 + diff --git a/tests/810.bin b/tests/810.bin index 159f88f..f6c5ff9 100644 Binary files a/tests/810.bin and b/tests/810.bin differ diff --git a/tests/810.out b/tests/810.out index e9c5e7b..c1a3338 100644 --- a/tests/810.out +++ b/tests/810.out @@ -1,32 +1,37 @@ -REG 9F3404A39F2204A3 -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFF3F -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF8317CEE0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0808080808080808 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF7AACEE0 -REG 0000000000000000 -REG 0000000000000035 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFF2BFFFFFFAC000 -REG 000D400000054000 -REG 0000000000000000 -REG 0000000000008940 -REG FFFFFFE6FFFFFFE6 -REG FFFC00007CE7FFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000100110910 +GPR0 000000000000000E +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 000000000001C020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000004000 +GPR8 000000000000000E +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000080000000 +GPR12 FFFFFFFF7FFFFFFF +GPR13 FFFFFFFF7FFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C020 +GPR21 000000000000000C +GPR22 FFFFFFFF7FFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000080000000 +GPR27 000000007FFFFFFF +GPR28 000000000001C002 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000059443318 +LR 0000000080000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/811.bin b/tests/811.bin index dd60ca6..ded467c 100644 Binary files a/tests/811.bin and b/tests/811.bin differ diff --git a/tests/811.out b/tests/811.out index 8ec13f6..f403ef7 100644 --- a/tests/811.out +++ b/tests/811.out @@ -1,32 +1,37 @@ -REG 000000000051BFFC -REG 00000000ED8A0000 -REG 0000000000000000 -REG FFFFFFFFFFFFA610 -REG 0000000000000000 -REG 0000000000002FD7 -REG 0000000000800000 -REG 00002FD600002FD6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000630BA610 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002FD7 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000051101E10 +GPR0 E000000080000000 +GPR1 1FFFFFFF80000000 +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 000000000001C036 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFF0000000001F +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFE3FE0 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 00000FFFFFFFF85F +GPR31 +CR 00000000500DC446 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/812.bin b/tests/812.bin index 12e6f6a..1edd9bf 100644 Binary files a/tests/812.bin and b/tests/812.bin differ diff --git a/tests/812.out b/tests/812.out index 4ff7bce..4ff3465 100644 --- a/tests/812.out +++ b/tests/812.out @@ -1,32 +1,37 @@ -REG FFFFE000007FE0B2 -REG FFFFE000007FFE1F -REG FFFFFFFFFFFFDD2A -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFF0FFFFFF -REG 0AED9AB4E72443CD -REG 0000000000000000 -REG FFFFFFC000000000 -REG 00001FFFFF8001E0 -REG 0000000000000040 -REG 000000004F84888F -REG 0000000000000000 -REG 0000200C8E5F5D7F -REG FFFFE0000F7FFE1F -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 00001FFFFF805D7F -REG 0000000C8EDF0000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFF9BE -REG 0000000000000040 -REG 0000000000000000 -REG 000000E000000000 -REG FFFFFFFFF0FFFFFF -REG 0000000000000000 -REG FFFFE000007FFE1F -REG 000000011F09085E +GPR0 FFFFFFFFFFFFFFE6 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 000000000000001A +GPR5 FFFFFFFFFFFFFF5C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 00000000000000A2 +GPR9 000000000001C00E +GPR10 000000000000003E +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFF61DB3FFF +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000008119 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000001FFE400 +GPR21 0000000000000000 +GPR22 FFFF80003C000000 +GPR23 0000000008000000 +GPR24 FFFFFFFFFFFFFF5D +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFE701FFE002 +GPR28 0000000080040000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003840902D +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/813.bin b/tests/813.bin index e98918e..0e3f8f3 100644 Binary files a/tests/813.bin and b/tests/813.bin differ diff --git a/tests/813.out b/tests/813.out index 18f5733..7e9bb34 100644 --- a/tests/813.out +++ b/tests/813.out @@ -1,32 +1,37 @@ -REG FFFFFF4FFFA196B4 -REG 00000000005E8003 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFDF777BC6 -REG 80000000005EC4EF -REG FFFFFFFFFFFFFAF8 -REG 00000000005E6FBF -REG FFFFFFFFFFFFFBF8 -REG 0000000000000000 -REG 0000010740888947 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFF8842 -REG 000000000000000C -REG 0000000040888842 -REG 0000000000000000 -REG FFFFFFFFFF43103D -REG 0000000000000000 -REG 0001C00000000000 -REG FFFFFFFFFFA18404 -REG FFFFFFFFFFA19040 -REG FFFFFFFFFFA19041 -REG 0000010700000107 -REG 0000000000000000 -REG 0000000000000303 -REG 0000000000000000 -REG 00000000005E6FBF -REG 000000002088A783 -REG 000000000000001C -REG 0000000000000000 -REG 000000004472EFC2 -REG 0000000041111088 +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 0000000300000003 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFF0980 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000020000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000001 +GPR14 FFFFFFFFE0000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000000000FFFD +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFF0980 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000009CED980 +GPR25 0000000000000000 +GPR26 FFFFFFFFF8001FFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000001C020 +GPR31 +CR 000000003BD54495 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/814.bin b/tests/814.bin index 8c4356f..9dc8ae0 100644 Binary files a/tests/814.bin and b/tests/814.bin differ diff --git a/tests/814.out b/tests/814.out index 4e1baa1..20739df 100644 --- a/tests/814.out +++ b/tests/814.out @@ -1,32 +1,37 @@ -REG 40007FF0D9E7C892 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFF888FFFFFF -REG 0000000056BCC1F9 -REG 0000000000000000 -REG 0000000009000000 -REG FFFFFFF888FFFFFF -REG 0000000000000000 -REG 00000000B55C0000 -REG 0000000000000000 -REG 00007FF000000000 -REG FFFFFFFFFFFFFFFF -REG BFFF8009193BC1F9 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFF76F7B70E -REG 00000000001C0000 -REG FFFFFFFFFFFFFFFF -REG F31B0DEFB943069B -REG 0000000000000000 -REG D6BCF965D6BCF965 -REG 0000000000000000 -REG 0000000016AB8000 -REG FFFFFB524FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 40007FF08000376D -REG 0000000000000001 -REG FFFF801000000000 -REG 0000000000000000 -REG 00000000521091E8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000005 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000040 +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000077F +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFCEE8 +GPR28 0000000000000000 +GPR29 0000000000000007 +GPR30 0000000000000000 +GPR31 +CR 0000000090098000 +LR 0000000000000000 +CTR 0000000000007FFE +XER 00000000A0040000 + diff --git a/tests/815.bin b/tests/815.bin index 78535b7..9da512c 100644 Binary files a/tests/815.bin and b/tests/815.bin differ diff --git a/tests/815.out b/tests/815.out index a1152f8..3ce552a 100644 --- a/tests/815.out +++ b/tests/815.out @@ -1,32 +1,37 @@ -REG FDDAAF25DC312D77 -REG 0000000000000600 -REG 000000000044FFF9 -REG 0000000000000021 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0009144CD933B77D -REG 0000000800000008 -REG 0000000000000000 -REG 00002CD221EB2EA0 -REG 0000000000000000 -REG 0000000000000000 -REG 0009144CD9337900 -REG 0000000400000004 -REG 00002CD221EB2EA0 -REG FFFFFFFFFEB77A55 -REG 0000000500000005 -REG 0000000000000000 -REG 000000000000003A -REG FFFFFFADDE957EF9 -REG 0000005221AF8100 -REG 0000000000000029 -REG 00000000000000A0 -REG 00FFFFFFFFFF8863 -REG 000000000044AF80 -REG 000000000044AF80 -REG 0000000000000000 -REG 0000000000000008 -REG 0000000000000035 -REG 0000000000000000 -REG 0000000041110089 +GPR0 FFFFFFFFDF0000FF +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFBDD7 +GPR3 00000000FFFFFEF0 +GPR4 0000000000000000 +GPR5 000000000001C02E +GPR6 FFFFFFFFDEFE40D1 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001EAFF +GPR10 3477BF903477BF90 +GPR11 00000000FFFFFFE8 +GPR12 FFFFFFFFFFFFFFE0 +GPR13 0000000001FFFF01 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 0000000000000E01 +GPR18 FFFFFFFFFFFC216F +GPR19 0000000000000000 +GPR20 00000000FFFF4F85 +GPR21 000000000001C02E +GPR22 0000000000000008 +GPR23 00000001FFFFFFFF +GPR24 0000000000000000 +GPR25 00000000FFFE3FC8 +GPR26 FFFFFFFFFFFFFFFF +GPR27 000000000001C021 +GPR28 FFFFFFFF0000B07B +GPR29 000000000001C020 +GPR30 000000000001C038 +GPR31 +CR 0000000051001005 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFE +XER 000000008003BDD8 + diff --git a/tests/816.bin b/tests/816.bin index e3ca322..e2e9fb6 100644 Binary files a/tests/816.bin and b/tests/816.bin differ diff --git a/tests/816.out b/tests/816.out index 95b608f..5483687 100644 --- a/tests/816.out +++ b/tests/816.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFDF2B -REG 0000000000740C7F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8000 -REG FFFFFFFFFFFF84E1 -REG 4750D08FFFFC0000 -REG 00000000007398FF -REG 0000000000000000 -REG 0000000000002E0F -REG 00000007FFFFFFFF -REG 0000000000000006 -REG 0000000000000000 -REG FFFC7F8470000000 -REG 3FFFFFFFFFFF8000 -REG FFFFFFFFFFFFFFDF -REG 0000000000007380 -REG 000000FC000028FC -REG 0000000000000000 -REG 0000000000000000 -REG 000000003FFF8000 -REG 00000000000037A7 -REG 0000000000007B1E -REG 0000000000000000 -REG 01FFFC0000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007000 -REG FFFFFFFFFFFFD703 -REG 0000000088080000 +GPR0 00000000E00C0000 +GPR1 FFFFFFF81A9A6A3A +GPR2 000000000001C020 +GPR3 000000000001C01C +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFBE +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFD522ADCF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFA07172400 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 00000000000011C2 +GPR23 FFFFFFF81A9A6A3A +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003858D9F0 +LR 0000000000000000 +CTR 000000000001C028 +XER 0000000080000000 + diff --git a/tests/817.bin b/tests/817.bin index b7d3475..c5b50c2 100644 Binary files a/tests/817.bin and b/tests/817.bin differ diff --git a/tests/817.out b/tests/817.out index 397e3aa..791cd66 100644 --- a/tests/817.out +++ b/tests/817.out @@ -1,32 +1,37 @@ -REG FFFFEFFFFFFFC895 -REG 0000000000000127 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000127 -REG 0000000000000127 -REG 0000000000007D38 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000127 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000127 -REG 0000000000000127 -REG 0000000000000000 -REG 0003FFFFFF100000 -REG 0000000002200000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004FF80000 -REG 0000000000000000 -REG FFFFFFFFFFFFD9B2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000DD06AB6 -REG 00000000801FE01F +GPR0 000000013B75F01F +GPR1 0000000000000011 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFE4000 +GPR5 FFFFFFFFF1A4FFFE +GPR6 00000000E9A1402D +GPR7 FFFFFFFFFFFFFFFE +GPR8 FFFFFFFFFFFFFFFF +GPR9 000000007FFFFFFF +GPR10 000000003B67C020 +GPR11 0000000000000002 +GPR12 0000000000000000 +GPR13 000000007FED401C +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 8003C75800000000 +GPR17 FFFFC01FFFFFC01F +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000069B40011 +GPR20 00000000A0040000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFF136000 +GPR25 0000000000000000 +GPR26 FFFFFFFF8012BFE3 +GPR27 00000000000021CA +GPR28 0000000000000020 +GPR29 00000000A003FFFF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000003FF8DFF5 +LR 0000000000000000 +CTR 8003C75800000000 +XER 0000000080080000 + diff --git a/tests/818.bin b/tests/818.bin index 885bd25..3123ac7 100644 Binary files a/tests/818.bin and b/tests/818.bin differ diff --git a/tests/818.out b/tests/818.out index 5848416..bdaa66c 100644 --- a/tests/818.out +++ b/tests/818.out @@ -1,32 +1,37 @@ -REG 17BAC4F1957D777F -REG 0000000000000A34 -REG 0000000000000000 -REG 000000000000003A -REG 0000000000000040 -REG 0000000000000000 -REG FFFF807FFFFFFF7F -REG FFFFFFFFFFFFFF7F -REG FFFFFFFFFFFFFFFF -REG FFFBF5FBFFFFFFFE -REG 40000000000000A3 -REG FFFF80BEFFFFFF7F -REG FFFF80BEFFFFFF7F -REG FFFFD4BEFFFFFF7F -REG 0000000048404880 -REG 0000000004AE5200 -REG FFFFFFFFFFFFFFFF -REG 06080808080503FF -REG FFFF807FFFFFFF7F -REG 0000000000000000 -REG FFFFFFF80BEFFFFF -REG FFFF80BEFFFFEFBE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 3FFFFFFFFFCDAA34 -REG 400000003E7C00A3 -REG FFFFFFFFFFFFF03F -REG 0000000110809101 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 000000000001C01C +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFF6 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFE427 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFC0FE +GPR19 0000000000000000 +GPR20 0000000000007B92 +GPR21 0000000000000000 +GPR22 0000000000001FFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000001FFFFFFE +GPR29 0000000000003F01 +GPR30 0000000000000000 +GPR31 +CR 0000000033B00959 +LR FFFFFFFFFFFE3FE0 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/819.bin b/tests/819.bin index 0be4a61..955d368 100644 Binary files a/tests/819.bin and b/tests/819.bin differ diff --git a/tests/819.out b/tests/819.out index 5c336d0..c0c9c17 100644 --- a/tests/819.out +++ b/tests/819.out @@ -1,32 +1,37 @@ -REG E990479363BFED0F -REG 800000008D300000 -REG 0000000000000044 -REG 0000000000000000 -REG FFCAC21B091F0744 -REG 80000000000078CB -REG 000000000000001A -REG 0000000000000000 -REG 0000000000000000 -REG 00000BB400000004 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000387 -REG 566FB004000021A2 -REG 220D0F0E80000000 -REG 0000000000000A75 -REG 0000000000000000 -REG FFFFFFFFFFFFDE5E -REG FFFFFFFF0751FFFF -REG 400000BB40000000 -REG 0000010000000000 -REG 00000000D88E0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000014 -REG FFFFFFFFFFFFF9A0 -REG 0000010000000A75 -REG 0000000000000000 -REG 566FB0045C406253 -REG 000000000000001A -REG 00000000123F0F2E +GPR0 4000038040000380 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000040 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000700100000001 +GPR8 000000000001BFE4 +GPR9 000000000000E000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000001C000 +GPR12 000000000001C020 +GPR13 000000000000001C +GPR14 0000000000000000 +GPR15 000000000001C004 +GPR16 FFFFFFFFD6E6001C +GPR17 000000000001C004 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFC0 +GPR20 000000000001C020 +GPR21 FFFFFFFFFFFFFF9F +GPR22 0000000000000040 +GPR23 0000000007000000 +GPR24 FC0000000001C020 +GPR25 0000000000000020 +GPR26 FFFFFFFFFFFE3FDE +GPR27 0000000000000000 +GPR28 0400000000000000 +GPR29 FFFFFFFFFFFF1FFF +GPR30 0000000006FFFFFF +GPR31 +CR 000000009F509853 +LR 000000000001C034 +CTR 0180400000000000 +XER 0000000080000000 + diff --git a/tests/82.bin b/tests/82.bin index b45e0ed..6568faa 100644 Binary files a/tests/82.bin and b/tests/82.bin differ diff --git a/tests/82.out b/tests/82.out index 482d707..21366fd 100644 --- a/tests/82.out +++ b/tests/82.out @@ -1,32 +1,37 @@ -REG 0000000000040500 -REG 0000006AA0000000 -REG FFFFFFFFFFFFFFE6 -REG 000000000000240E -REG 0000000000000000 -REG 000000000FC7FC01 -REG 0000000000000000 -REG 0000002000000011 -REG 00000000FB9C0000 -REG 0000000074E50500 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9FFF -REG 0000000000000020 -REG FFFFFFFFCFC7C110 -REG 0000000022AF0FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFDD50F01E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF00000000 -REG FFFFFFFFFFFBFB00 -REG 0000000000000000 -REG 0000000049908889 +GPR0 00008E5500008E4E +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 50B079A40FFF6E00 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000FFFFFFF +GPR9 0000021FFFFFFFE1 +GPR10 0000000000001454 +GPR11 0000000051509091 +GPR12 0000000000000000 +GPR13 0000380380000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000FE07FF01 +GPR17 0000000000000000 +GPR18 00000000FE07FF00 +GPR19 0000000051509092 +GPR20 0000000000001454 +GPR21 0001C01B10932308 +GPR22 0000000000000000 +GPR23 000000015FFFFFEA +GPR24 0000000051509092 +GPR25 0000000000000000 +GPR26 0000000051509092 +GPR27 0000000000000000 +GPR28 0000000000000016 +GPR29 FFFFFFFFEEB1FFFF +GPR30 00000000B119001B +GPR31 +CR 000000005150919A +LR 0000000000000000 +CTR 000000000FFFFFFF +XER 0000000080007FB4 + diff --git a/tests/820.bin b/tests/820.bin index a4161ff..b0e843b 100644 Binary files a/tests/820.bin and b/tests/820.bin differ diff --git a/tests/820.out b/tests/820.out index 09a61bc..576c210 100644 --- a/tests/820.out +++ b/tests/820.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF60F8 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FE70001851A0505B -REG 0000000000000040 -REG FFFFFFFFFFFFFFDF -REG 0000000000001000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 8000000000000000 -REG FFFFFFFFFFFFFFE1 -REG FFFFFFFFFFFF2E57 -REG 0000000000000020 -REG 0000000080000000 -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 000000000000003F -REG FFFFFFFFAF39FFFF -REG 0000002000000020 -REG 0000000100000091 +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000000E +GPR4 FFFFFFFFFFFFFFBF +GPR5 FFFFFFFFFFFFFFFF +GPR6 000000000A900000 +GPR7 0000000000000000 +GPR8 00000000001FF000 +GPR9 0000000000000000 +GPR10 000000000001C034 +GPR11 0000000000000000 +GPR12 0000000000000608 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 002A6D10A9A9E47A +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 002A6D10A9A8245A +GPR20 FFFFFFFFFFFE3F9F +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 000000000A900000 +GPR24 FFFFFFFFFFE00FFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003779AB29 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000031 + diff --git a/tests/821.bin b/tests/821.bin index 316ec01..838971a 100644 Binary files a/tests/821.bin and b/tests/821.bin differ diff --git a/tests/821.out b/tests/821.out index 77bc7bb..a631780 100644 --- a/tests/821.out +++ b/tests/821.out @@ -1,32 +1,37 @@ -REG 20006CDD25616800 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF3FAFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 20006CDD200004DD -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF4363 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF4360 -REG 0000000000000000 -REG FFFFFFFFDA9EFFFE -REG 0000000020006CDD -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 1E45B8E0001FFFFF -REG 27E00000000017ED -REG 0000000000000000 -REG 0000000000000000 -REG 19F4453FC97ED27E -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 000000000000008B -REG 27E00000000017ED -REG 0000000000000001 -REG 0000000100800080 +GPR0 0020000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFF17A20000 +GPR5 0000000000000001 +GPR6 000000000001C020 +GPR7 0000000000000001 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000017A1F35C +GPR13 0000000000000000 +GPR14 FFE0000000000000 +GPR15 001FFFFFE85E0CA3 +GPR16 0000000000000000 +GPR17 000000003D4F0000 +GPR18 000000000012F64E +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 001FFFFFFFFFFFFF +GPR22 0000000000000022 +GPR23 0000002000000020 +GPR24 FFFFFFFF457DC82A +GPR25 FFFFFFFFFFFFFFFD +GPR26 0000000000000000 +GPR27 0000080000000000 +GPR28 000000000000000F +GPR29 0000000000000001 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000094590801 +LR 0000002000000020 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0000000 + diff --git a/tests/822.bin b/tests/822.bin index c49bb1d..179aa98 100644 Binary files a/tests/822.bin and b/tests/822.bin differ diff --git a/tests/822.out b/tests/822.out index 4eab62c..eed351b 100644 --- a/tests/822.out +++ b/tests/822.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFE01E044 -REG FFFFFFFF8DFFFB2E -REG 0817F7F7F7F7B69F -REG 0817F7F7F7F7F792 -REG FFFFFFFFFFFFA3DE -REG FFFFFFFFE685FFFF -REG FFFFFFFFFE01E3BB -REG F7E8080808084960 -REG F7E8080808080838 -REG 000000000000FFF0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFEF2E -REG 0000000000000000 -REG FFFFFFFFFFFFFFCA -REG 0000000000000000 -REG FFFFFFFFE685FFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFC0000 -REG 0000000000000001 -REG 0000000000000000 -REG 0817F7F7F7F7F792 -REG FFFFFFFFFFFC1E46 -REG 7F7F7F7F7C808140 -REG 0000000000000000 -REG 1342FFFFFFFFFFFF -REG FFFFFFFF8DFFFB2E -REG 0000002000000038 -REG 0000000000000000 -REG 00000000720004D1 -REG 000000005BFFF65C +GPR0 FFFFFFFF000C0A9F +GPR1 FFFFFFFF01E6CFE7 +GPR2 0000000000006CBF +GPR3 0000000000000000 +GPR4 000000007F840000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FC8 +GPR7 0000000000000001 +GPR8 FFFFFFFFFFFFFFFF +GPR9 001FE40000214038 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000000038070 +GPR14 0000000080000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFE3FC8 +GPR17 000000000001C020 +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFE3FC8 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000003 +GPR22 0000000000000000 +GPR23 0000000000003FC8 +GPR24 0000000000000005 +GPR25 FFFFFFFFC1FFFFFF +GPR26 0000000000000020 +GPR27 0000000000003018 +GPR28 FFFFFFFFFFFFFFFE +GPR29 FFFFF9D63623BDA8 +GPR30 1F0EB7F2926EE290 +GPR31 +CR 0000000099CFBF3B +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/823.bin b/tests/823.bin index 25bbd45..0002431 100644 Binary files a/tests/823.bin and b/tests/823.bin differ diff --git a/tests/823.out b/tests/823.out index 9818d61..e235f6f 100644 --- a/tests/823.out +++ b/tests/823.out @@ -1,32 +1,37 @@ -REG 0007FFFE0007FFEF -REG 0000000000000020 -REG FFFFFFFFFFFFFFE0 -REG 00000000870E0984 -REG 0000000000000000 -REG E41ED9DFE8FED960 -REG 0000000000000000 -REG 0000000000000001 -REG 000092A414FFDE44 -REG FFFFFFFFFFFFB6AE -REG FFFFFA72FFFFB5E4 -REG 0000000000080000 -REG 00000000FC6A0000 -REG 00000000470E0984 -REG FFFFFFFEFFFFB6AE -REG 0000000000000001 -REG 0000000000000002 -REG 0000000000000000 -REG 0000001F0000001A -REG 0000000000012680 -REG 000000200000001A -REG 0000000000000000 -REG 0000000000000000 -REG 00000000870E0984 -REG 0000000000000020 -REG 000000DFD0E6819A -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFA72FFFFB5E4 -REG 000000004E1C9308 +GPR0 FFFFFFFFFFFFFFFE +GPR1 FFFFFFFF86FC8C40 +GPR2 0000000000000000 +GPR3 000000003803E000 +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000043803E000 +GPR10 000000043803E001 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFDE3FE1 +GPR14 0000000000000000 +GPR15 000000000000FEFF +GPR16 000000000000FEFF +GPR17 0000000000000000 +GPR18 0000000000000038 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000006 +GPR24 FFFFFFFFFFFFFFC7 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 0000000000000000 +GPR29 FFFFFFFFFFDE3FE1 +GPR30 0000000086FC8C40 +GPR31 +CR 0000000030850000 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/824.bin b/tests/824.bin index 7f095ca..2ddde54 100644 Binary files a/tests/824.bin and b/tests/824.bin differ diff --git a/tests/824.out b/tests/824.out index deab0ef..62de9a1 100644 --- a/tests/824.out +++ b/tests/824.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFB6 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG FFFFFFFFFF0001FE -REG 0000000000000000 -REG 000000000000387D -REG FFFFFFFFFFFFC7C3 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000383C -REG F000000000000000 -REG 0808080808000107 -REG 0000000000000005 -REG 000000000000398D -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG FFFFFFFFFF0001FE -REG FFFFFFFFFFFFFFDF -REG 0000000000000002 -REG 0000000400000000 -REG 0000480000000000 -REG FFFFFFFFFFFFFF9F -REG F000000000000000 -REG FFFFFFFFFFFFFF9F -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000404 -REG 0000000048890104 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF00 +GPR3 0000000000000000 +GPR4 000000005099D032 +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 FFFFFFFFFFFFFFF6 +GPR8 000000000A1339FE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000000CA80 +GPR14 000000000A1339FE +GPR15 000000000034276A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFF2B +GPR21 000000005099CFF2 +GPR22 000000000000E77A +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000008 +GPR27 0000000080000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFED +GPR30 FFFFFFFFAF4C1958 +GPR31 +CR 000000000099E400 +LR 0000000000000008 +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/825.bin b/tests/825.bin index 767f526..7588b9f 100644 Binary files a/tests/825.bin and b/tests/825.bin differ diff --git a/tests/825.out b/tests/825.out index 5250911..2bf4984 100644 --- a/tests/825.out +++ b/tests/825.out @@ -1,32 +1,37 @@ -REG 07FFB82107FF9C35 -REG FFFFFFFFFFFFFFFB -REG 003FFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001040300 -REG FFFFFFFFFFFFFFFB -REG FFFFFFFF800023EB -REG 0000000000000000 -REG 0000000001040000 -REG 0000000000000020 -REG FFFFFFFFCC220300 -REG 00000000FFFFFFDF -REG FFFFFFFFFFFFFFFB -REG FFFFFFFFFFFC3E5C -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFB -REG 0000000000000000 -REG 07FFF7FFFFFFDC15 -REG 07FFF80000000000 -REG 0000000000000000 -REG 000000000000D784 -REG 1FFFFFF00001049F -REG 0000000054060000 -REG 000000000104353D -REG 99EEFE7F19EF236B -REG 07FFF800B36A0000 -REG 0000000080028910 +GPR0 FFFFFFFFFFFFD0ED +GPR1 FFFFFFFFFFFF2E46 +GPR2 0000000000000000 +GPR3 FFFE42F1C6C23FFC +GPR4 3FA1C5FFFFFFFFFF +GPR5 0000000080000000 +GPR6 0000000000000000 +GPR7 FFFE42F1C6C23FFC +GPR8 FFFFFFFFFFFFD089 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFE1EE0000 +GPR12 FFFFFFFFFFFE3FDF +GPR13 0000000000001E00 +GPR14 FFFFFFFFFFFF8829 +GPR15 FFFE42F1C6C23FFC +GPR16 000000000000000F +GPR17 0000000101CFD194 +GPR18 0000000000000000 +GPR19 0000000000000E46 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000009000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000000001C020 +GPR26 0000000101CFFEE2 +GPR27 0000000000000000 +GPR28 0000000001CFD194 +GPR29 0000000101CFD194 +GPR30 0000000000000000 +GPR31 +CR 00000000399BEF5D +LR 0000000000000000 +CTR FFFFFFFFFFFF2E46 +XER 00000000C0080000 + diff --git a/tests/826.bin b/tests/826.bin index 043125b..408a703 100644 Binary files a/tests/826.bin and b/tests/826.bin differ diff --git a/tests/826.out b/tests/826.out index 73e4024..05405e2 100644 --- a/tests/826.out +++ b/tests/826.out @@ -1,32 +1,37 @@ -REG F42083748A4893CE -REG 0000000000005242 -REG 0000000000000000 -REG 0000000000000940 -REG 0000000004000000 -REG 0000000000009257 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000004008ABC -REG 0000000000000960 -REG 0000000000000000 -REG FFFFFFFFC5F00000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000004000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE2 -REG 0000000001FFC025 -REG FFFFFFFFFFFFFFE2 -REG 0000000001FFC025 -REG 0000000000000000 -REG 000000003A0FFFBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 00000000000022C0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFB600 -REG 0000000088480890 +GPR0 0000000000008003 +GPR1 0000000095B90000 +GPR2 FFFFFFFFFFFFFFD2 +GPR3 0000000000000000 +GPR4 00000000C0FEC020 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000018 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C020 +GPR11 FFFFFFFFFFFFFFF0 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000000001B +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFFFFFFFFFF +GPR19 000000000000C000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000010 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFE8 +GPR25 0000000400000004 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000003804 +GPR30 0000000000000000 +GPR31 +CR 0000000033933599 +LR 0808080808080807 +CTR FFFFFFFFFFFFFFFF +XER 0000000080038400 + diff --git a/tests/827.bin b/tests/827.bin index e2827dd..0112c9c 100644 Binary files a/tests/827.bin and b/tests/827.bin differ diff --git a/tests/827.out b/tests/827.out index 796244d..f4e5cd1 100644 --- a/tests/827.out +++ b/tests/827.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF32C83A5 -REG FFFFFFFFFFFFFFF0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000000200000001C -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFEB5D92A5 -REG 0000000000000000 -REG FFFFFFFFFFFFEF9B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFEF9B -REG 000000000000587D -REG 0000000000000000 -REG 00000000000093C0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFC0 -REG FFFFFFFFFFFFFFFF -REG 00000000F32C0000 -REG FFFFFFFFFFFFFFF0 -REG 0000000000000000 -REG 0000000088FE8899 +GPR0 FFFFFFFF86CAFFD2 +GPR1 FFFFFFFFFFFFB25A +GPR2 0000000000005B45 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFD2 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000004DA5 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 000000007935002E +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 FFFFFFFFFFFFA4DB +GPR22 0000000000000020 +GPR23 0000000000000040 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000000334D +GPR29 FFFFFFFFFFFFFFFF +GPR30 0340020000000000 +GPR31 +CR 0000000050523B93 +LR FFFFFFFFFFFFFFD2 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040001 + diff --git a/tests/828.bin b/tests/828.bin index 661b939..fff28cf 100644 Binary files a/tests/828.bin and b/tests/828.bin differ diff --git a/tests/828.out b/tests/828.out index aee4552..b386b43 100644 --- a/tests/828.out +++ b/tests/828.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFDC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 001B800000000000 -REG FFFFFFFF6F783984 -REG 0000000000000000 -REG 0000000000020000 -REG 7FFFDC56000FDC56 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000040 -REG 0000000010000000 -REG 0000000000FFFFFF -REG 7FFFDC56000FDC56 -REG FFE4800000FFFFFF -REG 0000000000FFFFBF -REG 0040FC000100FBFF -REG 0000000000000000 -REG 0000000000FFFFFF -REG 0040FC000000FC00 -REG 8000000000000000 -REG FFFFFFFF00FFFFFF -REG FFFFFFFFFBFFFFE3 -REG 0000000000000000 -REG 80000000000008EA -REG 0000000000000000 -REG 000000008800107C +GPR0 FFFFFFFFFFEDB82A +GPR1 000000000000001C +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000004FFFFFFF +GPR11 0000000001E9C01B +GPR12 00000000001247D6 +GPR13 0000000000000000 +GPR14 00000000000001BC +GPR15 00000000C1E4001C +GPR16 0000000000000020 +GPR17 0000000000000002 +GPR18 0000000001FC07F0 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000000 +GPR22 0000000050000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000010000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFDF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035317040 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFFD +XER 00000000E00C0000 + diff --git a/tests/829.bin b/tests/829.bin index 3a4cdf1..ccb45b7 100644 Binary files a/tests/829.bin and b/tests/829.bin differ diff --git a/tests/829.out b/tests/829.out index 5d327ce..29bbdd5 100644 --- a/tests/829.out +++ b/tests/829.out @@ -1,32 +1,37 @@ -REG F3E9BFFDFD49032C -REG 0000000000000000 -REG 0000000000059804 -REG 0000000000000000 -REG 0000000000059804 -REG 0000000000000000 -REG B56E92F896F3FD30 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 0000000017EAC4D3 -REG 00000000FFFFFFF0 -REG 0000000000000000 -REG 00000000F63D9819 -REG 000001012008000A -REG 0000000000059819 -REG FFFFFFFFFFFE5EDB -REG FFFFFFFF84900001 -REG 0000000000000020 -REG 0000000000000000 -REG 00003FFFFFFFFFFF -REG FFFFFE5EDB1452C5 -REG 00000000E897EF74 -REG 0000000000000000 -REG 0000000008080804 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD76FC822 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000100010008 +GPR0 0000000000009894 +GPR1 000000000001C01C +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 000000000000145E +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFE9 +GPR8 0000000000010020 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFEFFDF +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 000000000000E010 +GPR15 FFFFFFFF9341FC20 +GPR16 0000000000000000 +GPR17 0000000000000005 +GPR18 000000000001C021 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFEFFDF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000014608BC0 +GPR30 0000000000000000 +GPR31 +CR 0000000090095050 +LR 000000000001C020 +CTR FFFFFFFFFFFFFFE9 +XER 00000000A0040000 + diff --git a/tests/83.bin b/tests/83.bin index 23304d5..d8f751f 100644 Binary files a/tests/83.bin and b/tests/83.bin differ diff --git a/tests/83.out b/tests/83.out index 60d39d9..cf2ffde 100644 --- a/tests/83.out +++ b/tests/83.out @@ -1,32 +1,37 @@ -REG FFE1FFFFFFFFFFF9 -REG 0007800000000000 -REG FFFFFFFFF07FFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000015 -REG 0000000000000000 -REG 0000000001400000 -REG 0000000000000000 -REG FFFFFFFF360DFFFF -REG 00000000FC4A0000 -REG 00000000000064F2 -REG FFFFFFFFFEBFFFFF -REG 0000000000080000 -REG 0000000000000000 -REG 000001FFF1408F00 -REG 00000000107FFF00 -REG FFFFFFFFFFFFFFFF -REG FFF87FFF03B5FFFF -REG FFFFFFFFFC3FF5F1 -REG 0000000000010000 -REG 000003AFDC530000 -REG 000000200000001B -REG FFFFFFFFFFFFFFFF -REG 0000000000A00000 -REG 0000000000000000 -REG 00000000D2B20000 -REG 0000000000002B73 -REG 0000000000000000 -REG 00D97F85CFE10000 -REG 0000000000000000 -REG 0000000040909080 +GPR0 0000000000003ABA +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000006 +GPR9 000000000001C020 +GPR10 0000000000000001 +GPR11 0000000000000019 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000014122 +GPR15 FFFFFFFFFFFFC505 +GPR16 0000000000008FF2 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000003AFA +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000457389947FEA3 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 000000000CCCC75B +GPR29 0000000000000039 +GPR30 0000000000000000 +GPR31 +CR 0000000055040009 +LR 0000000000000000 +CTR FFFFFFFFFFFFDBBD +XER 0000000080000000 + diff --git a/tests/830.bin b/tests/830.bin index b1fe717..a6ff369 100644 Binary files a/tests/830.bin and b/tests/830.bin differ diff --git a/tests/830.out b/tests/830.out index 12dc2d7..6c0949d 100644 --- a/tests/830.out +++ b/tests/830.out @@ -1,32 +1,37 @@ -REG 000000200000002B -REG FFFFFFFFFFFFFFFF -REG FFFFFFDFFFFFFFDF -REG 0000000000000000 -REG 000000000000000C -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003000 -REG 000000000000EB12 -REG 0000000000000000 -REG 0000000000002850 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004468 -REG 000000000000EB12 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFF78DA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004468 -REG 0000000000000000 -REG FFFFFFFFFFFFCFFF -REG 000000000000EB12 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000400011E0 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000016 +GPR3 F000080000007FFF +GPR4 00000000D0760016 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000001 +GPR8 0000000000000014 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000001B +GPR13 00000000D0760016 +GPR14 00000344168FEB72 +GPR15 0000000000000001 +GPR16 000000000000001B +GPR17 FFFFFFFFFFFFFFEA +GPR18 0000000000000000 +GPR19 FFFFFFFFDD760016 +GPR20 0000000000000000 +GPR21 0000000000000016 +GPR22 FFFFD5FFFFFFD5EF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFE7CB +GPR26 FFFFFFFFFFFFFFFE +GPR27 00000000F0F8F705 +GPR28 000000000000CB28 +GPR29 FFFF2F8780072F88 +GPR30 00000000DD760016 +GPR31 +CR 000000005055F839 +LR 0000000000000000 +CTR 00000000003FFFEF +XER 00000000A0040000 + diff --git a/tests/831.bin b/tests/831.bin index 7d1b640..d7b35f7 100644 Binary files a/tests/831.bin and b/tests/831.bin differ diff --git a/tests/831.out b/tests/831.out index 139bc4a..ff3ccdb 100644 --- a/tests/831.out +++ b/tests/831.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFDFFFDFF -REG 0000000000000401 -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFFDE175FF87 -REG 0000000000000000 -REG 0000000000002769 -REG 00000076F22BC000 -REG 0000000000000000 -REG FFFFFFFFFFFF880C -REG 0000000000000020 -REG 0000000088270000 -REG 0000000000000020 -REG 0000000000000000 -REG 000001FBFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000004000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003B80 -REG 0000000000000000 -REG 0000000002000501 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFF7FFFFFFF7FFF -REG 0000000083128090 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 000001C01FFFFFE1 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 FFFFFFFFFFFFFFDF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000001FE000001FE +GPR13 000000000001C006 +GPR14 FFFFFFFFFFFFFFDF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000009400020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 96836C70F798D260 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 00000000C0001FE7 +GPR30 000000000001C005 +GPR31 +CR 0000000039319F9C +LR 0000000000003F26 +CTR 00307228DBDCDA6F +XER 00000000C0080000 + diff --git a/tests/832.bin b/tests/832.bin index 4fcc754..e6e2473 100644 Binary files a/tests/832.bin and b/tests/832.bin differ diff --git a/tests/832.out b/tests/832.out index e8025c0..627e3bc 100644 --- a/tests/832.out +++ b/tests/832.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFDE -REG 0000000000000015 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFA2FA -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000021 -REG FFFFFFFFFFFFA2D9 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000008AE3FFFFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFA2D9 -REG 0000001E0000001E -REG 0000000000000000 -REG 0000000000000000 -REG 882CF96400000000 -REG FFFFFFFFFFFFA2F9 -REG 0000000000600000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFA2D9 -REG 0000000000000001 -REG 8FFFFFFFFFFFA2D8 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 05D5B00000000000 -REG 0000000040000000 -REG 000000004880BB0C +GPR0 FFFFFFFFD7AE3FFB +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 0000000000000001 +GPR6 000000000001C02C +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000012 +GPR13 00000B6000000B5D +GPR14 00007FFFFFFFEBD0 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000004897 +GPR17 0000000000000000 +GPR18 00000B6000000B60 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFE +GPR23 0000000000002C57 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000C0B6000000B60 +GPR28 0000000000000001 +GPR29 0000000000000280 +GPR30 0000000000000000 +GPR31 +CR 000000003A9C00C0 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 0000000080000003 + diff --git a/tests/833.bin b/tests/833.bin index b217de0..2b5cd02 100644 Binary files a/tests/833.bin and b/tests/833.bin differ diff --git a/tests/833.out b/tests/833.out index 51a1bdc..f941db4 100644 --- a/tests/833.out +++ b/tests/833.out @@ -1,32 +1,37 @@ -REG A21200805CE80480 -REG 000002037C8DFFFF -REG 000000060000000B -REG FFFFFFFFFFFFFFFF -REG 000103BFC145D4C9 -REG 0000000000000000 -REG B36FFFE1FFFFFFF5 -REG FFFFFFFFD31CFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000026 -REG 0000000000000001 -REG 0000000000000079 -REG FFFFFFF800000FFF -REG 0013508041142E92 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000002B -REG 0000000000200000 -REG 0000000000000000 -REG 000103BF9B2B515E -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000B -REG FFFFFFFFFFFFFFFF -REG 000103BF7CE55160 -REG 000000000000AC00 -REG 000000001E45FFFE -REG 0000000081089081 +GPR0 0000000000000020 +GPR1 0000000000000001 +GPR2 0000000000000001 +GPR3 0000064000002060 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 00000000A0070080 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFD3 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000007FFC +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000008002 +GPR23 FFFFFFFFFFFFFF00 +GPR24 FFFFFFFFFFFFFFE7 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFF83D3 +GPR28 00000206000034E4 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030203045 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0070080 + diff --git a/tests/834.bin b/tests/834.bin index ee04184..597230d 100644 Binary files a/tests/834.bin and b/tests/834.bin differ diff --git a/tests/834.out b/tests/834.out index b47e7ba..eab0b83 100644 --- a/tests/834.out +++ b/tests/834.out @@ -1,32 +1,37 @@ -REG 00167D0025DAF423 -REG 00000000E674C188 -REG 0000000000180000 -REG C47CE0B7047CE0B1 -REG 00000000E672C188 -REG 0000000000000000 -REG AFFF0000EC630000 -REG 000000000000AFFF -REG 0000000000180000 -REG 0000002FFFFFCD54 -REG FFFFFFFFE5C2FFFC -REG 0000000000000000 -REG 0000000000020000 -REG 0000000000000000 -REG 3FFFFFD0000032A4 -REG FFFFFFCFFFFFFFFF -REG 00000000E674C188 -REG 0000003000000000 -REG C2FFFC00068F47E5 -REG C2FFFC00000007E5 -REG 0000000000180000 -REG 0000000000000000 -REG 00000000068F4000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005438 -REG 3FFFFFFFFFFFFFF9 -REG 000007E5000007E5 -REG 0000000000000004 -REG 0000000000002BFF -REG 0000000098C00805 +GPR0 FFFFFFFE234B82B5 +GPR1 0000000000039A59 +GPR2 00000000E0FB4896 +GPR3 FFFFFFFFFFFF9A5A +GPR4 FFFFFFF000000000 +GPR5 0000000000000001 +GPR6 00000000EF5B7840 +GPR7 0000000000000000 +GPR8 FFFFFFFF7FFC65A4 +GPR9 0000000000000000 +GPR10 FFFFFFFE234B82B5 +GPR11 0000000000039A59 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFD00000000 +GPR19 E0001FFFFFFE3FC2 +GPR20 0000000000000000 +GPR21 0000000000000001 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000FFFFFFFF +GPR25 FFFFFFFFFFFC65A5 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 000000000001C034 +GPR29 0000000000000000 +GPR30 0000000000039A5A +GPR31 +CR 000000003590559E +LR 0000000000000000 +CTR FFFFFFFFFFFFC01E +XER 00000000A0079A5A + diff --git a/tests/835.bin b/tests/835.bin index 715ce61..61dcf96 100644 Binary files a/tests/835.bin and b/tests/835.bin differ diff --git a/tests/835.out b/tests/835.out index 206d1b0..d7eceb0 100644 --- a/tests/835.out +++ b/tests/835.out @@ -1,32 +1,37 @@ -REG 0000000080428428 -REG 00000000000001EE -REG 00000000000001EF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080428428 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000CB62 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000040428428 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000DE5E0000 -REG 00000001000509D0 +GPR0 0000000007FFD4CC +GPR1 0000000000000000 +GPR2 000000003FFFF915 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFC00006EA +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000003FF9150 +GPR11 000000003FFFF915 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000003FFFF915 +GPR16 0000000000000000 +GPR17 0000000000000020 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFE1F +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFF8867 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFA21A +GPR31 +CR 0000000055F9B515 +LR 0000000000000000 +CTR 0000000041700000 +XER 00000000C0080000 + diff --git a/tests/836.bin b/tests/836.bin index 4547fda..785b45a 100644 Binary files a/tests/836.bin and b/tests/836.bin differ diff --git a/tests/836.out b/tests/836.out index 06dd19e..d2d9215 100644 --- a/tests/836.out +++ b/tests/836.out @@ -1,32 +1,37 @@ -REG EEF1C7FFD68987FE -REG 3BD2B48000000000 -REG 0000000000000004 -REG D687FAF7D687FAF7 -REG 000000008E174948 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000004948 -REG 0000000000000001 -REG 00000FFC0000000D -REG 00000000FAD12233 -REG EFFFFFFF00000001 -REG 0000000000000028 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFF00000000 -REG 0000000080000000 -REG 212533B2200003B2 -REG 00000FFC00004CB0 -REG 0000000000000013 -REG FFFFFFFFFFFFFFFF -REG 00000000FFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 10D8C000212533B2 -REG 00000FFC00004CB0 -REG F000000000000000 -REG FFFFFBFFFFFFF9FF -REG DEDACC4DDFFFFC4D -REG FFFFFFFFFFFFFFFF -REG 0000000100000000 -REG FFFFFFFF00000004 -REG 0000000110491291 +GPR0 0FFFFFFFFFFFA503 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFEF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000FE3FD9 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFC +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFE3FD9 +GPR19 0000000000000010 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000005AFC +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFF006BF0 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000038000900 +LR 0000000000000000 +CTR 000000000000D30C +XER 00000000A0040000 + diff --git a/tests/837.bin b/tests/837.bin index f29d21d..493d17c 100644 Binary files a/tests/837.bin and b/tests/837.bin differ diff --git a/tests/837.out b/tests/837.out index b68dc9e..e0fbc27 100644 --- a/tests/837.out +++ b/tests/837.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 0000000000F2D59F -REG FFFFFFFFFFFFFFFF -REG 00000003BF9EFC00 -REG 00000003FFDEFC00 -REG 00000003BEC0FC00 -REG 1E5AFFFFFE5AFFF9 -REG FFFFFFFFFFFFFFFF -REG 201EDD5D98BD6C00 -REG 0808080808030200 -REG FFFFFFFFFFFFFFB6 -REG 00000003BEC0CE45 -REG E1A5000001A50006 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000012 -REG 0000000000000000 -REG 00000000403FCDB6 -REG 1E5B00001E5AB3F0 -REG 00000000403FCDB6 -REG FFFFFFFFFFFFB3F6 -REG 0000000000000000 -REG FFFFFFFFC090324A -REG FFFFFFFFFFFFFFBF -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 00000003FFDEFC00 -REG 0000000000000000 -REG 0000000000000000 -REG 201EDD5D98BD6C00 -REG 0000000091B00901 +GPR0 0000000000006475 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000715A +GPR4 FFFFFFFFFFFFFFFF +GPR5 000000003015F515 +GPR6 0000000000000000 +GPR7 0000000000000001 +GPR8 00000000C008000C +GPR9 00000000307D5355 +GPR10 0000000000000000 +GPR11 0000000000000006 +GPR12 FFFFFFFFFFFFFFD5 +GPR13 0000000000006475 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 FFFFFFFFFFFFCEA5 +GPR18 FFFFFFFFCFEA0AEC +GPR19 00000000AFD94EA0 +GPR20 FFFFFFFFFFFFFFD5 +GPR21 0000000000006475 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFA +GPR29 0000000000000000 +GPR30 00000000307DD355 +GPR31 +CR 0000000050790314 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008000000C + diff --git a/tests/838.bin b/tests/838.bin index a270246..31fad9b 100644 Binary files a/tests/838.bin and b/tests/838.bin differ diff --git a/tests/838.out b/tests/838.out index 622b9b2..48d4436 100644 --- a/tests/838.out +++ b/tests/838.out @@ -1,32 +1,37 @@ -REG 000000002A4D360F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFF02509 -REG FF800FFFFF800FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000FFFE1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 00000000000FFFE1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000000000 -REG 000000002FC80000 -REG 0000000000000000 -REG 000000000000B961 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000D360E -REG 000000005FE1E9FE +GPR0 FFFFFFFFFFFFFFFD +GPR1 0000000000004000 +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFC9C4 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000009C71 +GPR11 0000000000000007 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C004 +GPR16 0000000000000000 +GPR17 0000000000004000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000008084 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033D19009 +LR 0000000000000000 +CTR 000000000001C010 +XER 000000008003FFFD + diff --git a/tests/839.bin b/tests/839.bin index 73748ec..192de22 100644 Binary files a/tests/839.bin and b/tests/839.bin differ diff --git a/tests/839.out b/tests/839.out index f4a8280..f6a47e5 100644 --- a/tests/839.out +++ b/tests/839.out @@ -1,32 +1,37 @@ -REG 9D00000000000030 -REG FFFFFFFFFFFFFFFF -REG 0000000020000000 -REG 0000000000148000 -REG FFFFFFFFFFFFEF63 -REG 0000000000000000 -REG 0000000000000019 -REG 000000000000109D -REG 0000000000000001 -REG 0000000000000000 -REG 0000000300000000 -REG 0000000000000000 -REG FC40C0544FCEA000 -REG 0000000000000000 -REG 00000054749D57D9 -REG 0000000000000000 -REG 00000000FFFFFA6F -REG 00000000E1CC0001 -REG 0000000000000000 -REG FFFFFFFFFFFFFD37 -REG FFFFFFFFFFFFFFFF -REG 02003D2AA020109C -REG 000000000000109D -REG FFFFFFFFFFFFD08E -REG D45371AE75681BE0 -REG E000000000000000 -REG 0000000000000000 -REG FFFFE00000000000 -REG FC40C0544FCE8F63 -REG 0000000000000000 -REG 00000000E2EC0000 -REG 0000000081E1C8C8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 00000000F9C7FFFF +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 00000000000007CE +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000008A35 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000080000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 000000002AAA9F8E +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000055109F0B +LR 0000000000021D6F +CTR 00000000F9C7FFFF +XER 0000000080000000 + diff --git a/tests/84.bin b/tests/84.bin index a18f315..fdbc2cb 100644 Binary files a/tests/84.bin and b/tests/84.bin differ diff --git a/tests/84.out b/tests/84.out index 1286d33..42f5648 100644 --- a/tests/84.out +++ b/tests/84.out @@ -1,32 +1,37 @@ -REG FFFFFFFFC1940000 -REG 0000000000000040 -REG 0000000000000006 -REG FFFFFFFFFFF020FF -REG 0000002100000021 -REG 0000000000300000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000000 -REG FFF01F21FFF01F21 -REG FFFFFFFFFFFFC000 -REG F4F3FFFFC72B0000 -REG 000000008FF84284 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 000000007FFFFFFF -REG 0000000000000000 -REG 0000000000307CEA -REG 0000000000000000 -REG FFFFFFFFFFFF9FDD -REG 0000000000000000 -REG 0000000000000000 -REG F4F3FFFFFFFF0000 -REG 00000020FFFFFF22 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG FFFFFFFFFFF01FFF -REG 000000005FF10508 +GPR0 992C0D4B435B7EB3 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 FFFFFFFFC801C01E +GPR4 FFFFFFFFC801C01F +GPR5 FFFFFFFFC7FFFFFF +GPR6 FFFFFFFFC7FFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000038000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000004 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000038000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000FFF +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 000000003E955594 +GPR30 000000008C4F0000 +GPR31 +CR 000000003E955594 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00E318C + diff --git a/tests/840.bin b/tests/840.bin index 5a15d15..fc2edbe 100644 Binary files a/tests/840.bin and b/tests/840.bin differ diff --git a/tests/840.out b/tests/840.out index 525b455..6f18f4b 100644 --- a/tests/840.out +++ b/tests/840.out @@ -1,32 +1,37 @@ -REG 006D9C2009269E13 -REG 0000000000000000 -REG 0000000004800000 -REG 0000000000000040 -REG FFFFFFFFF6D2000F -REG FFFFFFFFF0036CE0 -REG 0000000024448F84 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFF00FFFF0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000001FFFFFFF -REG 0000000000000020 -REG FFFFFFFFF6D2000F -REG FFFFFFFFFB7F8CFF -REG 0000000004807302 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000009B5D -REG 0000000004807301 -REG 0000000000000000 -REG 0000000004807301 -REG FFFFFFFFFFFFFFFF -REG 0000000000009B5D -REG 0000000022FF000F -REG 0000000088891F08 +GPR0 000000000000E7FC +GPR1 000000000000000B +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000004528 +GPR7 000000000000E7FC +GPR8 00000000000227D2 +GPR9 0000000000005BDC +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000040 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 FFFFFF0000000000 +GPR17 FFFFFFFFFE000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFF1 +GPR20 00000000000227D2 +GPR21 0000000000000000 +GPR22 0000000800000000 +GPR23 00000000000067A6 +GPR24 FFFFFFFFFFFFB10E +GPR25 0000000000000000 +GPR26 0000000000000010 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000002 +GPR30 0000000000000000 +GPR31 +CR 000000009098098B +LR 0000000000000000 +CTR 00000000000227D1 +XER 000000008000000C + diff --git a/tests/841.bin b/tests/841.bin index 78036c8..89c3687 100644 Binary files a/tests/841.bin and b/tests/841.bin differ diff --git a/tests/841.out b/tests/841.out index ca19fc4..381f372 100644 --- a/tests/841.out +++ b/tests/841.out @@ -1,32 +1,37 @@ -REG 000000000000334E -REG 0000000000000039 -REG FFFFFFFFFFC00000 -REG FFFFFFFFFFC00000 -REG 3FFD0000000043C7 -REG 0000000000000000 -REG 3FFD000000000039 -REG 0000000000000008 -REG 000000000000334E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000FF00 -REG FFFFFFFFD4C4FFFF -REG 0000000000000000 -REG 0000000000000000 -REG C002FFFFFFFFFFFE -REG 0000000000000039 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000AF570008 -REG 0000000000000000 -REG 3FFD00009E970039 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFCCB2 -REG 000000000000005E -REG 000000000000331E -REG 0000000000000000 -REG 0000000000000008 -REG 000000000000334E -REG 000000008000111E +GPR0 000000003599496B +GPR1 0000000000000000 +GPR2 0000000060860000 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 00000000E00C0000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFBF68 +GPR11 FFFFFFFFFFFFFF9F +GPR12 0000000060860000 +GPR13 000000000001C020 +GPR14 0000000020110000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000035991155 +GPR17 0000000000000020 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 0000000000000005 +GPR21 0000000000000001 +GPR22 000000000000F7A8 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFBF68 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 000000005951C312 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/842.bin b/tests/842.bin index 0b3645f..ecc09b6 100644 Binary files a/tests/842.bin and b/tests/842.bin differ diff --git a/tests/842.out b/tests/842.out index 35201e2..185db3e 100644 --- a/tests/842.out +++ b/tests/842.out @@ -1,32 +1,37 @@ -REG 00000000000036B7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004040001 -REG 0000000000000000 -REG 0000000000000063 -REG FFFEFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000135 -REG 0000000004040001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000063 -REG 0000000000000000 -REG 0000000000000000 -REG 0020000000008080 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000719A0020 -REG FFFFFFFFFFFFFF3A -REG 0000000040000000 -REG 0000000000005061 -REG 0000000000000000 -REG 0000000000000000 -REG 0001000000000000 -REG 0000000000000000 -REG 0000000000005126 -REG A24C000000000000 -REG 0000000000007809 -REG 00FFFFFFFFFF0000 -REG 0000000000000000 -REG 0000000101110105 +GPR0 0000000043B2B2FA +GPR1 FFFFFFFFFFFFFFFF +GPR2 000000000001C020 +GPR3 000000000000003F +GPR4 FFFFFFFF88155CAC +GPR5 000029FFFDD0001D +GPR6 0000000001800014 +GPR7 0000000000000000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFA001 +GPR14 000000003F8FF780 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 0000000001FC0014 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFF83FC +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 000000000000000E +GPR25 FFFFFFFFBC4D4D04 +GPR26 0000000058EE003F +GPR27 0000000000000000 +GPR28 0000000000000001 +GPR29 0000000000008BBF +GPR30 0000000096380000 +GPR31 +CR 0000000091527898 +LR FFFFFFFFFFFFFFFF +CTR 0000000000006000 +XER 0000000080009403 + diff --git a/tests/843.bin b/tests/843.bin index ccdf0f9..3cf6ad3 100644 Binary files a/tests/843.bin and b/tests/843.bin differ diff --git a/tests/843.out b/tests/843.out index 030969d..4d55621 100644 --- a/tests/843.out +++ b/tests/843.out @@ -1,32 +1,37 @@ -REG FFBE7DF7FFBE536D -REG 0000001B007FFF9B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFF44 -REG 0000000000000000 -REG 0000000000000007 -REG 7D165FFFFD100000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFB4E -REG 000000017D100000 -REG 00000000000004B0 -REG FFFFFFFFFFFFFFFE -REG 0000001B007FFF9B -REG 0000001B008013F5 -REG 00000000800007E5 -REG 0000000000000000 -REG 00000001FD100000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG F803E8B2F7FF8FC3 -REG 0000000000000000 -REG 07FC26078A67703D -REG 0000000000000000 -REG D165F007C0000000 -REG 000000000000002E -REG FFBE7DF7FFBE7DF7 -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG 0000000040010430 +GPR0 0000000035DA1FFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 F492000000000000 +GPR6 0000000000000040 +GPR7 000000000000003C +GPR8 00000000C00C0000 +GPR9 FFFFFFFFFFFFB23C +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0B6E000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000035DA1FFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000004050508 +GPR19 0000000000000000 +GPR20 00C0000000000001 +GPR21 FFFFFFFFFFC000FD +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000035DA1FFF +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000304A5093 +LR 0000000000000023 +CTR FFFFFFFFFFFFB23C +XER 0000000080000000 + diff --git a/tests/844.bin b/tests/844.bin index f2aebb3..8e208b6 100644 Binary files a/tests/844.bin and b/tests/844.bin differ diff --git a/tests/844.out b/tests/844.out index 51d9e9d..94cc059 100644 --- a/tests/844.out +++ b/tests/844.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBFFDC020 -REG 00000000000003FF -REG FFFFFFFFFFFFFF04 -REG 0000000000000000 -REG 000000000000CB02 -REG 0000000219880000 -REG 00000000000046D0 -REG 7FFF7EEA08004D0D -REG 0000000000000000 -REG 0000000000000304 -REG 0000000400000000 -REG 0000000000000001 -REG 0000000400002A33 -REG 0000000000000E3C -REG 0000000000000000 -REG 0000000400000000 -REG 0000000040000000 -REG FFFFFFFF8E20438E -REG 0000000000000000 -REG 000000000000438F -REG 0000000000000001 -REG 000000000000438F -REG 000000000000081B -REG FFFFFFFFBFFFFFFF -REG FFFFFFFF8E20438E -REG 0000000071E00000 -REG 0000000000000000 -REG 000000000000081A -REG 0000000000000000 -REG 00000000000000FB -REG 7FFF7EEA08004331 -REG 0000000080881A9E +GPR0 00000000A0063FCC +GPR1 0000000000000001 +GPR2 0000000000004D32 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 8041690180400015 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 00000039FFFFFFFE +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000039FFFF85AA +GPR19 0000000000000000 +GPR20 00000039FFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 8041690180400015 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000007A54 +GPR30 0000000000000001 +GPR31 +CR 0000000030063F99 +LR 0000001690180400 +CTR FFFFFFFFFFFFFFFE +XER 0000000080023FCC + diff --git a/tests/845.bin b/tests/845.bin index 9b63499..e2f33a8 100644 Binary files a/tests/845.bin and b/tests/845.bin differ diff --git a/tests/845.out b/tests/845.out index c0a87cf..4e208b3 100644 --- a/tests/845.out +++ b/tests/845.out @@ -1,32 +1,37 @@ -REG 7FFFFFFFF00FFFF8 -REG 0000000000000000 -REG 0000000008080402 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000005 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000008080402 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF7FD07FB8 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFB460 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 001005EF08407F31 -REG 0000000000000000 -REG FFFFFFFFDFFFFFFF -REG 0000000000000000 -REG 0000000000007B73 -REG 00000000885F088C +GPR0 01FFF0000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000001C020 +GPR4 00000000ECBDFFFE +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 FE000FFF00100000 +GPR8 FE000FFFFFFFFFFE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFC0 +GPR12 FE000FFFFFFFC180 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFF00100001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFC0 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0003FFC0010000F9 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000000C020 +GPR25 0000000000000000 +GPR26 0000000000038040 +GPR27 00000000FFEFF683 +GPR28 000000000001C01D +GPR29 001000000000000D +GPR30 0000000000000000 +GPR31 +CR 0000000090791277 +LR FFFFFFFFFFFFFFEA +CTR 001000000000000D +XER 000000008003FFFE + diff --git a/tests/846.bin b/tests/846.bin index 808fe99..8243dab 100644 Binary files a/tests/846.bin and b/tests/846.bin differ diff --git a/tests/846.out b/tests/846.out index ef0687a..3a88334 100644 --- a/tests/846.out +++ b/tests/846.out @@ -1,32 +1,37 @@ -REG 00000000B369A5A6 -REG 0000000040000000 -REG 0000000033270000 -REG FFFFFFFF33270000 -REG 0000000000000010 -REG FFFFFFFFFF880000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020001F65 -REG 0000000000000000 -REG 000000003FFFFFF0 -REG FFFFFFFFFFFFFFFF -REG 0000001000000000 -REG 0000000000000000 -REG FFFFFFCD188D8094 -REG FFFFFFFFFF87EADA -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0181F6D58185F6D6 -REG 0000000000780000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000FB6AC0C2FB6A -REG 0000000000000000 -REG 0000000000780000 -REG 00000000002051F5 -REG 80007DB560617DB5 -REG 0000000000000000 -REG 000000005E910911 +GPR0 FFFFFFFFFFFFFFE0 +GPR1 0000000000000042 +GPR2 000000000001C020 +GPR3 000000000001C020 +GPR4 41FFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 0000000000000000 +GPR8 FFFFFFFF68A66248 +GPR9 FFFFFFFFFFFE3FDF +GPR10 0000000000000041 +GPR11 E000000DE000000D +GPR12 0000000000000001 +GPR13 BE00000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFBF +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000001 +GPR19 FFFFFFFFFFFFFFC0 +GPR20 0000000000000001 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000039 +GPR24 0000000000000000 +GPR25 0000000000000005 +GPR26 0000000000000040 +GPR27 0000000100000001 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000001 +GPR31 +CR 0000000054445807 +LR 000000008001C020 +CTR 0000000000000005 +XER 00000000A005C020 + diff --git a/tests/847.bin b/tests/847.bin index e9871c9..42dd418 100644 Binary files a/tests/847.bin and b/tests/847.bin differ diff --git a/tests/847.out b/tests/847.out index 222a61b..f609cba 100644 --- a/tests/847.out +++ b/tests/847.out @@ -1,32 +1,37 @@ -REG FFFFFFFFDB7BE77A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFD612 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 00000000000060BB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 00000000FFFFFFF0 -REG FFFFFFFFFFFF9F44 -REG 0000000000000000 -REG 21425B8800038034 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 000182EC00000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF8830 -REG 00000000C9730000 -REG 000000009FE83F08 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000034995912 +GPR9 03D55C0000015C00 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFE000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C73B +GPR18 03D55C000000E980 +GPR19 0000000034995912 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 FC2AA3FFFFFF1689 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 F800000000000008 +GPR31 +CR 0000000034995912 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080015C00 + diff --git a/tests/848.bin b/tests/848.bin index 5dcdacc..0de5041 100644 Binary files a/tests/848.bin and b/tests/848.bin differ diff --git a/tests/848.out b/tests/848.out index de256cc..e6060a8 100644 --- a/tests/848.out +++ b/tests/848.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD0FBFB74 -REG 000000002F040488 -REG 0000000000000FF0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000022040488 -REG 00000000D16764D1 -REG FFFFFFFFFFFFFFFF -REG 000000002F040488 -REG 000000005E080910 -REG 00000000D16764D1 -REG 0000000000000000 -REG 000000000000C5C9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG 000000002F040488 -REG 0000000000000000 -REG 000000000000003D -REG 0000000022040248 -REG 0000000000000FF0 -REG FFFFFFFFFFFE8B3D -REG 0000000000000FEF -REG C101220BC101220B -REG FFFFFFFFFFFE8B3E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000C9764D1 -REG 0000000000000000 -REG 0000000084080490 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFD604439123426C +GPR4 00000000F96C001F +GPR5 0000000000030C80 +GPR6 FFFFFFFFFFFE3FDF +GPR7 0000480000000000 +GPR8 0000000000030C80 +GPR9 00000001FFFFFFFE +GPR10 0000000000000000 +GPR11 00000000F96C001F +GPR12 0000000000000000 +GPR13 0000480000000000 +GPR14 0000000000000000 +GPR15 0000000000009000 +GPR16 0000000000000000 +GPR17 0000000000000200 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFE79C0 +GPR23 000000000000007E +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFF3CE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030039351 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00C0040 + diff --git a/tests/849.bin b/tests/849.bin index 642fc96..e8ea34d 100644 Binary files a/tests/849.bin and b/tests/849.bin differ diff --git a/tests/849.out b/tests/849.out index 69fc718..b3e1fd3 100644 --- a/tests/849.out +++ b/tests/849.out @@ -1,32 +1,37 @@ -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000003FFE1 -REG 0000000000000000 -REG 0000000000000AD3 -REG 0000200000000000 -REG 0000000000000005 -REG 0000000000000000 -REG 0000000000000034 -REG 0000080000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000001FF6BD0000 -REG 0000000000000034 -REG 0000000000000000 -REG 0000000000000100 -REG 0000000008000000 -REG 0000000000001903 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0BFFFFFFFF800025 -REG FFFFFFF97D500001 -REG 0000000000000100 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000111E08921 +GPR0 000000003BFA5373 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFF9 +GPR3 000000000001C020 +GPR4 000000008EDCC020 +GPR5 FFFFFFFFFFFFFFF9 +GPR6 0000000080000000 +GPR7 FFFFFFFFFFFFAC8D +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFE +GPR10 00000000E282C020 +GPR11 0000000000000000 +GPR12 00000000658C0006 +GPR13 FFFFFFFFFFFFF884 +GPR14 000000C0000000C0 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFF8 +GPR17 0000000000000000 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C018 +GPR22 FFFFFFFFC405FFFF +GPR23 000000003BFA0000 +GPR24 000000008EDCC020 +GPR25 0000030000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFA +GPR28 0000000000000000 +GPR29 F7F7F7F7F7F7F7FA +GPR30 000000FE746DEEB0 +GPR31 +CR 0000000099F39F89 +LR 0000000000004568 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/85.bin b/tests/85.bin index e06d510..2bc6183 100644 Binary files a/tests/85.bin and b/tests/85.bin differ diff --git a/tests/85.out b/tests/85.out index 320b518..9903eb3 100644 --- a/tests/85.out +++ b/tests/85.out @@ -1,32 +1,37 @@ -REG 0000000000003C22 -REG 0000000000000000 -REG 005B5E90005B5C10 -REG 0000000003000001 -REG 000000000000B6BD -REG FFFFFFFF00FF0000 -REG FFFFFFFFFFFF4942 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 005B5E90005B5155 -REG 0000000020003C23 -REG FFFFFFFFDFFF4942 -REG 005B5E90205C12CE -REG 0000000000000000 -REG FFFFFFFFFFFF4942 -REG 005B5E90005BAA3B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002DAF -REG 00FFFFFF0000FFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE928 -REG 00000000E7210000 -REG FFA4A16FDFA48288 -REG 4000000000006561 -REG 45B553866FFEF564 -REG 0000000000000000 -REG 005B5E90005B5155 -REG 0000000080910910 +GPR0 000000000001C004 +GPR1 FFFFFFFFFFFFF1FE +GPR2 000000010001D03F +GPR3 0000000000000004 +GPR4 0000000000000000 +GPR5 0000000000000010 +GPR6 000000070003F1C9 +GPR7 00000000000050DF +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFAF21 +GPR10 000000000001C00D +GPR11 00000000FFFFFFFB +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 0002400000003945 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFAF21 +GPR22 0000000000001E00 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFAF39 +GPR25 0000000000000005 +GPR26 FFFFFFFFFF000000 +GPR27 FFFFFFFFFFFFAF39 +GPR28 0000000000000E01 +GPR29 0000000700039021 +GPR30 0000000000000000 +GPR31 +CR 0000000059959915 +LR 00024001000280D9 +CTR 0000000000001DFF +XER 0000000080000000 + diff --git a/tests/850.bin b/tests/850.bin index b8547d4..ed881ac 100644 Binary files a/tests/850.bin and b/tests/850.bin differ diff --git a/tests/850.out b/tests/850.out index 54a987f..f0f83f7 100644 --- a/tests/850.out +++ b/tests/850.out @@ -1,32 +1,37 @@ -REG 0000000000404000 -REG 080000000FFC0000 -REG 0000000000000000 -REG 00001FC000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF800000FF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF7FFFFFFF -REG 0003FFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000023960000 -REG 0000000000000000 -REG 0000000000080300 -REG 0000000000000000 -REG 0002080808080807 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000080300 -REG 0000000000000000 -REG 0002080808080807 -REG 000000007FFFFE84 -REG 0000000000400000 -REG FFA260B560000000 -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FFFFFFF -REG 0000000040905048 +GPR0 0000000000000000 +GPR1 00000000ED890000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFC7FBFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFF5FFBFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 00000000A0040000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000038054D95 +LR 0000000000000BE4 +CTR 000000004B0D0000 +XER 0000000080000000 + diff --git a/tests/851.bin b/tests/851.bin index 47827aa..61f95c9 100644 Binary files a/tests/851.bin and b/tests/851.bin differ diff --git a/tests/851.out b/tests/851.out index e6afbc5..ddb1096 100644 --- a/tests/851.out +++ b/tests/851.out @@ -1,32 +1,37 @@ -REG FFFDE0F0FFFD96E1 -REG FFFFFFFFFFF1873C -REG FFFFFFFFFFFFFA5F -REG FF000000000157E1 -REG 0000000000003631 -REG 000000000000003C -REG 0000000000000020 -REG FFFFFFFFFFFFFFDF -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0808037608080376 -REG 0000000059580005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFBB75FFFFBB75 -REG FFFFFFFFFFFFA319 -REG FFFFFFFFFFFFC116 -REG FFFFFFFFFFFFBFCE -REG 0000000000000000 -REG 000000001CC90000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000041FF9701 +GPR0 000000000000003C +GPR1 000000003000152C +GPR2 FFFFFFFFFFFC1E59 +GPR3 7FFFFFFFFF800000 +GPR4 000000000001C020 +GPR5 000000000000880C +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000001C010 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0001FBC743463E9F +GPR13 0000000000000000 +GPR14 000000000000003C +GPR15 0000000000000000 +GPR16 00000000F9B0FFFF +GPR17 8000000000800000 +GPR18 0000000000000000 +GPR19 000000000000000C +GPR20 0000000000000000 +GPR21 0000000039999532 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000003E1A6 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000007240 +GPR30 0000000000000000 +GPR31 +CR 000000003900850C +LR 0000000000000000 +CTR FFFFFFFFF9B0FFFF +XER 0000000080008FAC + diff --git a/tests/852.bin b/tests/852.bin index e7dac72..a2b9ffa 100644 Binary files a/tests/852.bin and b/tests/852.bin differ diff --git a/tests/852.out b/tests/852.out index abf3d57..752251d 100644 --- a/tests/852.out +++ b/tests/852.out @@ -1,32 +1,37 @@ -REG F5AD6CFFEE01661A -REG 0000000000000000 -REG FFFFFFFFFFFFFE00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001FFFFFF00 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FF0000040003EF7E -REG 0000002000000000 -REG 0000000000000020 -REG 0000000000000026 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000400000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FF0000040003AD70 -REG 0000000000000022 -REG 0000000000000000 -REG 000000005667FFFF -REG 000000000000EB67 -REG 0000000049105000 +GPR0 FFFFFFFFFFFDFF3F +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFE +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FC3 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C00E +GPR10 0000000000000000 +GPR11 FFFFFFC000000000 +GPR12 0000000000000000 +GPR13 0000000000003807 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFC000000000 +GPR20 0000004000000000 +GPR21 0000000000003807 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFC4F65094E890 +GPR29 0000000000000000 +GPR30 000000000001C00E +GPR31 +CR 0000000099535000 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/853.bin b/tests/853.bin index 08a7941..a554149 100644 Binary files a/tests/853.bin and b/tests/853.bin differ diff --git a/tests/853.out b/tests/853.out index aaa977e..942f835 100644 --- a/tests/853.out +++ b/tests/853.out @@ -1,32 +1,37 @@ -REG 000001F08F0433EF -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFF6E17D945 -REG C109DD1FFFF8ECDD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF6E17FFFF -REG 000003BA400003BA -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0001DD200001DD21 -REG 0000000000000000 -REG 0000000000000000 -REG FFFE22DFFFFE22DF -REG 0000000000000000 -REG 0000000000FFE000 -REG 0000000000000000 -REG FFFFFFFFFC3FFFFF -REG 000007FFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF70FBCC10 -REG 0020000000000000 -REG 000000008F0433EF -REG 0000000000000000 -REG 0000000089F00486 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFB978 +GPR2 0000000000000000 +GPR3 00000000B5250000 +GPR4 000000000001C372 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000008100003 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000000000006A4 +GPR13 0000000000004688 +GPR14 FFFF9C529BAA6ED7 +GPR15 0000000001D45F20 +GPR16 00000000000000FF +GPR17 0000000000004688 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000001D45F20 +GPR21 00000000B5250000 +GPR22 FFFFFFFFFFFF8872 +GPR23 000000005D8B4687 +GPR24 4000000070000380 +GPR25 0000000008100003 +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000001D45F20 +GPR29 0000000000000000 +GPR30 040807FFFFFFB978 +GPR31 +CR 000000003F150DB0 +LR 0000000000000000 +CTR 0000000000000001 +XER 00000000800031E7 + diff --git a/tests/854.bin b/tests/854.bin index bdf45b9..21bdfa2 100644 Binary files a/tests/854.bin and b/tests/854.bin differ diff --git a/tests/854.out b/tests/854.out index d6c6ee7..f73466f 100644 --- a/tests/854.out +++ b/tests/854.out @@ -1,32 +1,37 @@ -REG FFFEFFFC69AC03A8 -REG 0000000000000244 -REG 0000000000000000 -REG 000000000000658B -REG 000000000002CB80 -REG FFFEFFFF3072FFFF -REG 0000000000000000 -REG 0000030000000000 -REG 0000000000000001 -REG FFFF000000000000 -REG 0000100000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000260E00000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 000000000071A6B3 -REG 00000000CF8D0001 -REG 0000000000000040 -REG 0000000000000000 -REG 8397FFF983800009 -REG 00010000CF8D0000 -REG 000000000071A6B3 -REG 0000000000000003 -REG FFFEFFFFFFFFFFFF -REG 0000000000000003 -REG FFFCFFFD9158FFFD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050111090 +GPR0 000000871983541C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000030000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFE8 +GPR8 000000000001C039 +GPR9 FFFFFFFFD0000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000000078ED +GPR14 000000007945FFE8 +GPR15 000000000001C038 +GPR16 0000000000000000 +GPR17 000000000001C037 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000A004352A +GPR21 0000000000000700 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 000000871983541C +GPR25 0000000000020000 +GPR26 0000000000000000 +GPR27 0000000000000030 +GPR28 FFFFFFFFFFFFFFFF +GPR29 00000000E5110700 +GPR30 0000000000000700 +GPR31 +CR 0000000055F52511 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008000352A + diff --git a/tests/855.bin b/tests/855.bin index 6550681..838fc32 100644 Binary files a/tests/855.bin and b/tests/855.bin differ diff --git a/tests/855.out b/tests/855.out index d6c976f..68c4b54 100644 --- a/tests/855.out +++ b/tests/855.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 2088000000000000 -REG FE00000000000001 -REG FFFFFFFFEC8DFFCC -REG FFFFFFFFEC8D5C57 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0101010101010101 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000068000000 -REG 01FFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG FDF9BCEDFDE9BCED -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005F36 -REG FEC8DFFCCFFFF800 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001F -REG 0000000000000000 -REG 0000000000000040 -REG FE00000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000013720034 -REG 00000000811FFE00 +GPR0 FFFFFFFFFFFFFFFE +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 EFFFFFFFFFFE3FE0 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000000 +GPR10 0000000000000003 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 F47FFFFFFFFC7F62 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000009000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 000000000001C00C +GPR28 0000001FFFFFFFEF +GPR29 F000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000050649370 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFE + diff --git a/tests/856.bin b/tests/856.bin index ec450a4..84c00f3 100644 Binary files a/tests/856.bin and b/tests/856.bin differ diff --git a/tests/856.out b/tests/856.out index 8989a4e..dbf6cc2 100644 --- a/tests/856.out +++ b/tests/856.out @@ -1,32 +1,37 @@ -REG 000007FFF800BEC5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 00000000A039585B -REG FFFFFFFF4974DF7B -REG 0000000000009FE8 -REG 000000000000585B -REG 000000000000AB3B -REG 0000000000000000 -REG FFFFFFFFFFFF46C4 -REG FFFFFFFFFFF00000 -REG FFFFFFFFFFFFFFFE -REG 00000000000046C4 -REG 0000000000000040 -REG FFFFFFFFFFFFA7A4 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF4974D720 -REG 0000000000000000 -REG FFFFFFFFFFFFE7ED -REG 0000000000000000 -REG 0000000000001A07 -REG 0000000000700000 -REG 0000000000000000 -REG 000000000000FC48 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001A07 -REG 0000000007FFF800 -REG 0000001000000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000108001000 +GPR0 0000000000000000 +GPR1 7FFB9F6C7FFC7F8A +GPR2 0000000000000000 +GPR3 0000000080000000 +GPR4 000000000B000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0001C03B0001C03B +GPR8 FFFFFFFF7FFFFFFE +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000080000001 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 7FFB9F6C7FFC7F8A +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003BE99955 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/857.bin b/tests/857.bin index a047a01..7f02090 100644 Binary files a/tests/857.bin and b/tests/857.bin differ diff --git a/tests/857.out b/tests/857.out index d621772..836bf2e 100644 --- a/tests/857.out +++ b/tests/857.out @@ -1,32 +1,37 @@ -REG 0000001400000005 -REG 000007C000000000 -REG 000000200000001B -REG FFFFFFFDFFE00000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFBFA0BE6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000405F419 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000040040882 -REG 0000000000000000 -REG FFFFFFFF4CBC0BE5 -REG FE0003FFFE0003FF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFBFA0BE5 -REG 0000000000000000 -REG 8008110000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FBFFFFFFFE000000 -REG FFFFFFFFBFFBF77D -REG FFFFFFFFFBFA0BE5 -REG 0000000100091104 +GPR0 0000000000000000 +GPR1 1300000013000000 +GPR2 0000000000000000 +GPR3 0000000003C00000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 1300000013000000 +GPR10 000000000000C462 +GPR11 0000000000000000 +GPR12 000000000000C462 +GPR13 000000003FF80000 +GPR14 0000000000000000 +GPR15 0000000000000040 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000013 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000013 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003F8550FF +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/858.bin b/tests/858.bin index 69d6da0..454afbb 100644 Binary files a/tests/858.bin and b/tests/858.bin differ diff --git a/tests/858.out b/tests/858.out index 34009fd..512415e 100644 --- a/tests/858.out +++ b/tests/858.out @@ -1,32 +1,37 @@ -REG 000000471DA8AEF5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000510A -REG FFFFFFFFFFFCFF7D -REG 000000000000510A -REG 0000000017C7A641 -REG 0000000062E020DB -REG 0000000000000000 -REG FFFFFE0000BAF453 -REG 0000000017C7A641 -REG 0000000062E020DC -REG 0000000017C7A640 -REG FFFFFFFFFFFFFFFF -REG 000000001FE20000 -REG 0000000020450000 -REG FFFFFFFFFFFFFFFF -REG 3C02595EE000FB18 -REG 000002FC21E60000 -REG FFFFFF7184200000 -REG 0000000000000001 -REG FFFFFFFFFFFF98B8 -REG 0000000000008000 -REG FFFFFFFFE83859BF -REG 0000000017C7A640 -REG FFFFFD03DE199E46 -REG E000000000000000 -REG 0000008E7BDBFFFF -REG 0000000000000000 -REG 000000005F1F1885 +GPR0 FFFFFFFF5D83C02D +GPR1 FFFFFFFFA4320000 +GPR2 0000000000300000 +GPR3 0000000000000000 +GPR4 000000000001BDDE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFE4221FFFFF +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000001C010 +GPR12 0000000000000380 +GPR13 000000000001C020 +GPR14 000000000001C038 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000030 +GPR18 FFFFFFFF5D820001 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000280000000000 +GPR22 0000000000000001 +GPR23 0000000000000012 +GPR24 0000000000300001 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 0000000000000001 +GPR28 0000000030000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000090253301 +LR 0000000040FFB498 +CTR 000000000082ACEC +XER 00000000C008000F + diff --git a/tests/859.bin b/tests/859.bin index f0184b4..678d2ed 100644 Binary files a/tests/859.bin and b/tests/859.bin differ diff --git a/tests/859.out b/tests/859.out index 4076367..bd8f63f 100644 --- a/tests/859.out +++ b/tests/859.out @@ -1,32 +1,37 @@ -REG E920440020000017 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000084000000 -REG FFFFFFFFFFFFA779 -REG FFFFFFFFFFFFA779 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000FFA778 -REG 000000000000F5DA -REG 000000000000F5DA -REG F7FFFFFFFFEE1FFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF7E2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000FFF -REG 0000000000000003 -REG 000000004400A770 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000F5DA -REG 0000000048014E40 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 FFFFFFFFFFFFC28D +GPR5 0000000000000000 +GPR6 0000000000003D72 +GPR7 0000000000000001 +GPR8 0000000000003D73 +GPR9 FFFFFFFFFFFFFFFE +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFF0000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000000002FD +GPR15 0000000000003D73 +GPR16 0000000000000000 +GPR17 000000000001C01C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000001C01C +GPR21 0000000000000000 +GPR22 0000000000000009 +GPR23 FFFFFFFFFFFE3FE0 +GPR24 000000000001C01C +GPR25 0000000000000000 +GPR26 0000000038310000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000501514F4 +LR FFFFFFFFFFFFFFFE +CTR FFFFFFFFFFFFFFFD +XER 0000000080000000 + diff --git a/tests/86.bin b/tests/86.bin index bf2600f..1ccdfbe 100644 Binary files a/tests/86.bin and b/tests/86.bin differ diff --git a/tests/86.out b/tests/86.out index e750985..b4ad7c8 100644 --- a/tests/86.out +++ b/tests/86.out @@ -1,32 +1,37 @@ -REG 00000000000077BE -REG 0000000000007FBE -REG 0000000000000000 -REG FFFFEBF5FFFFEBED -REG 000000000120005E -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFF8EE10001 -REG FFFFC000FFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC4A2 -REG FFFFFFFF7FFFFFBF -REG FFFFFFFFFFFF8EE1 -REG 0000000000000000 -REG C7707C0080000000 -REG FFFFFFE000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFD7EC -REG 0000002000000000 -REG 0000000001201FFF -REG 0000000000000000 -REG 0000000D0000000D -REG 000000000000001B -REG 0000000000000000 -REG 0000002000000000 -REG FFFFFFFFFFFFC4A2 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000080400001 +GPR0 FFFFFFFF7FFFF7C3 +GPR1 FFFFFFDFFFFFFFE3 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000020 +GPR5 FFFFFFFFDABE0000 +GPR6 000000008000003C +GPR7 000000000001C020 +GPR8 FFFFFFFFFFFFC0B7 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFEB0C +GPR11 000000202541FFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFC03F +GPR14 FFFFFFFFFFFFF7C3 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFF8001 +GPR18 FFFFFC0000000000 +GPR19 FFFFFC0000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000008000083D +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 000000002000083D +GPR29 FFFFFFFFFF000000 +GPR30 0000000000000000 +GPR31 +CR 00000000300D0900 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/860.bin b/tests/860.bin index 2d28523..5b91725 100644 Binary files a/tests/860.bin and b/tests/860.bin differ diff --git a/tests/860.out b/tests/860.out index be18cb6..f9125a6 100644 --- a/tests/860.out +++ b/tests/860.out @@ -1,32 +1,37 @@ -REG 040000000000AA12 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E000000000000000 -REG 0000004000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF7FBFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFC000 -REG FFFFFFFFFFFFE459 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000050800002 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFDF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFF9A04 +GPR6 0000000000000000 +GPR7 000000000001C020 +GPR8 0000000000000008 +GPR9 00000000237BFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFF01010001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFE +GPR16 FF00000000000000 +GPR17 0000000000000000 +GPR18 0000000026FC0000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000080000020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000000530551D +LR 0000000000000000 +CTR 00000000FEFEFFFE +XER 0000000080000020 + diff --git a/tests/861.bin b/tests/861.bin index d95020d..0420311 100644 Binary files a/tests/861.bin and b/tests/861.bin differ diff --git a/tests/861.out b/tests/861.out index d7e9b0f..7deded8 100644 --- a/tests/861.out +++ b/tests/861.out @@ -1,32 +1,37 @@ -REG 0000000020000881 -REG 0000000000000000 -REG 0000000000000000 -REG 3C97FFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000002E65 -REG 84401F1E84401F1E -REG 0000000084407884 -REG 6D00000000000001 -REG 92FFFFFFFFFFFF84 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 92FFFFFFFFFFFFFF -REG C7FFFFFFFFFFFFFF -REG 0000000000000000 -REG 3000000600000006 -REG 0000000000000000 -REG 4D00000000000000 -REG 0000000000000000 -REG 0000000044525E55 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF0F25 -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 92FFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000080001109 +GPR0 FFFFFFFFFFFFFFFD +GPR1 FFFFFFFF8B131DBA +GPR2 0000000000000000 +GPR3 FFFFFFFF8B138EDD +GPR4 0000000000000001 +GPR5 0000000000000000 +GPR6 00007FC000000000 +GPR7 000000000001C014 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000001 +GPR13 FFFFFFFFFFFFC5CD +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000021 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFDCD71DBA +GPR23 0000000000900000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFF8EDD +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFE0 +GPR30 0000000000000021 +GPR31 +CR 000000003592723E +LR FFFFFFFFFFFFFFFF +CTR EFA7E53800000000 +XER 0000000080000000 + diff --git a/tests/862.bin b/tests/862.bin index d453fc0..c261190 100644 Binary files a/tests/862.bin and b/tests/862.bin differ diff --git a/tests/862.out b/tests/862.out index 112b9be..99c0803 100644 --- a/tests/862.out +++ b/tests/862.out @@ -1,32 +1,37 @@ -REG 00000000063A5A87 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000012 -REG 000B11CB00000000 -REG FFF4EE3500000000 -REG 0000000000000000 -REG FFF4EE3500000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000063A0000 -REG FEFFFFFFFFFFFFFF -REG 00000000063A0000 -REG 0000000000000000 -REG 00000000000022AD -REG FFFFFFFFDD5F4F02 -REG FFFFFFFFDD5F9E11 -REG FFFFFFFFFFFFEB2C -REG 0000000084884408 -REG 0000000000000000 -REG FFF4EE3500000000 -REG 0000000000000000 -REG 00000000EE6D0000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 00000000063A0000 -REG 0000000049108810 +GPR0 83FFFFFEFFFF85B8 +GPR1 03FFFFFFFFFFFFF3 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFF91D60000 +GPR5 FFFF1FEFE7FF1FEF +GPR6 0000000000000000 +GPR7 000000000000B3C4 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 840000000000E00F +GPR15 0000000000000040 +GPR16 0000000000000040 +GPR17 0000000000000000 +GPR18 FFFFFFFF91D6464C +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 0000000000000040 +GPR22 003036FFC03036C0 +GPR23 F2BBFCE8F2BBFCE9 +GPR24 FFFFFFFFFFFE3FDF +GPR25 0000000000000057 +GPR26 0000000000009C5A +GPR27 00000881F2BCD15E +GPR28 0000000000000000 +GPR29 000000000001C021 +GPR30 0000C0DB0000C0DB +GPR31 +CR 00000000547404B9 +LR FFFFFFFF91D60000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C009FFFE + diff --git a/tests/863.bin b/tests/863.bin index 2e01886..040d818 100644 Binary files a/tests/863.bin and b/tests/863.bin differ diff --git a/tests/863.out b/tests/863.out index 318106d..4eb3daf 100644 --- a/tests/863.out +++ b/tests/863.out @@ -1,32 +1,37 @@ -REG FFFE2D374A7F1EC6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000004 -REG FFFFFFFFFFFFFFFF -REG 002BB3FFE8000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFC0000B2 -REG 0000000000000000 -REG FFFFFFFFFFFFFFD0 -REG FFFFFFFFFFFFE577 -REG 0000000000000000 -REG 0000000000000020 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000015 -REG 0000000000000000 -REG 000000000000002C -REG 0000000000000000 -REG 00002D374A7F3722 -REG 000000005767FFD0 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000004 -REG 0000000000000001 -REG 00002D374980C86F -REG FFFFFFFFFFFFFFF8 -REG 0000000051001E88 +GPR0 FFFFFFFFFFFE3FFE +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFE100000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000000001F +GPR8 FFFFFFFFFFFFFFE1 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000002020000 +GPR14 0000000002020000 +GPR15 FFC7FC0000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000001B27FFFC +GPR19 FFFFFFFFFFFFFFFF +GPR20 0038040000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFEED93 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFE3FDFFFFFFFFF +GPR26 0001C02000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0038040000000000 +GPR31 +CR 0000000099012906 +LR FFFFFFFF44F8FFFF +CTR 0000000000004A90 +XER 0000000080000000 + diff --git a/tests/864.bin b/tests/864.bin index b0eb7b5..f5d5c6b 100644 Binary files a/tests/864.bin and b/tests/864.bin differ diff --git a/tests/864.out b/tests/864.out index a64bbfd..a77d7b7 100644 --- a/tests/864.out +++ b/tests/864.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFCF86 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000042444088 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000056490000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000000 -REG 0000000000000000 -REG FFFFFFEFFFFFFFEF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 9D43FFFC9D431FFC -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFCFF -REG 00A8000000A80000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000030AC -REG 000000000000E401 -REG 0000000000000005 -REG 0000000000000000 -REG 0004000000000000 -REG 0000000084888110 +GPR0 0000040000000001 +GPR1 7F9500007F90B44D +GPR2 FFFFFFFFFFFFFFF0 +GPR3 0000000000000000 +GPR4 0000400000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000004007F950000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000015 +GPR12 000000000000321B +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000044D +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 000000000000321B +GPR20 FFFFFFB5A5A3D293 +GPR21 0000000000000020 +GPR22 FFFFFFFFFFFFFFFF +GPR23 000000000000321B +GPR24 FFFFFFFFFFFFFFFF +GPR25 000000005A5C0C6D +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 0000000000000001 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000500B03F9 +LR 0000000000000000 +CTR 0000000000000001 +XER 0000000080000000 + diff --git a/tests/865.bin b/tests/865.bin index 0285c43..ba094c7 100644 Binary files a/tests/865.bin and b/tests/865.bin differ diff --git a/tests/865.out b/tests/865.out index f4df438..cf9b345 100644 --- a/tests/865.out +++ b/tests/865.out @@ -1,32 +1,37 @@ -REG 03FFFFFBC0A5F063 -REG 0000000000004092 -REG 0000000000000001 -REG 210571548B5B8271 -REG 0000000000000000 -REG 0000000000004092 -REG 000000010000A960 -REG 2105715483E2389C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000015 -REG 178D2D3E7D172D3E -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF886B62B -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG D6D3DF8800000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000100007451 -REG FFFFFFFFFFFFF8B6 -REG 00000000410C1000 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFDFAC4 +GPR2 0000000000000001 +GPR3 FFFFFFFFFFFFFFCF +GPR4 0000000000000000 +GPR5 000000000001C014 +GPR6 0000000000000000 +GPR7 0000025439F80020 +GPR8 000000000000001F +GPR9 000000000001C022 +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000026 +GPR13 0000000000000001 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFEF +GPR19 FFFFFFFFFFFFF274 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000040 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000025439F80000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000099B90B94 +LR 0000000000000044 +CTR 0000000000000000 +XER 00000000A0040040 + diff --git a/tests/866.bin b/tests/866.bin index de4e18b..c2b270c 100644 Binary files a/tests/866.bin and b/tests/866.bin differ diff --git a/tests/866.out b/tests/866.out index 1125f17..3754af4 100644 --- a/tests/866.out +++ b/tests/866.out @@ -1,32 +1,37 @@ -REG 22D8AD1E929C051D -REG 0000000032FBA213 -REG 9FFFFFFFFFFE0C26 -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFFFD2500000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFDDFF -REG FDFCFFFFFFFFFFFE -REG 09A8000000000004 -REG 0000000000000000 -REG A00000000000DDDF -REG 0000000000000000 -REG A000000000005951 -REG FFFFFFFFFFDE0000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG FFCF6BFF80002BFF -REG 0000000000220000 -REG 0002000000020000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG A000000000000C26 -REG BC00000003FFFFFB -REG 0203000000000001 -REG 0000000000000014 -REG 0000000000000000 -REG FFFFFFFFCD045DED -REG FFFFFFFF77800000 -REG 0203000000000001 -REG 0000000105090882 +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 FFFDFFFFFEFDFFFF +GPR3 0000000000000001 +GPR4 00000000040A0034 +GPR5 00000000000160FA +GPR6 FFF001DB368E3D35 +GPR7 000000000000003B +GPR8 FFFFFFFFB2D4FFEB +GPR9 000000000001C01E +GPR10 0000000000000000 +GPR11 FFFFFFECFFFFFFEC +GPR12 000200000102001E +GPR13 000000000001C020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C01E +GPR17 000000000000001E +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000700 +GPR21 0000000000000000 +GPR22 000FFE24C971C2CB +GPR23 FFFFFFFFFFFFFFBF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 03FFFFFFFFFFFFFF +GPR29 FFFFFFFFB2D4FFCB +GPR30 0000000000000001 +GPR31 +CR 0000000052B50201 +LR FFFFFFFFFFFFFFFF +CTR 000000000001C01E +XER 00000000A0060000 + diff --git a/tests/867.bin b/tests/867.bin index 553ccaa..a9c1567 100644 Binary files a/tests/867.bin and b/tests/867.bin differ diff --git a/tests/867.out b/tests/867.out index a5b174e..b27dda3 100644 --- a/tests/867.out +++ b/tests/867.out @@ -1,32 +1,37 @@ -REG 87FFBED487FFFFE8 -REG 000000000313701C -REG 0000000000000001 -REG 0000000000002C00 -REG 0000000000000004 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000018 -REG FFFFFFFF95BC0020 -REG 0000000000000000 -REG FFFFFFFFFFFFE576 -REG 0000000000000000 -REG FFFFFFF00FFFFE8A -REG 0000000000000000 -REG 00000000000025E1 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 00000000000025E6 -REG FFFFFFFF95BC0242 -REG 0000000000000000 -REG 0000000000000000 -REG 7800412B7800000B -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000025DE -REG 7800412B7800000B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000048008800 +GPR0 0000000000000005 +GPR1 0000000000000000 +GPR2 000000000603C523 +GPR3 FFFFFFFFFFFFFCF8 +GPR4 000000000001C01F +GPR5 0000000000000000 +GPR6 0000000000010201 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 000000000603C523 +GPR10 0000000000000000 +GPR11 000000000E686000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000037 +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 000000000001C020 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000000001C020 +GPR25 0000000000000000 +GPR26 FFFFFFFFF9FDFAFC +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000005 +GPR31 +CR 000000005F800000 +LR 0800010D3FFFE14F +CTR 0000000000010201 +XER 00000000C0080005 + diff --git a/tests/868.bin b/tests/868.bin index bfc4ead..2b477b1 100644 Binary files a/tests/868.bin and b/tests/868.bin differ diff --git a/tests/868.out b/tests/868.out index 12461f6..fc0701f 100644 --- a/tests/868.out +++ b/tests/868.out @@ -1,32 +1,37 @@ -REG FFFFFFF0ED50B0CC -REG 0000000000000000 -REG 7FFFFFFCFFFFFFFC -REG 000000000800009B -REG 0000000000000000 -REG 00000000000031E0 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG FBBCDB04FBBCDB04 -REG 00000000000004C0 -REG 0000000055914C59 -REG 0000138000000001 -REG FFFFFFFFB30B0000 -REG 0000000016AC3540 -REG FFFFFFFFFFFFDB04 -REG 000000000800009C -REG 8000000000000000 -REG FFFFFFFFFFFFDB04 -REG 0000000000000000 -REG 0000000000000000 -REG 0000012C0800009C -REG 0000000055914C59 -REG FFFFFFFFE953B3C5 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF7F -REG 0000000000000000 -REG 0000000000000000 -REG 302D0D0030200D00 -REG 0000000040000807 +GPR0 FFFFFFFCB14240A0 +GPR1 0000000000000000 +GPR2 003FF5FFFFFF0000 +GPR3 00000014A378E326 +GPR4 000000000000001E +GPR5 0000000000112357 +GPR6 003FF6000000C020 +GPR7 0000000000000000 +GPR8 000000007A5C0000 +GPR9 0000000000000000 +GPR10 000000007A4ADCA8 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 000000000000000F +GPR15 000000000000FF00 +GPR16 000000007A5C0000 +GPR17 FFFFFFFFFFFFFFE0 +GPR18 FFFFFFFFFFFF00C0 +GPR19 000000000001C020 +GPR20 0000000000000006 +GPR21 0000000000000000 +GPR22 000000007A5C0000 +GPR23 FFFFFFFFFFFFFFF0 +GPR24 003FF60000013BEB +GPR25 0000000000000000 +GPR26 000000007A4ADCA8 +GPR27 0000000000000000 +GPR28 000000000001C002 +GPR29 0000000000000010 +GPR30 000000000000000F +GPR31 +CR 00000000595255B5 +LR 000000000001C020 +CTR 000000000001C030 +XER 00000000C0080000 + diff --git a/tests/869.bin b/tests/869.bin index 57a2a22..f2f7ec8 100644 Binary files a/tests/869.bin and b/tests/869.bin differ diff --git a/tests/869.out b/tests/869.out index 8e97c8c..eae0488 100644 --- a/tests/869.out +++ b/tests/869.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF5940 -REG 8000000000000010 -REG 000000002040D6D8 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000F20040 -REG 0000000000000021 -REG 0000000000000039 -REG FFFFFFFFFFFFFFF0 -REG 8000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 000000000000000F -REG 0000000000004001 -REG 0000000000000006 -REG 000000000000CE2D -REG 0000000000000001 -REG FFFFFFFFBFBFB9B1 -REG 0000000000000000 -REG 00000000D44E3A11 -REG 0000000000000002 -REG 0000000007790020 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFBFFE -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000008081ADB1 +GPR0 000000000000001A +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 089D89FFFEC4A7AC +GPR4 0000000000000003 +GPR5 00000000A0047C00 +GPR6 0000000000000000 +GPR7 FFFFFFFF85260000 +GPR8 000000000000001A +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 000000000000003F +GPR12 3FFFFBFF5FFFE803 +GPR13 FFFFFFFFFFFFFFDF +GPR14 FFFFFFFFFFFE3FE5 +GPR15 000000005555554A +GPR16 FFFFFFFFFFFE3FE5 +GPR17 0000000000000003 +GPR18 0000000000000003 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 0650000000000000 +GPR25 0000000000000328 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 0000000024FF79F8 +LR 3FFFFBFF5FFFE803 +CTR 0000000000000000 +XER 000000000001C01A + diff --git a/tests/87.bin b/tests/87.bin index 1385e10..8708733 100644 Binary files a/tests/87.bin and b/tests/87.bin differ diff --git a/tests/87.out b/tests/87.out index 98241fe..92a2229 100644 --- a/tests/87.out +++ b/tests/87.out @@ -1,32 +1,37 @@ -REG FC05B4FBA61EFB7B -REG C000000000000000 -REG FFFFFFFFFFFFFFFF -REG F7FFFFFFBC541B81 -REG 0000010000000100 -REG 0000383900007FFF -REG FFFFFFFFFFFFFFFE -REG 0808080808080807 -REG 0000383900003839 -REG FFFFFFFFFFFFFFFE -REG 0000000000006FFF -REG 0000000000003651 -REG F7FFFFFFBC541B52 -REG 0000000000000000 -REG FFFFFFFFFFFFD0C6 -REG 000000000E003450 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000365000003650 -REG 0000000000000001 -REG 000000000004040F -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 08080400FA07C047 -REG FFFFFFFFF1FFCBB1 -REG F7FFFFFFBC541B4A -REG FFFFFFFFBC540000 -REG 0000000000000000 -REG 00000000A9A36FFF -REG F7F7FBFEF7F80B69 -REG 000000009E104108 +GPR0 000000000000001A +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFB68F +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000B2C +GPR8 000000000001C020 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000005 +GPR17 0000000000000000 +GPR18 0000000000000B5A +GPR19 FFFFFFFFFFFFFFFE +GPR20 0000000000000000 +GPR21 000000000001C014 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFB41 +GPR26 000000000000002E +GPR27 0000000000000000 +GPR28 0000000C00000000 +GPR29 FFFFFFFFFFFFD322 +GPR30 0000000000002CDE +GPR31 +CR 0000000030FD595E +LR FFFFFFFFFFFFFFFF +CTR 000000000000002E +XER 00000000C0080000 + diff --git a/tests/870.bin b/tests/870.bin index 2400628..0f91bf0 100644 Binary files a/tests/870.bin and b/tests/870.bin differ diff --git a/tests/870.out b/tests/870.out index 870296a..2340caa 100644 --- a/tests/870.out +++ b/tests/870.out @@ -1,32 +1,37 @@ -REG 0007FE002627FDFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFDFFFFFF -REG 0000000000FFE1EF -REG 0000000000000000 -REG 00003E0001E3EF80 -REG 0000000000FFE1EF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFF802000A1BF38E -REG 000000004F880F82 -REG 000000002F080F82 -REG 00000000BE971F54 -REG 0000000000000000 -REG 0000000006800000 -REG FFFFC1FFFE1C1260 -REG 0000000000000000 -REG 0000000000FFE1EF -REG 000000000C000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000001E0 -REG 0000000000000000 -REG 000000000000003F -REG FFFFFFFFFFFFFFFF -REG 000000011F101F04 +GPR0 4003FBEB4003F802 +GPR1 000000003F0B9C58 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFE3FE1 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000001 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000020 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFF087C +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 00000000590B9C59 +LR 0000000000000020 +CTR 0000000000000000 +XER 00000000A0040001 + diff --git a/tests/871.bin b/tests/871.bin index a0d0d80..43a628c 100644 Binary files a/tests/871.bin and b/tests/871.bin differ diff --git a/tests/871.out b/tests/871.out index a7bf2f7..9aeb3cf 100644 --- a/tests/871.out +++ b/tests/871.out @@ -1,32 +1,37 @@ -REG 000000000000359A -REG 0403030200000000 -REG 0000000000000000 -REG 0C0C0BEE08040C10 -REG 0000000000000000 -REG FFFFFFFFFFFFFEEB -REG 0000000000000000 -REG 000000000000007D -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF82 -REG FFFFFFFFFFFFFF82 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 006B3400000B3400 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008110101C +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FDF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFE3FDF +GPR5 0000000000000000 +GPR6 0000000000000040 +GPR7 00000000000FC000 +GPR8 0000000000000000 +GPR9 000000000000109B +GPR10 0000000000010000 +GPR11 0000000000000001 +GPR12 000000000000B442 +GPR13 0000000000000000 +GPR14 000000000001C020 +GPR15 000000000001C020 +GPR16 000000002AD2C020 +GPR17 FFFFFFFFFFFFFFFF +GPR18 000000000007E000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFCFF3 +GPR22 0000000000000000 +GPR23 000000000001BFE1 +GPR24 0000000050808597 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000010000 +GPR29 0000000000007719 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000050808597 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFE +XER 000000008003F558 + diff --git a/tests/872.bin b/tests/872.bin index 2b4df0b..7e73e56 100644 Binary files a/tests/872.bin and b/tests/872.bin differ diff --git a/tests/872.out b/tests/872.out index 09a5c78..cb846e2 100644 --- a/tests/872.out +++ b/tests/872.out @@ -1,32 +1,37 @@ -REG 00000000FFB59B31 -REG 0000000000000000 -REG FFFFE12DC04A7FFF -REG 0000000000001B31 -REG FFFFFFFFFFFFC225 -REG 0000000000000000 -REG 0000000000000001 -REG 000000000000F696 -REG 0000000000000000 -REG FFFF000000FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00001ED2C0000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000008084E441 -REG F7F7F7F7F7F7FBFC -REG 000000000000B218 -REG 0000000000000000 -REG 000000000000B218 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000001B31 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080404 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFB58000 -REG 0000000000000000 -REG 0000000000000001 -REG 000000005111C908 +GPR0 0000000003600000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000090000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000009 +GPR8 0000000000008C08 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000018 +GPR15 FFFFFFFFFFFFFFDF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000002 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFC078 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFDF +GPR24 0000000000000000 +GPR25 00000000006F17E1 +GPR26 00000000006F2000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000002 +GPR30 0000000000000000 +GPR31 +CR 00000000399949C0 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003FFFF + diff --git a/tests/873.bin b/tests/873.bin index bae930b..c94dd91 100644 Binary files a/tests/873.bin and b/tests/873.bin differ diff --git a/tests/873.out b/tests/873.out index 2eb7ffb..07f22a8 100644 --- a/tests/873.out +++ b/tests/873.out @@ -1,32 +1,37 @@ -REG 00000000D5F2626F -REG DFFFF2A0E00052A1 -REG 0000000084444408 -REG 00000000D5F02932 -REG FFFC0000000092B5 -REG 0000000000004B5D -REG 0000000000000000 -REG FFFC0000000092B5 -REG FFFFFFFFFFFFFD5F -REG FFFFFFFFFFFFFFFF -REG DFFFF2A0E0002933 -REG 0000000042144A4F -REG 00000000D5F1FFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000021E00000 -REG 0000000000003392 -REG 0003FFFFFFFF6D4A -REG A00000000000006B -REG 20000D5F20000000 -REG 20000D5F20000000 -REG D1FFFFF9521BEAEF -REG FFFFFFFFFFFFB4A3 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000008000 -REG 0000000000000000 -REG 000000008429149F +GPR0 0000000000000014 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 A3FFFFFFA3FFFFFF +GPR4 0000000000000040 +GPR5 0000000000000040 +GPR6 0000000090000000 +GPR7 A3FFFFFFA3FFFFFF +GPR8 0000000000000017 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 000000000001C020 +GPR12 0000000000000001 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFAB3 +GPR15 0000000000000A9A +GPR16 FFFFFFFFFFFFFF20 +GPR17 000000000000003F +GPR18 5C0000005C000000 +GPR19 0000000000000000 +GPR20 0000000030000000 +GPR21 0000000000000000 +GPR22 00000000000009BE +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFCFFFFFFF +GPR29 0000000000000001 +GPR30 0000000000000018 +GPR31 +CR 0000000090154250 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/874.bin b/tests/874.bin index d02e497..9c1ed96 100644 Binary files a/tests/874.bin and b/tests/874.bin differ diff --git a/tests/874.out b/tests/874.out index 6b64cd1..9256f65 100644 --- a/tests/874.out +++ b/tests/874.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0000000000000000 -REG 00000000000008D2 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 00000000FFFFFFFF -REG 00000000DDC000FF -REG FFFFFFFFC00709C8 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFE0038 -REG 000000000000003A -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000948 -REG DDC000FFDDC00081 -REG 00000000C0040000 -REG FFFFFFFFFFFE0036 -REG 0000000085C00000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC00709C8 -REG 0000000000000000 -REG 000000000000000A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000001AFE -REG 0000000000000000 -REG 0000000000000000 -REG 00000001110E90A5 +GPR0 FFFFFFFFFFFF3FFF +GPR1 FFFFFF7FAFFE3FDE +GPR2 0000000000000000 +GPR3 0000008050000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFF7FAFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFF7FAFFE3FDE +GPR11 0000000000000001 +GPR12 000000000001C021 +GPR13 000000804FFE3FDF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 001F6291CD9CD0FC +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000804FFE3FDF +GPR26 FFFFFFFFFFFE3FDF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000028851495 +LR 0000000000000000 +CTR 0000000000008B1F +XER 0000000000000000 + diff --git a/tests/875.bin b/tests/875.bin index 54f0716..3e0e928 100644 Binary files a/tests/875.bin and b/tests/875.bin differ diff --git a/tests/875.out b/tests/875.out index d01116a..a87fb58 100644 --- a/tests/875.out +++ b/tests/875.out @@ -1,32 +1,37 @@ -REG 19D1B47B1E2E4B6E -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000020 -REG 0000000048000000 -REG 0000000000000000 -REG 0000000000000000 -REG BF8026A8B185C633 -REG 0000000007FFFF6D -REG DFFFFFFFA3D8CEC1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFF30D5FFCB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000048FFFFE7 -REG 0000000000000000 -REG 0000000048FFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000007FFFF6E -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFE -REG 0000000007FFFF6E -REG FFFFFFFFB7000000 -REG 000000000000007B -REG 0000000000000000 -REG 0000000000000000 -REG 000000010001FE01 +GPR0 3AFC000000000000 +GPR1 000000019BFFFD9D +GPR2 0000000000000000 +GPR3 FFFFFFFBA6C24558 +GPR4 1000000000000000 +GPR5 0000000000000000 +GPR6 8857340000000000 +GPR7 FFFFFFFFC6F0AA70 +GPR8 FFFFFFFFFFFFFFFF +GPR9 00000000390F5590 +GPR10 0000000000000000 +GPR11 50CE1DCD50CE1DCD +GPR12 000000047C8C2383 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000037C0C4E75 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000022 +GPR21 0000000000000000 +GPR22 FFFFFFFFC6F0AA70 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFBA6C24558 +GPR30 0000000000000000 +GPR31 +CR 000000003BFFA890 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/876.bin b/tests/876.bin index 9ee3dee..78ee6f1 100644 Binary files a/tests/876.bin and b/tests/876.bin differ diff --git a/tests/876.out b/tests/876.out index 2199fc7..a1410bd 100644 --- a/tests/876.out +++ b/tests/876.out @@ -1,32 +1,37 @@ -REG 000008001EFFFFFF -REG 0000000000000000 -REG FFFFFFFF88870022 -REG 0000000000000001 -REG FFFFC2E7C0000001 -REG 0000000000000000 -REG 00000000003FA709 -REG 0808060708060407 -REG FFFFFFFFFFFFFFFF -REG 000000007778FFDD -REG 0001000000000000 -REG FFFEFFFFFFFFFFFF -REG FFFFF7FFFFFFFFFF -REG 0000000000000000 -REG FF737FFFFFFFFFC0 -REG 000000000000003F -REG FFFFFFFFFFFFFFFF -REG FFFFEE7FFFFC2E7F -REG 0000000000000000 -REG 3FFFFFFEB96AFFFE -REG 0000000000000000 -REG FFFDE58F9690CE00 -REG 0000000000250000 -REG 3FFFFFFEB96AFFFE -REG C000000146950001 -REG 0000000000000001 -REG 00000000003FC000 -REG 00000000003FA84A -REG FFFFFFFFFFFFFEE6 -REG 0000000000000000 -REG 000000000000000C -REG 0000000081019C08 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFDF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFE3FDF +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFABAF6B65 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000020 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFABAF6B66 +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000020 +GPR25 FFFFFFFFFFFE3FDE +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003454B499 +LR FFFFFFFFFFFFFF3E +CTR FFFFFFFFFFFFFFFF +XER 00000000C009C020 + diff --git a/tests/877.bin b/tests/877.bin index d65a8f8..3d6329e 100644 Binary files a/tests/877.bin and b/tests/877.bin differ diff --git a/tests/877.out b/tests/877.out index 57a7650..e99bd90 100644 --- a/tests/877.out +++ b/tests/877.out @@ -1,32 +1,37 @@ -REG FFFFFFFF8F500032 -REG 0000000000000001 -REG 0007C00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000037600000000 -REG 00000000595C0000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 0007D00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000060000000 -REG 0000000013F70F56 -REG 0000000070A80000 -REG FFFFFFFFFFFFFFFF -REG 2400000024000000 -REG 0000000000000001 -REG 000F00000114540C -REG 000010003EE20000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000007 -REG 0000000003C00000 -REG 0000000000000020 -REG FFFFFFFFFFFFF70A -REG 0000000000000000 -REG 0000000001BB0000 -REG 0000000089089088 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFF65B +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFF65B38FE +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFF5401FFF9 +GPR11 0000000000000000 +GPR12 00000000000038FF +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFF65B +GPR15 0000000000000000 +GPR16 00000000ABFE0006 +GPR17 FFFFFFFFFFFFECB6 +GPR18 0000000000000000 +GPR19 FFFFFFFFF65B38FE +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFF65B38FF +GPR24 0000000000000000 +GPR25 FFFFFFFFF65B38FF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 0000000000000040 +GPR31 +CR 00000000303B5502 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/878.bin b/tests/878.bin index c98f726..703a097 100644 Binary files a/tests/878.bin and b/tests/878.bin differ diff --git a/tests/878.out b/tests/878.out index b3a0039..19fdbcb 100644 --- a/tests/878.out +++ b/tests/878.out @@ -1,32 +1,37 @@ -REG 0000000016240000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFACCB0400 -REG 0000000044840880 -REG FFFFFFFFFFFFFFFF -REG 0000000080000000 -REG 0000000080000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF0000FF00 -REG ED40000000000000 -REG FFFFFFFFFFFFFFFE -REG 0050000000400000 -REG 000000000B120000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000200000000 -REG FFFFFFFFE9DBFFFF -REG 000000000000076A -REG FFFFFFFF0000FF00 -REG 0000000000000000 -REG 000000000FCFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000400 -REG 0000000000000028 -REG 0000000024840880 -REG 0000000000000000 -REG 0000000049081100 +GPR0 000000000001C024 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFC1 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 000000000000000F +GPR7 0000001200000012 +GPR8 0000000000000038 +GPR9 000000006BB8861B +GPR10 FFFFFFFFFFFFFFB1 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000015EBF590 +GPR16 0000000000000000 +GPR17 000000000000003E +GPR18 0000000000000000 +GPR19 0000002000000020 +GPR20 000000000000001F +GPR21 00000000001247D6 +GPR22 DC60000038FD0000 +GPR23 0000000000000001 +GPR24 00000000001247D6 +GPR25 FFFFFFFFFFED3ED6 +GPR26 DC60000030000000 +GPR27 FFFFFFFFF102B0B2 +GPR28 0000000047DFDA5C +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000037FFFDF5 +LR 0000000015EBF590 +CTR 239FFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/879.bin b/tests/879.bin index 1bc5d7d..ae03dcf 100644 Binary files a/tests/879.bin and b/tests/879.bin differ diff --git a/tests/879.out b/tests/879.out index fc54fdd..a9f859b 100644 --- a/tests/879.out +++ b/tests/879.out @@ -1,32 +1,37 @@ -REG 0000000000009CAF -REG 0000000000000040 -REG 0000000000000000 -REG 000000000000001A -REG FFFE00000000007F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000000 -REG 0000000000000017 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000009CA6 -REG 0000000000000022 -REG 0000000000000003 -REG 0000000000000021 -REG 0000000000000030 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000009CC4 -REG 0000000000000000 -REG 00000000000031E7 -REG 0000000000000000 -REG 000000000000063C -REG 0000000000000000 -REG 0000000000000000 -REG 000000007FFFFF80 -REG 0000000000004531 -REG 0000000000000000 -REG 0000000084000001 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000007FFFC +GPR5 0000000000000000 +GPR6 00000FFF76000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000023C60020 +GPR10 00000000007FFFFF +GPR11 0000800000008000 +GPR12 00011E2F00011E2F +GPR13 0000000000000000 +GPR14 0000008000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFBF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000007FFBB +GPR21 0000000023C60020 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 00000FFF76000000 +GPR27 0000020000000200 +GPR28 0000000000000040 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 00000000308BFF45 +LR 00000FFF76000000 +CTR 0000000000000000 +XER 0000000080020020 + diff --git a/tests/88.bin b/tests/88.bin index 9793fcb..15dc5a8 100644 Binary files a/tests/88.bin and b/tests/88.bin differ diff --git a/tests/88.out b/tests/88.out index b3402cc..a80a400 100644 --- a/tests/88.out +++ b/tests/88.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 00000004873BABB8 -REG 00000004873C3923 -REG 0000000040240440 -REG FFFF4F5100000000 -REG 0000000000000020 -REG 000000000000003A -REG 000000000000588C -REG 0000000000000000 -REG 0000000000005E7B -REG 0000000000000021 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000001B4320040 -REG 0000000004000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000004873C0444 -REG 0000000080000000 -REG 00000001B4320000 -REG FFFFFFFFFFFFA774 -REG 0000000040240440 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFE4BCDFFBF -REG 0000000000005E7B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000048181090 +GPR0 000000000001C022 +GPR1 0000000000000000 +GPR2 00000000FFFFFFEA +GPR3 FFFFFFFFFFFFFFDF +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 000000000000000C +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000020 +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 00000000000068A3 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 00000000000068A3 +GPR19 00000000000000D4 +GPR20 FFFFFFFFFFFFFFEA +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 000000000000000C +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0200000000000000 +GPR29 000000000000315F +GPR30 0000000000000000 +GPR31 +CR 0000000095559559 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFA +XER 0000000080000000 + diff --git a/tests/880.bin b/tests/880.bin index 0af7b94..acfa8fa 100644 Binary files a/tests/880.bin and b/tests/880.bin differ diff --git a/tests/880.out b/tests/880.out index ca85c33..7843413 100644 --- a/tests/880.out +++ b/tests/880.out @@ -1,32 +1,37 @@ -REG FFFFFFFF00000000 -REG 0000000000000001 -REG FFFFFFFFFFFF8E06 -REG 3FFFFFFF80000000 -REG 000000000000001F -REG 000000007FFFFFFF -REG FF8095B7FFFFFFFF -REG 00000000001FF7FF -REG 0000000000000000 -REG E0000000003FFFFF -REG 03FFD9FC25DDFC00 -REG 0000000080001C3E -REG 0000000000001C3E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000001FF800 -REG 0000000000000018 -REG FFFFFFFFFFFF0000 -REG 0000001FFFFFFFC0 -REG 0000000000000003 -REG 0000000000000F80 -REG 0000000000000000 -REG 0000000080001C3D -REG 0000000000000000 -REG FFFFFFFFFFFFD178 -REG FFFFFFFFFFFFFFFE -REG 0000000008080807 -REG 000000000000001F -REG FFFF807FFFFFD177 -REG 0000000000000040 -REG 0000000091108249 +GPR0 00000000EDB6DB6E +GPR1 FFFFFFE4FFFFFFE4 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 000000000001C03C +GPR5 0000001B0000001A +GPR6 0000001AFFFFE042 +GPR7 0000000000000002 +GPR8 0000001B0000001C +GPR9 0000001B0000001B +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 FFFFFFD6FFFFFFD6 +GPR16 000000000001C03C +GPR17 FFFFFFE4FFFFFFE6 +GPR18 0000000000000009 +GPR19 FFFFFFFFFFFFFFFF +GPR20 000000000001C020 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 000000000000FFFF +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 FFFFFFD6FFFFFFD6 +GPR26 FFFFFFFFFFFFFFF2 +GPR27 0000001AFFFFE042 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFF7 +GPR30 000000000001C03C +GPR31 +CR 0000000080E988E4 +LR FFE958F14E1D63C6 +CTR FFFFFFFFFFFFFFFD +XER 000000000001C03C + diff --git a/tests/881.bin b/tests/881.bin index 84befd7..9b74792 100644 Binary files a/tests/881.bin and b/tests/881.bin differ diff --git a/tests/881.out b/tests/881.out index a0b56e7..4c717bc 100644 --- a/tests/881.out +++ b/tests/881.out @@ -1,32 +1,37 @@ -REG 0000000000000002 -REG 0000000000000000 -REG FFFFFFFFFFFF669B -REG 00000000004E0000 -REG 0000000000000007 -REG 0000000000000002 -REG 0000000000200020 -REG FFFFFFFF411EB14B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000029 -REG FFFFFFFFFFDFC093 -REG 00000000BEE10000 -REG FFFFFFFFFFFFFFF8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000043AE -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000200000 -REG 0000000000006AC6 -REG 0000000000000029 -REG 0000000091089090 +GPR0 0000000000418020 +GPR1 0000000000000485 +GPR2 0000000000000000 +GPR3 0000000008080200 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFE0 +GPR6 0041802000008020 +GPR7 0000000000000000 +GPR8 000000000000001F +GPR9 FFFFFFFFFFFFFFE0 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000080023FDF +GPR18 0000000000000010 +GPR19 0000000000000001 +GPR20 FFFFFFFFCFFFFFFF +GPR21 0000000000000000 +GPR22 0000000008080205 +GPR23 00000000FFFFFF56 +GPR24 0000000000000040 +GPR25 0000000000000000 +GPR26 0100000000000000 +GPR27 0000000000000040 +GPR28 0000000008080205 +GPR29 FFFFFFFFFFBE7FE0 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 000000005100595D +LR FFFFFE0000000000 +CTR FFFFFFFFCFFFFFFF +XER 0000000080023FDF + diff --git a/tests/882.bin b/tests/882.bin index 190e803..1c592f8 100644 Binary files a/tests/882.bin and b/tests/882.bin differ diff --git a/tests/882.out b/tests/882.out index 2dc1a26..c9e4ed0 100644 --- a/tests/882.out +++ b/tests/882.out @@ -1,32 +1,37 @@ -REG D30EF57BB1A6AC2F -REG 2CF113892C000789 -REG FFFFFFFFFFFFEDA7 -REG 0000000000000000 -REG FFFE9FFF00000000 -REG 0000000000000020 -REG 0000000000006950 -REG FFFFFFFFFFFFFFFF -REG 0000007FFFFFF5D7 -REG 0000000000006950 -REG FFFFFFFFFFFFEDA7 -REG D30EECF6D3FFF876 -REG 00000000248D34A8 -REG FFFFFF8000000A29 -REG 0000000073B5FFFF -REG FFFFFFFFFFFFFFFF -REG E3181FFDE3181F8D -REG FFFFFFFFFFFFFFFF -REG FFFFFFDA3C580000 -REG 00E3FFFFFFFFC6C3 -REG 0000000000000000 -REG FFEFFFFFFFEF18C0 -REG 0000000000000000 -REG FFFFFFFFFEE80000 -REG 00000000A40F0000 -REG 000000000000092C -REG 0000000001180000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFF0000FF0000 -REG FFFFFF7FFFFFFFFF -REG 00000000891A8508 +GPR0 00000000000001A4 +GPR1 9A7FFFF89803FFF8 +GPR2 0000000000000020 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFE269 +GPR6 FFFE1F7FFFFFC200 +GPR7 0000000000000000 +GPR8 0001FFFFFFFFBDCC +GPR9 0000000000000100 +GPR10 0000000003E00000 +GPR11 0000000000000040 +GPR12 0000000000000039 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 000000000000001F +GPR16 FFFE1F7FFFFFC1FF +GPR17 0000000099509333 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFD +GPR20 000000000001C024 +GPR21 FFFFFFFF30CE5B10 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000001C124 +GPR25 000000000000000D +GPR26 FFFFFFFFDEE5987A +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFE3FFF +GPR29 000000000001C000 +GPR30 0000000000000040 +GPR31 +CR 0000000039509533 +LR FFFF6C280000A73B +CTR 0000000039519033 +XER 00000000A0040000 + diff --git a/tests/883.bin b/tests/883.bin index 8b88f92..e7815f7 100644 Binary files a/tests/883.bin and b/tests/883.bin differ diff --git a/tests/883.out b/tests/883.out index c2f344b..b426ee1 100644 --- a/tests/883.out +++ b/tests/883.out @@ -1,32 +1,37 @@ -REG 000F4F402B9E801A -REG 0000000000000000 -REG 000FFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFAD41 -REG 000000000C4B3800 -REG 07FFFC001283BFFF -REG 000000000C7F3800 -REG 0000000000000000 -REG 0000000000000000 -REG FFF0000000000000 -REG FFFFFFFFB17EFFFE -REG 0000000093340000 -REG 07FFFC001283BFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF4B92FFFF -REG FFFFFFFFFF7FFFFF -REG 000000001FBC0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000001E1617FE6 -REG 000000012CF47FE5 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000C800000 -REG 0000000000000000 -REG 00000001E1617FE6 -REG 0000000089008890 +GPR0 0000000000000000 +GPR1 0000E01000000000 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000005 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFF1FEFFFFFFFFF +GPR10 000000000001C020 +GPR11 0000000030002261 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 00000000BA6948FF +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000000000005F +GPR17 FFFF000000000000 +GPR18 0000000000000000 +GPR19 0000000030006240 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000FFFD48FF +GPR25 000000000001C011 +GPR26 000000000001FFFF +GPR27 FFFFFFFFFFFDC021 +GPR28 FFFF9405FFFF9405 +GPR29 FFFFFFFFFFFFC021 +GPR30 0000000030006240 +GPR31 +CR 000000009015971C +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/884.bin b/tests/884.bin index ec90ae0..a1f881a 100644 Binary files a/tests/884.bin and b/tests/884.bin differ diff --git a/tests/884.out b/tests/884.out index a1e6715..08dd59c 100644 --- a/tests/884.out +++ b/tests/884.out @@ -1,32 +1,37 @@ -REG 0000000000000431 -REG 0000000020000000 -REG 000000000C400000 -REG 0000000000000000 -REG 00000000DFC00000 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF7BC3FFD0 -REG 000000000000003F -REG FFFFFFFF80000000 -REG 0000000000000000 -REG FFFFFFFFDF7FB77F -REG 1B1BBF789380A190 -REG 00000000E86C003F -REG 0000000000000031 -REG 0000000000000000 -REG 0000000000000022 -REG 1B1BBF7893804880 -REG 0000000000000000 -REG 0000000020000000 -REG 00000000843C002F -REG 0000000000000000 -REG 0000000000000000 -REG 0000001000000010 -REG FFFFFFFFFFFFFFD0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF023 -REG 000000008000003F -REG 000000009E1E001E +GPR0 20000000001FB2A8 +GPR1 DFFFFFFFFFDFFFE0 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C018 +GPR5 FFFFFFFFFFFE3FE6 +GPR6 00000004FFFF8000 +GPR7 0000000000000000 +GPR8 0000000000008000 +GPR9 0000000000000000 +GPR10 0000000000008000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000400000000000 +GPR15 0000000000000005 +GPR16 0000000000008000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFB2A8 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFBE +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFBFEF +GPR24 DFFFFFFFFFDFFFE0 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000024018 +GPR28 00000007FFDFD47F +GPR29 0000000500000001 +GPR30 00000000CFFFFFFF +GPR31 +CR 000000002F0505FC +LR 0000000000000000 +CTR 00000003FFFFFFFF +XER 00000000E00C8000 + diff --git a/tests/885.bin b/tests/885.bin index 4f0311f..a65fd3e 100644 Binary files a/tests/885.bin and b/tests/885.bin differ diff --git a/tests/885.out b/tests/885.out index b0920ff..dded2f3 100644 --- a/tests/885.out +++ b/tests/885.out @@ -1,32 +1,37 @@ -REG 0000000000000984 -REG 0000000003000001 -REG 0000000000000000 -REG 00000000000054DC -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000006BA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFF3838498 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFAF4 -REG 0000000003000001 -REG FFFFFFFFF815312F -REG 0000000003000000 -REG 0000000001140000 -REG 0000000000000015 -REG 0000000000000000 -REG 0000000A28000000 -REG 0000000047040000 -REG 0000000000000000 -REG 0000000000002CAC -REG 0000000000000120 -REG 0000000007EACEFB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFF945 -REG 0000000000000000 -REG FFFFFFFFF815312F -REG 0000000000000000 -REG 0000000080803F40 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000057BF0000001F +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 0808080808080405 +GPR7 000000000001C020 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000038068001C020 +GPR11 000000000001C020 +GPR12 FFFFA840FFFFFFE0 +GPR13 FFFFA840FFFFFFE0 +GPR14 FFFFFFFFFFFFFFFA +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000005 +GPR18 FFFFFFFFFFFFFCFF +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 000000000001C020 +GPR27 0000000000000000 +GPR28 0000000000000040 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003D019D8F +LR 0000000000000002 +CTR FFFFFFFFFFFFFFFF +XER 00000000C0080000 + diff --git a/tests/886.bin b/tests/886.bin index ede1fce..392be27 100644 Binary files a/tests/886.bin and b/tests/886.bin differ diff --git a/tests/886.out b/tests/886.out index 99153b6..058ce79 100644 --- a/tests/886.out +++ b/tests/886.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000018 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A5F30006 -REG FFFFFFFFFC000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000A40800000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000D934 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000A0000000A +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000000000000B +GPR9 0000140000001400 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFE5A60000 +GPR18 FFFFFFF5FFFFFFFF +GPR19 0000000009000000 +GPR20 0000000000000000 +GPR21 FFFFFFD600000017 +GPR22 0000000099D55919 +GPR23 FFFFFFFFFFFFFF80 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039DD5519 +LR 000000000001C020 +CTR FFFFFFFFFFFFD7E1 +XER 00000000C0080000 + diff --git a/tests/887.bin b/tests/887.bin index 538293f..3b79483 100644 Binary files a/tests/887.bin and b/tests/887.bin differ diff --git a/tests/887.out b/tests/887.out index 88db3eb..7ba83f6 100644 --- a/tests/887.out +++ b/tests/887.out @@ -1,32 +1,37 @@ -REG FFFFE000134D0000 -REG 0000000000000000 -REG 000000003E120000 -REG FFFFF0D3FFFFF0D3 -REG FFFFFFFFFFFFB49F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000052A3 -REG 0000000100000000 -REG 0000000000030000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000005 -REG 0000000000000000 -REG 0000020000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000040038888 -REG FFFFFFFFBFFC7777 -REG 0000000000000020 -REG FFFFFFFFFFFFFB01 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100071110 +GPR0 00000000000018E7 +GPR1 FFFFFFFFFFFE400C +GPR2 FFFFFFFFFFFEF9BB +GPR3 000000000001A01F +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 FFFFFC8021F73BA8 +GPR7 000014FF34365A30 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000000C664 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFF9C021 +GPR13 0000000000000000 +GPR14 FFFFFFFFF7863810 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFEF9BB +GPR18 0000000000000000 +GPR19 000000000007FFFF +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFE400D +GPR22 0000000030000000 +GPR23 000000000001C020 +GPR24 FFFFFC8021F73BA8 +GPR25 0000000000000000 +GPR26 0000000000000250 +GPR27 FFFFEB00CBCB65F0 +GPR28 FFFE82A73434C7D8 +GPR29 FFFFFFFFF7863A40 +GPR30 0000000000000000 +GPR31 +CR 0000000037861593 +LR 0000000000002000 +CTR 00000000FFFFFFFE +XER 00000000C0080000 + diff --git a/tests/888.bin b/tests/888.bin index e16bc3a..4b66524 100644 Binary files a/tests/888.bin and b/tests/888.bin differ diff --git a/tests/888.out b/tests/888.out index 5b5a077..c4e6719 100644 --- a/tests/888.out +++ b/tests/888.out @@ -1,32 +1,37 @@ -REG 000000001B24FFFF -REG FFFFFFFE00000000 -REG 0000000000000000 -REG 0000000000180000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFCB -REG 00000001FFFFAADF -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000D0EF -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFF9FFFFFFFA -REG 0000000000000000 -REG 0000000000000018 -REG 0000000000000000 -REG FFFF0C2E3CF486C3 -REG 0000000000000000 -REG FFFFFFFFFFFFFFCB -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000010 -REG 00000000FFFF8000 -REG 0000000000000000 -REG FFFFFFFFFFFFF936 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFE00000000 -REG 0000000000000000 -REG 0000000081080808 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFF8386 +GPR6 0000000000007CB9 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFA7DC +GPR9 00001FFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFD1 +GPR11 FFFFFFFFFFFE3FDE +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFE3FDE +GPR14 0000000000000000 +GPR15 0000000000007C8A +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C021 +GPR20 000000000001C020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFE00000000000 +GPR24 0000000000000000 +GPR25 0000000000007C8A +GPR26 000000000001C020 +GPR27 FFFFFFFFF0000001 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE3FDD +GPR30 FFFFFFFFFFFE3FDF +GPR31 +CR 0000000020916207 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000000007C8A + diff --git a/tests/889.bin b/tests/889.bin index 8d58225..69efdd0 100644 Binary files a/tests/889.bin and b/tests/889.bin differ diff --git a/tests/889.out b/tests/889.out index 09893d5..ca86581 100644 --- a/tests/889.out +++ b/tests/889.out @@ -1,32 +1,37 @@ -REG FFFFFFD3FFFFFF94 -REG 000000000000003A -REG 0000000000000020 -REG 000000000000002B -REG 0000002C0000002B -REG FFFFFFD3FFFFFFD4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000002000000 -REG FFFFFFFFFFFF91F7 -REG FFFFFB06EFFFF00F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002C0000002B -REG 000000000000002B -REG 000000000000001D -REG 0000002C0000002B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFB06F0DF0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000044010804 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFE +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFDF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000000005 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000034 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFBF41 +GPR22 0000000000000000 +GPR23 0000000000007C34 +GPR24 0000000000000034 +GPR25 0000000000000000 +GPR26 0000000000000041 +GPR27 000000000001C020 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 0000000000000000 +GPR31 +CR 0000000095053325 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040600 + diff --git a/tests/89.bin b/tests/89.bin index cefce48..b6a68ac 100644 Binary files a/tests/89.bin and b/tests/89.bin differ diff --git a/tests/89.out b/tests/89.out index 08a75f9..5bfe80b 100644 --- a/tests/89.out +++ b/tests/89.out @@ -1,32 +1,37 @@ -REG ABBA5F7F0A40FFE2 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000002E -REG 0000000000000000 -REG FBFCFDFEF8F7FDFB -REG 000000008000003C -REG 0000000000000000 -REG 0000000000000000 -REG 0403020107080205 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFE8FFF3FFE8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 1700000000000000 -REG 01700000000020C8 -REG 0000000000000000 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF80000001 -REG 0000000001400000 -REG 000000004015E016 +GPR0 0000000000000040 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFC0 +GPR3 000000000001C020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 FFFFFFFFF8040000 +GPR7 0000000000000040 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000001 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFF00 +GPR17 0000000000000040 +GPR18 0000000000000001 +GPR19 FFFFFFFFFFFE3FD7 +GPR20 0000000080000020 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFF8040000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000040 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFE8F9 +GPR31 +CR 000000005959BD88 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080000020 + diff --git a/tests/890.bin b/tests/890.bin index fa40f31..fbf71e7 100644 Binary files a/tests/890.bin and b/tests/890.bin differ diff --git a/tests/890.out b/tests/890.out index a7ca37f..7f996ad 100644 --- a/tests/890.out +++ b/tests/890.out @@ -1,32 +1,37 @@ -REG 2A792A0014725F0D -REG 0000000000000000 -REG 000000006AA736C1 -REG 00000000000036C1 -REG FFF00187F8000187 -REG D586D5FFEB8D8D5D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E4E54428E4FFC429 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 1B1ABBD71B003BD6 -REG EB8D8D5CEB8D8D5C -REG FFFFFFFFFFFFFFFF -REG E4E54428E4FFC429 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF00187 -REG 0000000000000001 -REG 0000000000000000 -REG 00000000E0000000 -REG 00000000FFF00187 -REG 0000000000000000 -REG A393000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050006010 +GPR0 0000000080000000 +GPR1 0000000000000020 +GPR2 0000000000000020 +GPR3 00000000700C0000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000004 +GPR11 FFFFFFFFFFFFFFFF +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 00000148DF0CAB5B +GPR15 FFFFFFFFFFFFFFFF +GPR16 000000008FF03FFF +GPR17 0000000000000000 +GPR18 FFFFFFFF8FF03FFF +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 000000000001C030 +GPR26 0000000000000000 +GPR27 0000000000000020 +GPR28 00005237C32AD6CD +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000005F71F846 +LR 0000001F0000001F +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/891.bin b/tests/891.bin index 0e5b6cd..55585bd 100644 Binary files a/tests/891.bin and b/tests/891.bin differ diff --git a/tests/891.out b/tests/891.out index 1d9d64e..ef5893a 100644 --- a/tests/891.out +++ b/tests/891.out @@ -1,32 +1,37 @@ -REG 0000000000000017 -REG FFFFFFFFBFFFFCAB -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF0241FFFF -REG 2000000000000000 -REG 0000000000000000 -REG 1FFFFFFFFFFFFFFF -REG 00000000283AFCAB -REG 00000000233FF2DA -REG 000000003DBE0000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFC000003F -REG 0000011C0000011C -REG FFFFFFFFF5480000 -REG 0000000000000000 -REG FFFFFFFFC000003F -REG 0000000001F40000 -REG 2000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020F0F440 -REG E000000000000001 -REG FFFFFFFF97C50000 -REG FFFFFFFF97C50000 -REG 0000000081E1E881 +GPR0 FFFFFFFF93860000 +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 0000000000000012 +GPR4 0000000000000012 +GPR5 FFFFFFFFFFFFFFEF +GPR6 0000000000000019 +GPR7 0000000000000012 +GPR8 000000000001C020 +GPR9 000000000001F878 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFF0000000002000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000076480 +GPR16 FFFFFFFFFFFFFFEE +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000012 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFF0000000001FFF +GPR25 0000000000000000 +GPR26 0000000000000011 +GPR27 0000000000000000 +GPR28 FFF0000000001FFE +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000035BD53F9 +LR 000000000001C020 +CTR 000000000001C00A +XER 00000000E00C0040 + diff --git a/tests/892.bin b/tests/892.bin index 2017f7e..87b0b58 100644 Binary files a/tests/892.bin and b/tests/892.bin differ diff --git a/tests/892.out b/tests/892.out index 6f19edf..e8ef8ca 100644 --- a/tests/892.out +++ b/tests/892.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFE0 -REG 0000002000000007 -REG FFFFFFFFCD800020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000000A -REG 000000000000BDBB -REG FFFFFFFFCD800000 -REG 0000000000000040 -REG 000000000000001E -REG FFFFFFFFCD800020 -REG FFFFFFFFFFFFFFFF -REG 0000000033D9000E -REG 0000000040000000 -REG 0000000000000040 -REG FFFFFFFFFFFFAA75 -REG 000000000000BDBB -REG 0000000000000020 -REG 0000000000000040 -REG 0000000000000000 -REG 0F98C20000000040 -REG 0000000032000000 -REG 00000000804FF284 -REG 0000000000000017 -REG 0000000100006325 -REG 0059000032000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000002 -REG F0673DFFFFFFFFBF -REG 00000000489FE509 +GPR0 2180000FFFFFFFFF +GPR1 0000000000000002 +GPR2 002705A3F0B30000 +GPR3 000000008001C008 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 000000008001C008 +GPR7 FFFFFFFFFFFF1861 +GPR8 0000000000000000 +GPR9 FFFFFFFF506E3FF7 +GPR10 FFFFFFFEFFFC7FEF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFEFFFB9850 +GPR14 0000000000000040 +GPR15 FFFFFFD8FA5C0F4C +GPR16 0000000000000C31 +GPR17 000000000000E79D +GPR18 FFFFFFFFFFFE7FEF +GPR19 0000000000000002 +GPR20 0000000000000000 +GPR21 DE7FFFF000000000 +GPR22 FFFFFFFFFFFD5C92 +GPR23 2000000020000020 +GPR24 0000000000000001 +GPR25 000000000000002D +GPR26 000000000001C030 +GPR27 FFFFFFFFFFFE3FD0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 00000000505B3B59 +LR 000000000000E79D +CTR FFFFFFFF506E3FF7 +XER 000000008001C008 + diff --git a/tests/893.bin b/tests/893.bin index fb23d06..ee6006c 100644 Binary files a/tests/893.bin and b/tests/893.bin differ diff --git a/tests/893.out b/tests/893.out index d85e719..c8cc7f4 100644 --- a/tests/893.out +++ b/tests/893.out @@ -1,32 +1,37 @@ -REG F3F0203FF7FE1191 -REG 0000001B0000000A -REG 0000000000000000 -REG FFFFFFE000000000 -REG FFFFFFFFE4665100 -REG 0000000000000000 -REG E0407FE8C0407FE8 -REG 0000000000000000 -REG 00000FFFFC8CCA00 -REG FFFFC8CCA0000000 -REG FFFFFE221826CBC0 -REG 43FFFFFFC000227D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0808080808080800 -REG 0000373360000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000F03F7F00 -REG FFFFFFFFFFFF9559 -REG 000000003A060000 -REG FFFFFFFFE4665101 -REG 0000000000006AB6 -REG 0C0FDFC00801DFC0 -REG 0000372060000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000010 -REG FFFFFFFFFFFFFFFF -REG 0000000000006AA6 -REG 00000001010C1E08 +GPR0 0000000000000006 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000006 +GPR4 FFFFFFFFFFFFFFFE +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000021 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFDFFFFFFEA +GPR19 FFFFFFFFFFFFFFE6 +GPR20 FFFFFFFFFFFFFFFF +GPR21 FFFFFFFFFFFFFFE6 +GPR22 0000000000000000 +GPR23 00000000000000FF +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005F9FFF91 +LR 0000000000000000 +CTR 0000000000000006 +XER 00000000C00A5582 + diff --git a/tests/894.bin b/tests/894.bin index c2eab6c..40d05ae 100644 Binary files a/tests/894.bin and b/tests/894.bin differ diff --git a/tests/894.out b/tests/894.out index 97abed5..3b035f8 100644 --- a/tests/894.out +++ b/tests/894.out @@ -1,32 +1,37 @@ -REG FFFFFE2840253E21 -REG FFFFFFFFFFFFFFFE -REG FFFFFFE0000001E0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000012D00000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000001F1177001F -REG 0010000000000000 -REG 0000000000000001 -REG 0000000000000006 -REG FFFFFFDFFFFFFFE6 -REG 0000000000000001 -REG FFFFFFDF70ADFFE1 -REG FFFFFFE0EE80FFE0 -REG FFFFFFDFFFFFFFE1 -REG FFFFFFDFFFFFFFE1 -REG 0000000051DE0000 -REG 0000000000000000 -REG 0000001FFFFFAD50 -REG 0000000000000000 -REG 000000200000001E -REG 000000200000001F -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000100000109 +GPR0 0000000000000040 +GPR1 000000003E0BFFFF +GPR2 0000000000000014 +GPR3 00000000D526FFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 000000000000E3EB +GPR8 000000000001C020 +GPR9 00006C9CC181C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000014 +GPR16 FFFFFFFFF83E7FFF +GPR17 0000000000000000 +GPR18 FFFFFFFFC1F3FFFF +GPR19 0000000000000040 +GPR20 FFFFFFFFC1F40002 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 FFFF93633E7E3FE0 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000003FFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000039B9E913 +LR 0000000000000000 +CTR 000000003E0BFFFD +XER 0000000080000000 + diff --git a/tests/895.bin b/tests/895.bin index 92bf745..12c812a 100644 Binary files a/tests/895.bin and b/tests/895.bin differ diff --git a/tests/895.out b/tests/895.out index cb09970..ead4707 100644 --- a/tests/895.out +++ b/tests/895.out @@ -1,32 +1,37 @@ -REG FFFFB36ED0963DA5 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000099A30000 -REG 0000000000014000 -REG 40000000000008F1 -REG 000000000000591F -REG 0000000103000000 -REG 0000000000000000 -REG 0000000000000000 -REG FF782D8D80000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000100000001 -REG 0000000000003FEC -REG FFFFFFFF665D5052 -REG 00000A0000000A00 -REG E0F9F4D916421880 -REG 0000000000000000 -REG FFFFFFFFFFFF993F -REG 0000000000000021 -REG 0000000000000000 -REG 00004C912E6666FE -REG 0000000000001E0B -REG FFFFFFFFFEFFEEBF -REG 0000000000000020 -REG 0000000000005052 -REG 0000000081800000 -REG FFFFFFFF6FE11E28 -REG 0000000080000000 -REG FFFFB36E9181993F -REG 000000011F1FFF02 +GPR0 0000000090000000 +GPR1 0000000000009246 +GPR2 000000000001C020 +GPR3 0000000080040000 +GPR4 0000000000000000 +GPR5 000000000001C009 +GPR6 0000000090000000 +GPR7 000000008003FFFF +GPR8 FFFFFFFFFFFE3FF6 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFE +GPR14 000000000001C020 +GPR15 0000000000000018 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0380400000000000 +GPR19 0000000000000001 +GPR20 FFFFFFFFFFFE3FF6 +GPR21 000000000001C008 +GPR22 00001B2880000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000038028 +GPR26 0000000000000000 +GPR27 FC7FC0000001C008 +GPR28 FFFFFFFFE974FFDF +GPR29 0000000080040000 +GPR30 00000000CB71C020 +GPR31 +CR 00000000519B1918 +LR 0000000000000000 +CTR 000000000001C01C +XER 00000000E00C0000 + diff --git a/tests/896.bin b/tests/896.bin index 8909334..0033b66 100644 Binary files a/tests/896.bin and b/tests/896.bin differ diff --git a/tests/896.out b/tests/896.out index 75d5dcd..ddd3fcb 100644 --- a/tests/896.out +++ b/tests/896.out @@ -1,32 +1,37 @@ -REG 3FFFFFFE00000010 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000000E21A0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFDFFFFFFFDF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFAC38 -REG 0000000000000000 -REG 0000000000000000 -REG 0000001E00000000 -REG 000000001FFBF002 -REG FFFFFFFFFFFFFFBF -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000710D -REG 0000000050110510 +GPR0 FFFFABF9FFFE3FDE +GPR1 0000000000000000 +GPR2 EE00C004EE00C004 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 200C000000000000 +GPR8 FFFFFFFCEFAA3DF0 +GPR9 0000000018000000 +GPR10 11FF3FFB11FF3FFB +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFD4AE +GPR14 EE00C004EE00C008 +GPR15 0000000000000000 +GPR16 000000004A000000 +GPR17 0000000000000000 +GPR18 00000000000029FF +GPR19 0000000000000000 +GPR20 0000000000002A04 +GPR21 0001800000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000020 +GPR27 000000000001C020 +GPR28 0000000000007494 +GPR29 11FF3FFB11FF3FFB +GPR30 0000000000000000 +GPR31 +CR 0000000059BF65DD +LR 0000002000000020 +CTR 0000000000000000 +XER 0000000080000019 + diff --git a/tests/897.bin b/tests/897.bin index 99874a5..77dee65 100644 Binary files a/tests/897.bin and b/tests/897.bin differ diff --git a/tests/897.out b/tests/897.out index a47fadb..ea91056 100644 --- a/tests/897.out +++ b/tests/897.out @@ -1,32 +1,37 @@ -REG 08080808227C836B -REG 0000000000000000 -REG 0204000000000000 -REG 0000000000000000 -REG 0808080808080504 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000008000000 -REG 00000000FFFFFF00 -REG 0000000000000000 -REG 000000000000C344 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 00000E9A00000E9A -REG 0000000000000000 -REG 0000000000000000 -REG 00000000E75C0000 -REG FFFFFFFFFFFFFFFF -REG 0000000080000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000A24F0000 -REG 0000000000000000 -REG FFFFFFFFFFFF7400 -REG 0000000000001709 -REG 0000000000000000 -REG 0000000100811088 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C002 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000039 +GPR16 0000000000000020 +GPR17 FFFFC00000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 FFFFC00000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 000000000001C001 +GPR31 +CR 0000000090022005 +LR 00000000000000FF +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/898.bin b/tests/898.bin index 6acbc5d..7f4ea7e 100644 Binary files a/tests/898.bin and b/tests/898.bin differ diff --git a/tests/898.out b/tests/898.out index fc258ec..04f6ccb 100644 --- a/tests/898.out +++ b/tests/898.out @@ -1,32 +1,37 @@ -REG 000000000000003E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG FFFDFFF800000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF0000FF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFC5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF78F7FFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000000003A -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 000000000000003F -REG 0000000051111111 +GPR0 FFFFFFFFFFFF259F +GPR1 FFFFFFFFFFFD67E3 +GPR2 0000000000000039 +GPR3 0000000000000000 +GPR4 FFFFFFFFFF9777A0 +GPR5 FFFFFFFFFFFFA986 +GPR6 000000000000003F +GPR7 0000000000000000 +GPR8 0000000099998A85 +GPR9 000000000001B21C +GPR10 0000000000000000 +GPR11 52000000000015A9 +GPR12 000000000000D7FB +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFB199B80 +GPR17 FFFFFFFFFFFFFFC1 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFA947 +GPR21 000000000001C020 +GPR22 000000000000000C +GPR23 0000000000000000 +GPR24 000000000000DA21 +GPR25 0000000000000100 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 002000BDA5933C7B +GPR29 0000000080000000 +GPR30 0000000000000000 +GPR31 +CR 0000000099998A89 +LR FFFFFFFFFFFD67E3 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/899.bin b/tests/899.bin index 19af288..5dacb2a 100644 Binary files a/tests/899.bin and b/tests/899.bin differ diff --git a/tests/899.out b/tests/899.out index ab4b894..f6a45e6 100644 --- a/tests/899.out +++ b/tests/899.out @@ -1,32 +1,37 @@ -REG 000000000000746A -REG FFFFFFFFFFFFFFE6 -REG 0000000000000000 -REG FFFFFFFFFFF0A009 -REG 00000000FFFFE600 -REG 0000000000000000 -REG FFFFFFFFEC8FA86B -REG 000000000000000F -REG 0000000000000000 -REG 000000003FFF938D -REG 000009BFFF7F5FF6 -REG 0000000000000000 -REG 0000000000000000 -REG 4000203040002030 -REG FFFFFFFFEC8FB9EB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000006080004 -REG 000009BFFF7F5FF6 -REG 0000000000000000 -REG FFFFFFFFFFFFFF39 -REG 0000000000000000 -REG 9CB804019CB80401 -REG 0000000000000000 -REG 000009BFFF7F5FF6 -REG 000009BFFFFF938D -REG 0000000006080004 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000004F -REG 000000000000000F -REG 0000000048F08CEC +GPR0 000000000001C026 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFE3A4 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFE +GPR6 0000000000000000 +GPR7 00000001782649E1 +GPR8 0000000000000000 +GPR9 001C020000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000005D53090F +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFE87D9B61F +GPR18 0000000000000001 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 52F4000052F407F8 +GPR22 000000000001C020 +GPR23 FFFFFFFFFFFFE3A4 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 00000000782649E1 +GPR29 FFFFFFFE87D9B61D +GPR30 000000000D8DD058 +GPR31 +CR 000000005D530908 +LR FFFFFFFFFFFE3FDF +CTR 00000000FFFFFFFF +XER 00000000800007F9 + diff --git a/tests/9.bin b/tests/9.bin index 08505b7..bb5459d 100644 Binary files a/tests/9.bin and b/tests/9.bin differ diff --git a/tests/9.out b/tests/9.out index a3b1e53..289fb0f 100644 --- a/tests/9.out +++ b/tests/9.out @@ -1,32 +1,37 @@ -REG FFFFDA228470E194 -REG 0FFF80DA59C1E9EF -REG 0FFF80DA59C1F9A4 -REG 000000001417FFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 00000000000046E4 -REG FFFFFFFFDF07D276 -REG 0000000000000000 -REG FFFFFF800007FFFF -REG 00001EE518600000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000006EAA -REG 0000007FFFF80000 -REG 0000000000009401 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000000000000 -REG FFFFFFFFFFFF9401 -REG 0000000000000000 -REG 0000000020F79401 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 01FFE00000000000 -REG FFFFFFFFFFFF9155 -REG 0000000000000000 -REG FFFFFF800007FFFF -REG 0000000020F79401 -REG 0000000051F00515 +GPR0 0000000000000034 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFF80 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 000000000001C021 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000000EAD5 +GPR11 FFFFFFFFF2304074 +GPR12 0000000000000016 +GPR13 FFFFFFFFFFFE3FDF +GPR14 0000000000000016 +GPR15 000000000000000F +GPR16 1E00000000000000 +GPR17 0000000000005A5C +GPR18 FFFFFFFFFFFFFFFF +GPR19 FFFFFFFFFFFFFFE9 +GPR20 000000000000000F +GPR21 0000000000000000 +GPR22 FFFFFFFFF2304074 +GPR23 4B80000B4000000B +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000001 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000055FF3E5F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000C00BBF8B + diff --git a/tests/90.bin b/tests/90.bin index 71aac1e..7db6f9c 100644 Binary files a/tests/90.bin and b/tests/90.bin differ diff --git a/tests/90.out b/tests/90.out index 5729b9a..2b2ae8d 100644 --- a/tests/90.out +++ b/tests/90.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFF929 -REG 00000000603A0000 -REG 0000FFFFFDFFFFE6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003A -REG FFFFFFFBFFFF8449 -REG 0000000000000000 -REG FFFFFFFFFFF5D9FD -REG 0000000000000000 -REG 000000000000003A -REG 0000000000000000 -REG FFFFFFFBFFFFF2B7 -REG 000000000000CECC -REG 0000000000000000 -REG FFFFFFFFFFFFFFB7 -REG 0000000000000000 -REG 0000000000000010 -REG 0007400000000000 -REG 0000000000000000 -REG 0000000000000021 -REG 0000000000000001 -REG 0000000040404048 -REG 0000000400007BB7 -REG 0000000092450000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000040808090 +GPR0 00000000000000F7 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 7FFFFFFFFFFFFFFF +GPR4 000000000001C010 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000400000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFD404 +GPR14 8000000000000001 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C010 +GPR18 0000000000000000 +GPR19 00000000000003FF +GPR20 0000000000000000 +GPR21 7FFFFFFFFFFFFFFE +GPR22 7FFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 000000000001C010 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 000000008000000A +GPR31 +CR 0000000050929935 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008000000A + diff --git a/tests/900.bin b/tests/900.bin index f40cf03..37dba7c 100644 Binary files a/tests/900.bin and b/tests/900.bin differ diff --git a/tests/900.out b/tests/900.out index e630b8d..7e708e0 100644 --- a/tests/900.out +++ b/tests/900.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD0F05357 -REG 00001FFFCC700FDA -REG 0000000000000001 -REG FFFFFFFFFFFFFF8B -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 000000002F0FF024 -REG FFFFF80000000011 -REG 000000034070FDA1 -REG 0000000000000000 -REG 0000000000000000 -REG 00001FFFFB800000 -REG FFFFFFFFD0F00FDA -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000010 -REG 0000000034070FDA -REG 0000000000000010 -REG FFFFFFFFFFFFD0A0 -REG 0000000000000010 -REG 0000000000000800 -REG FFFFF80000000001 -REG FFFFFFFF2F0FF825 -REG 0000000000000000 -REG FFFFF80000000001 -REG 2FFFFFFFFFFFFC00 -REG 000047FFFFFFF039 -REG 000000034070FDA0 -REG 00001EEFFB7FFFFF -REG 00000000881F0049 +GPR0 FFFFFFFFFFFFFFFF +GPR1 000000000001C020 +GPR2 0000000000000000 +GPR3 00000000FFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000014 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 FFFFFFFFFFFFFFF7 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFE +GPR20 8000000000020000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000164B455 +GPR24 7FFFFFFFFFFDFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000097909509 +LR 0000000000000006 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/901.bin b/tests/901.bin index 078e6ff..a3225f7 100644 Binary files a/tests/901.bin and b/tests/901.bin differ diff --git a/tests/901.out b/tests/901.out index ddaaf0a..44c9ef2 100644 --- a/tests/901.out +++ b/tests/901.out @@ -1,32 +1,37 @@ -REG 0000000000000003 -REG FF2303E76F13BB34 -REG 0000000000000000 -REG 3FFFFFF800000000 -REG FF00000000000000 -REG FFFFFFFFFFFFCAFF -REG FFFFFFFFFFFFFD7B -REG 000000000000D81C -REG 0000000000005177 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000000 -REG 000000006F13BB34 -REG 0000000000000000 -REG A70F2800A2050000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFB -REG 262303E76F13DFFE -REG 0000000080600000 -REG FF00000000000000 -REG FF2303E76F13DFFE -REG 0000000000000000 -REG FFFFFF17FFFFFFFF -REG 000000000000001A -REG 0000000000000000 -REG 0120000000000000 -REG 0000000000000000 -REG 0000000000000012 -REG 7FF800000F9DBC4F -REG 0000000000000000 -REG 0000000100809000 +GPR0 FFFFFFFFFF000000 +GPR1 FFFFFFFFFFFFFFDF +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 0000000000000007 +GPR7 0000000000000000 +GPR8 FFFFFFFFFF000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFF000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFF7 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFE3FDF +GPR15 0000000000000000 +GPR16 0000000000000040 +GPR17 FFFFFFFFFFB80FFE +GPR18 000000000000000F +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000000F +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 000000004A9BC020 +GPR25 0000001C2F1BE980 +GPR26 000000003001FFEA +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000020 +GPR31 +CR 0000000093015391 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000E0080000 + diff --git a/tests/902.bin b/tests/902.bin index 1e9af0f..356a4b0 100644 Binary files a/tests/902.bin and b/tests/902.bin differ diff --git a/tests/902.out b/tests/902.out index 1559955..9ef60de 100644 --- a/tests/902.out +++ b/tests/902.out @@ -1,32 +1,37 @@ -REG FFFDE5B39BB57FB1 -REG FFFFFFFFFFFFFFFF -REG 0000000000000007 -REG FFFFFF19ADBBDFDF -REG FFFFFFFFFFFFFFA7 -REG 0000000000000000 -REG 0000000400000004 -REG 0000000040000000 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000004E7060004 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000020004 -REG 00021A4C00021A04 -REG 000000000000A060 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFE9B88DEA4D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFF19ADA269 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000004D25800041 -REG 0000000088008909 +GPR0 FFFFFFFFFFFF8C8C +GPR1 0000000034941D54 +GPR2 00000000000039EB +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 000000001A4A0EAA +GPR14 FFFFFFFFFFFFA05D +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFA05D +GPR21 00000000000040A1 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000000 +GPR27 00000000359C1F54 +GPR28 FFFFFFFFFFFFA05D +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 00000000559C5F55 +LR 0000000000000000 +CTR 0000B7490030FFFF +XER 00000000E00DC01F + diff --git a/tests/903.bin b/tests/903.bin index 5b36ba9..f479a96 100644 Binary files a/tests/903.bin and b/tests/903.bin differ diff --git a/tests/903.out b/tests/903.out index 1dd16f1..19e7211 100644 --- a/tests/903.out +++ b/tests/903.out @@ -1,32 +1,37 @@ -REG 0000000000000001 -REG 7E5BEB6BE7B193E8 -REG E00082BFB5CB65D3 -REG D082BFB5FFFFFBE5 -REG 0000155B80000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 7E5BEB6BE7B193E8 -REG 2F7D4049A009763E -REG 0000000000000000 -REG A3273D3AEDEDA1F0 -REG 000415FDAE5B2E9F -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0808080808080808 -REG FFFFFFFFFFFFFFE8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000415FDAE5B2E9F -REG FFFFFFFFFFFFFFFF -REG 00000000249992F2 -REG 0000000000000000 -REG 0000000024998000 -REG FFFFC00000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 7E5BEB6BF7BF93E8 -REG D082BFB5FFFFFBE5 -REG 000000005E0909F0 +GPR0 0000000000000000 +GPR1 00000000FFFE3FE0 +GPR2 0000000000000040 +GPR3 0000000000000000 +GPR4 000000001C180C00 +GPR5 0000000000008090 +GPR6 0000002D67A20243 +GPR7 0000000000000400 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000008070604 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFF7B6F +GPR14 0000000000000400 +GPR15 0003FFFE00000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFDFE3E7F400 +GPR25 0000000000000000 +GPR26 FFFFBFB7FFFFBFB7 +GPR27 0007FFFFF7F8F9FD +GPR28 0000000067A20243 +GPR29 0000000005A26000 +GPR30 0000000008070603 +GPR31 +CR 0000000050845454 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/904.bin b/tests/904.bin index 0eb9d3a..cd8e697 100644 Binary files a/tests/904.bin and b/tests/904.bin differ diff --git a/tests/904.out b/tests/904.out index a8a1917..aad6f8e 100644 --- a/tests/904.out +++ b/tests/904.out @@ -1,32 +1,37 @@ -REG 000000010021FFF0 -REG 0000000000000000 -REG 0000000000000001 -REG 03FFFFFFFFFFFFC0 -REG FFFFFFFEFFDEAE2D -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFEFFDEAE2C -REG 00000000000000C2 -REG 000000010021FFF0 -REG FFEA000000000000 -REG 0016000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 7FEF57157FEF5715 -REG DA80000000000000 -REG 0000000000000000 -REG 0000000040840388 -REG 0000000000000000 -REG 0837DFFFFFFFFF81 -REG FFFFFFFFFFFFFFFF -REG 000000010021FFF0 -REG 0000000000000000 -REG 42403FFFFFFFFFFF -REG 00000000041C77B6 -REG FFFFFFFFFFFFFFED -REG 0000000000000000 -REG FFFFFFFFFFFFFFED -REG 0000000000000000 -REG 0000000081080710 +GPR0 0000000000000020 +GPR1 FFFFFFFFFFFE007F +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFF0000032270000 +GPR9 0000000000000000 +GPR10 C020000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 FFFFFFFFFFFFFFE8 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFF7FFFE500 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFE +GPR28 1FEFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000080001AFF +GPR31 +CR 0000000035795909 +LR 0000000000000000 +CTR 00000000000023FE +XER 00000000A0041AFF + diff --git a/tests/905.bin b/tests/905.bin index 37d0a8c..ef00fb2 100644 Binary files a/tests/905.bin and b/tests/905.bin differ diff --git a/tests/905.out b/tests/905.out index aef95eb..a52a480 100644 --- a/tests/905.out +++ b/tests/905.out @@ -1,32 +1,37 @@ -REG 00000000FFFFFFC4 -REG 3030000030300000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000096C90000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 3030000030300000 -REG 0000000000000000 -REG FFFFFFFFFFE19F29 -REG 0000000096C90000 -REG 8180000181800000 -REG 0000002000000015 -REG FFFFFFFFF0FF9F29 -REG FFFFFFFFFFC3FFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFC7 -REG 00000000FFFFFFFD -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFFFFD -REG 303000AE303000AE -REG FFFFFFFFFFFFFFFF -REG 0000000400000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050004501 +GPR0 00000000380037FF +GPR1 00FF7FFFFFFFB19D +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFBF +GPR5 000000000000000F +GPR6 01FEFFFFFFFFB19D +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000000 +GPR9 0000000000400000 +GPR10 0000000000000800 +GPR11 0000000000000000 +GPR12 01FEFFFFFFFFB19D +GPR13 000000000001C010 +GPR14 0000000000000000 +GPR15 FFFFFFFFC7FFC800 +GPR16 0000000000000000 +GPR17 000000000000435F +GPR18 0000000000000000 +GPR19 FFFFFFE0FFFE3FA0 +GPR20 FFFFFFE0FFFE3F9F +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFBFFFFF +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 000000000000435F +GPR30 00FF800000000000 +GPR31 +CR 000000003BF4A942 +LR 0000000000000000 +CTR FFFFFFFFFFFE3FE0 +XER 0000000080000000 + diff --git a/tests/906.bin b/tests/906.bin index f873739..25b390a 100644 Binary files a/tests/906.bin and b/tests/906.bin differ diff --git a/tests/906.out b/tests/906.out index 14f5c3a..a4e7cb3 100644 --- a/tests/906.out +++ b/tests/906.out @@ -1,32 +1,37 @@ -REG FF00FF00F0DF00FF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FA760000 -REG 0000000000000000 -REG EE605C539EB27400 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000028040404 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFFF0200000 -REG 0000000100000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000029CF593A000 -REG 0000000000000000 -REG FFFFFFE6FFFF3E55 -REG FFFFFFE6FFFF9D9C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG EE605C539EB27400 -REG 00000000F6770400 -REG 0000000000000000 -REG F077402FE0000000 -REG 0000000000000000 -REG F077402EE57F0100 -REG FFFFFFFFFB800000 -REG 0000000090080809 +GPR0 800000008003E40C +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFE3FD7 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 7FFFFFFFFFFFE40F +GPR9 0000000000000020 +GPR10 000001C020000000 +GPR11 0000000000000000 +GPR12 0000000000000045 +GPR13 FFFFFFDFFFFFFFE4 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFF207103C6FC4 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000008003FFFC +GPR26 7FFFFFFF80000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000004F852B53 +LR FFFFFFFFFFFFFFEF +CTR FFFFFFFFFFFFFF00 +XER 0000000000000000 + diff --git a/tests/907.bin b/tests/907.bin index 1b08b4e..2c98b93 100644 Binary files a/tests/907.bin and b/tests/907.bin differ diff --git a/tests/907.out b/tests/907.out index 3d89186..da0343e 100644 --- a/tests/907.out +++ b/tests/907.out @@ -1,32 +1,37 @@ -REG 00000000AC800000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG FFFFE00000000000 -REG FFFFFFFFFFFFFE5A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFE6B0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000004000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFD9910000 -REG 0000000000000000 -REG 0000000026100000 -REG FFFFFFFFFFFFB516 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000DC90000 -REG 0000000000000000 -REG 0000000040100640 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000002 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000001C018 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000560FFFFF +GPR12 FEAC1FFFFEA8007F +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 A9F01289A9F0128A +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFF8A13 +GPR21 560FED76560FED76 +GPR22 0000000000000002 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000090000000 +GPR26 560FED75C60FED75 +GPR27 0000000000000000 +GPR28 00000000000000FE +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033519595 +LR 0000000000000000 +CTR 00000077560FFFFF +XER 00000000A007EE62 + diff --git a/tests/908.bin b/tests/908.bin index 4c9966c..65a9d8a 100644 Binary files a/tests/908.bin and b/tests/908.bin differ diff --git a/tests/908.out b/tests/908.out index f5371ca..2d71716 100644 --- a/tests/908.out +++ b/tests/908.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000001A0000001A -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF88FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000002000000020 -REG FFFFFFFF14DB24BB -REG 0000000000000000 -REG 0000000003950000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 23FFFFFE23FFFF80 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000049111088 +GPR0 000000A0000004A0 +GPR1 0001040403020100 +GPR2 0000000000000000 +GPR3 0000003FA0200000 +GPR4 0000000000000000 +GPR5 000000003F724595 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFE3FE0 +GPR8 0000000000000000 +GPR9 3F7644933F764493 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000020C0030F +GPR15 000000000000000F +GPR16 0000000000000009 +GPR17 0000000000000000 +GPR18 000000003F764493 +GPR19 BFFFFFFFB800000F +GPR20 00011B59C1488001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000003FE0 +GPR26 000000000000245B +GPR27 0000000000000000 +GPR28 0000000000FF0000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000005F724595 +LR 000000000000005E +CTR FFFFFFFFFFFFFFFD +XER 000000008003FFFF + diff --git a/tests/909.bin b/tests/909.bin index 31b27d0..36cbea7 100644 Binary files a/tests/909.bin and b/tests/909.bin differ diff --git a/tests/909.out b/tests/909.out index a49b4bb..627c85b 100644 --- a/tests/909.out +++ b/tests/909.out @@ -1,32 +1,37 @@ -REG EBF8FFFFFFFF0000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFF00FF -REG 0339FFC37E0C0893 -REG 000000000000FFFF -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG FFFFFFFFFFFFD65D -REG 0000000000000000 -REG 00000000A1F3BA73 -REG 0000000000000000 -REG 000000005D860000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000A07A -REG FFFFFFFFFFFFFFDD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE74A -REG 000FFFF006E23F70 -REG 0000000000000000 -REG FFFFFFFF0000FF00 -REG 0000000000000000 -REG FFFFFFFFFFFEDE1B -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0339FFC41FFFFFFF -REG 000000010880C11D +GPR0 0000000050BD0000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 00000000001247D6 +GPR4 0000000000000E00 +GPR5 0000000000000000 +GPR6 000000000000E010 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000000002B +GPR10 0000000038000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 000000000001C020 +GPR14 0000000000000018 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000DFF +GPR18 FFFFFFFFFFFE3FE0 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 00000000001247D7 +GPR22 0000000000000000 +GPR23 0000000000000E00 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000001F800000 +GPR28 0000000000000000 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 000000003FF00058 +LR FFFFFFFFFFFE3FE0 +CTR 000000001F800000 +XER 00000000E00C0000 + diff --git a/tests/91.bin b/tests/91.bin index d865b3d..cef2cbb 100644 Binary files a/tests/91.bin and b/tests/91.bin differ diff --git a/tests/91.out b/tests/91.out index 8d2ec48..7eb5115 100644 --- a/tests/91.out +++ b/tests/91.out @@ -1,32 +1,37 @@ -REG 0000000000000081 -REG FFFFFFFFFFFFFF00 -REG FFFFFFFFFFFFFFE4 -REG FFFFFFFFFFFFFFFF -REG 0000000000003DFB -REG 0000000000000001 -REG 000000000000000C -REG 0000000000000000 -REG 000000003FF80000 -REG FFFFFFFFFFFFFFFF -REG 0000000110000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000011 -REG 0000000020000000 -REG FFFFFFFFFFFFB98F -REG 0000000A423127E1 -REG FFFFFFFFFFFFFFE4 -REG FFFFFFFFFFFFFFE4 -REG FFFFFFFFFFFFFFE4 -REG 0000000000000001 -REG 00000000000001E0 -REG 0000000000002BFF -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG FFFFFFF5BDCED81F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000100 -REG FFFFFFFFFFFFF000 -REG 0000000091FF009E +GPR0 0000000000200000 +GPR1 000000001FFF0000 +GPR2 0000FFFFFFFFFFFE +GPR3 000000001C59868F +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C01F +GPR7 0000000000010000 +GPR8 0000000000000001 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000020 +GPR21 000000000000400E +GPR22 00000000D4968212 +GPR23 0000000000000000 +GPR24 1A3C0000001FFFFE +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000000020928A +GPR29 FFFFFFFFFFFFFF01 +GPR30 0000000000000000 +GPR31 +CR 000000002510394F +LR FFFFFFFFF967FFFF +CTR 0000000000000001 +XER 000000000003FFFE + diff --git a/tests/910.bin b/tests/910.bin index c0dff2c..1ea7e1e 100644 Binary files a/tests/910.bin and b/tests/910.bin differ diff --git a/tests/910.out b/tests/910.out index 1dd9098..faa7faa 100644 --- a/tests/910.out +++ b/tests/910.out @@ -1,32 +1,37 @@ -REG 0000574100003DDF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000CE8 -REG 0000000000000000 -REG 0000000000000039 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000005741 -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFEFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000001000000 -REG 0000000000000007 -REG 0000000000000002 -REG 0000000000000005 -REG 000000000000003F -REG FFFFFFFFFFFFFEFF -REG FFFFFFFFFFFFFFC1 -REG 00000000FF000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000040811108 +GPR0 000000000001C01F +GPR1 000000000001C01F +GPR2 0000000000000040 +GPR3 0000000000020000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000001C016 +GPR11 0000000000000000 +GPR12 000000000001C01F +GPR13 000000000001C020 +GPR14 000000000001C03C +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFD7EB5 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 000000000002814A +GPR31 +CR 0000000054900150 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000E00C0012 + diff --git a/tests/911.bin b/tests/911.bin index 7f117f2..e3c3543 100644 Binary files a/tests/911.bin and b/tests/911.bin differ diff --git a/tests/911.out b/tests/911.out index 14e4e24..96b065e 100644 --- a/tests/911.out +++ b/tests/911.out @@ -1,32 +1,37 @@ -REG 000000000000C093 -REG 0000000000000000 -REG FFFFFFFFFFFFEA5F -REG 000000000000C093 -REG 0000000040000000 -REG 0000000000000002 -REG FE000407EFF00040 -REG 0000000000000000 -REG 0000000019200000 -REG 0000000000000000 -REG 0000000000000040 -REG 000000004F0244B2 -REG FE000407EFF0003F -REG 000000003FF78422 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFD87EB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000068A20000 -REG FFFFFFFFE409BA47 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFE409BA47 -REG 0000000005C00000 -REG FFFFFFFFB0FD7BDD -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000BA48 -REG FFFFFFFFFFFF8422 -REG 0000000000000000 -REG 000000011E050845 +GPR0 0000000000000000 +GPR1 0000000000000020 +GPR2 0808080808080303 +GPR3 000000000000003B +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 700800007000001C +GPR7 00000000007FFC00 +GPR8 000000000000001F +GPR9 FFFFFFFFFFFFC2C2 +GPR10 FFFFFFFF74513FDF +GPR11 0000000000000000 +GPR12 FFFFFFFFFC9AFF92 +GPR13 FFFF00FFFFFFFFFF +GPR14 700800007000001C +GPR15 FFFFFFFFFFFFD99D +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFDF +GPR18 0000000000000000 +GPR19 0000100000000000 +GPR20 0000000000000020 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000FFFFFFEF +GPR24 FFFFFFFF9DE5C292 +GPR25 0000000000000035 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFF92 +GPR29 0000000000000010 +GPR30 0000100000000000 +GPR31 +CR 0000000058D191ED +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000001 + diff --git a/tests/912.bin b/tests/912.bin index f9ee752..9779a32 100644 Binary files a/tests/912.bin and b/tests/912.bin differ diff --git a/tests/912.out b/tests/912.out index a03ce9f..178d946 100644 --- a/tests/912.out +++ b/tests/912.out @@ -1,32 +1,37 @@ -REG F0169CDCDD5BD2A3 -REG 001B7ACF00000007 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000751 -REG 0008307FFFFF0000 -REG FFFFFFFFFFFFF8AF -REG FFFFFFFFFFFFFFF4 -REG 0000000000000000 -REG F78BE44FF73BB8F9 -REG FFFFFFFFF78BE5DE -REG 01E0000001E0D080 -REG FFFFFFFFFFFFF8AF -REG 08741BB008C49BBD -REG FFFFFFA7FFFFFFA7 -REG FFFFFFFFFFFF7FFE -REG 13BBFDFF40000000 -REG FFFFFFFFBF6F7FFE -REG F259DFFFFF5E9180 -REG 0000000000000000 -REG 02DEB000000003C0 -REG 0000000019400000 -REG 0000000000000000 -REG FFFFFFFFFFFF7FFE -REG 0000000000000000 -REG 0000000019400000 -REG 0000000000080000 -REG 0003327FFFFFD0EC -REG 007FFFE86E800000 -REG 0003840100038418 -REG 0000000051E41FE0 +GPR0 00000000007407C7 +GPR1 0000000000000000 +GPR2 FFFFFFFFF7FF0000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFF1F +GPR11 0000000000000000 +GPR12 0000000000000010 +GPR13 00000000FFFF0000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000005 +GPR19 00000000000001C2 +GPR20 0000000000090000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFE +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000070000 +GPR29 0000000000000010 +GPR30 0000000000000000 +GPR31 +CR 00000000599955DD +LR 00000000001DC1A9 +CTR 00000000007407C6 +XER 000000008003453F + diff --git a/tests/913.bin b/tests/913.bin index 8252130..27412fa 100644 Binary files a/tests/913.bin and b/tests/913.bin differ diff --git a/tests/913.out b/tests/913.out index 64b30d6..bfd9162 100644 --- a/tests/913.out +++ b/tests/913.out @@ -1,32 +1,37 @@ -REG 0000000000002021 -REG 0000000000000000 -REG 0000000000003826 -REG FFFFFFFFFFE7FFFF -REG FFFFFFFFE26F0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFE789D -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000040 -REG 000000000000C5A2 -REG 0000020704C00000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFCFFE7DFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000089000000 +GPR0 00000000FF002E4C +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FF8 +GPR7 000000000D000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C008 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000000000F +GPR24 FFFFFFFFFFFFC010 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000002000000020 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003D559251 +LR FFFFFFFFFFFEEB41 +CTR 00000000AFC60D3F +XER 000000008001C020 + diff --git a/tests/914.bin b/tests/914.bin index cdae518..af98c26 100644 Binary files a/tests/914.bin and b/tests/914.bin differ diff --git a/tests/914.out b/tests/914.out index 62f462d..110554a 100644 --- a/tests/914.out +++ b/tests/914.out @@ -1,32 +1,37 @@ -REG 034D60019FFFDC37 -REG FFFFFFFFFFFFC9CF -REG 00000000400023E9 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000800 -REG 00000000FFFFC9CF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC9CF -REG 0000000000002001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000040000 -REG CCCC3A7000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFC9CF -REG 0000000000000000 -REG 0000000000000000 -REG CCCC3A7000000800 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FCB29FFDFF270000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF9FFFDC16 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000050040849 +GPR0 FFFFFFFFFFFFFFE6 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFA +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFBA +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000E70F0000 +GPR20 0808080808080808 +GPR21 0000000000000000 +GPR22 FFB6BFFFDD01ECA0 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFF80000 +GPR25 0000000000000000 +GPR26 FFFFFFFFF1000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000021010220 +LR 0000000000000000 +CTR 00000000E70F0000 +XER 0000000000000000 + diff --git a/tests/915.bin b/tests/915.bin index 9d0be23..a0cfd69 100644 Binary files a/tests/915.bin and b/tests/915.bin differ diff --git a/tests/915.out b/tests/915.out index 43d179d..e67d3da 100644 --- a/tests/915.out +++ b/tests/915.out @@ -1,32 +1,37 @@ -REG C007805000080101 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF80020116 -REG 0000000048484084 -REG 0000000000000000 -REG 00000000A0970002 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000009000 -REG FFFFFFFFB46E0000 -REG 0000000000000010 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF5F68FFFE -REG 0000000000000000 -REG 1FFFFFFFFFFFFF7F -REG 0000000000000000 -REG 00000000000000FB -REG FFFFFFFFFFFFFFFF -REG 000000007FFDFEE9 -REG 0000000000000000 -REG 00000000000036FF -REG 00000000000001F7 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000020 -REG 00000000BC450000 -REG FFFFFFA1213B0000 -REG 0000000000000000 -REG E000000000000080 -REG 0000000084908108 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 000000002C660000 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFF3FF63FE1 +GPR14 000000002C660000 +GPR15 0000002000000016 +GPR16 0000000000000000 +GPR17 000000002C660000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFE +GPR22 0000000000000000 +GPR23 000000003003C000 +GPR24 000000000001C010 +GPR25 FFFFFFFF6FFF3FEF +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFF1DF +GPR30 0000000000000000 +GPR31 +CR 000000003003C000 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 00000000E00DC01F + diff --git a/tests/916.bin b/tests/916.bin index 4897d95..5c8ac15 100644 Binary files a/tests/916.bin and b/tests/916.bin differ diff --git a/tests/916.out b/tests/916.out index 61394ad..41c9cc4 100644 --- a/tests/916.out +++ b/tests/916.out @@ -1,32 +1,37 @@ -REG 800000003FFFFFFA -REG FFFFFFFFFFFFFFFF -REG 00000000000044B1 -REG 0000000000004000 -REG 000000008F6A0001 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000005000202 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFBB59 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000004000 -REG 0000000000000005 -REG 0000000000000040 -REG 0000000000000031 -REG FFFFFFFFFFFFF38F -REG 0000000000000000 -REG FFFFFFFF943DFFFF -REG 0000000000000000 -REG 0000000000000005 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000005000202 -REG 000000009E811110 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000006354 +GPR3 0000000000000006 +GPR4 0000000000000000 +GPR5 0000000000000020 +GPR6 00000000000007FF +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFF9CAC +GPR9 0000000000005EA4 +GPR10 0000000000000015 +GPR11 0000000000000002 +GPR12 0000000000000001 +GPR13 0000000000006354 +GPR14 000000000001C038 +GPR15 0000000000000000 +GPR16 FFE00000FC000000 +GPR17 0000000000010203 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000BD48 +GPR22 FFFFFFFFFFFFFFFE +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFF9CCC +GPR26 0000000000005EA4 +GPR27 0000000000000000 +GPR28 000000000001C020 +GPR29 00000000FFFF6DCA +GPR30 0000000000000000 +GPR31 +CR 0000000057B32500 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/917.bin b/tests/917.bin index 0a1469e..242be7c 100644 Binary files a/tests/917.bin and b/tests/917.bin differ diff --git a/tests/917.out b/tests/917.out index 763e8c9..dbb3e2c 100644 --- a/tests/917.out +++ b/tests/917.out @@ -1,32 +1,37 @@ -REG 0000000003180253 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000022 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000000508228D -REG 8E4C000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFCE7DD72 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000508228D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF9AB60000 -REG 0000000000000003 -REG 0000000000004000 -REG 0000000000000040 -REG FFFFFFFFF8C7DD72 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000002A56FFE2 -REG 0000000034650000 -REG 0000000089090008 +GPR0 FFFFFFFF66C3973E +GPR1 0000000000000017 +GPR2 0000000000000000 +GPR3 FFFFFFFF66C3973F +GPR4 FFFFFFFF66C3973F +GPR5 0000000000000058 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000017 +GPR11 0000000000000000 +GPR12 00000000000068C1 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000006919 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000017 +GPR20 0000000000000000 +GPR21 FFFFFFFF0002719D +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000030509541 +LR 0000000000000058 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/918.bin b/tests/918.bin index 0a0491e..2b36a8b 100644 Binary files a/tests/918.bin and b/tests/918.bin differ diff --git a/tests/918.out b/tests/918.out index e6ae728..22a583a 100644 --- a/tests/918.out +++ b/tests/918.out @@ -1,32 +1,37 @@ -REG FFFFFFFFCD28583E -REG 0000000000000000 -REG 0000001F0000001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000017 -REG 0000000000000000 -REG 0000000000000022 -REG 000000000000001F -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000022 -REG FFFFFFFFFF00187F -REG 00000000B26752D8 -REG 0000000000000022 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFFFFFF -REG FF80000000000000 -REG 000000000000FFFF -REG 0000000000000000 -REG 000000000000FFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000B80000000 -REG 000000000000FFFE -REG 0000000000000000 -REG FFFFFFFF7FB0BFBE -REG 0000000000000015 -REG 0000000000000010 -REG FFFFFFFFFFFFFFFF -REG 000000000000001C -REG 00000000801E0000 +GPR0 0000000000000000 +GPR1 0000000000049374 +GPR2 FFFFFD47000E90AF +GPR3 FFFFFFFF37BDA5FF +GPR4 0000000000000000 +GPR5 000000000000EB10 +GPR6 000000000001C020 +GPR7 000000005FB4C020 +GPR8 0000000000000000 +GPR9 0000000000000038 +GPR10 00000000000E9368 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 07BC003807BC0038 +GPR15 0000000000000000 +GPR16 00000000000E01EF +GPR17 0000000000000000 +GPR18 00015C8000015C80 +GPR19 FFFFFFFFFFFFFFE3 +GPR20 0000000000000006 +GPR21 000002B8FFFB6F51 +GPR22 00000000000769B0 +GPR23 FFFFFD47000C80AE +GPR24 FFFFFFFFA04B3FE0 +GPR25 000002B8FFF16F51 +GPR26 F794D89EF7946C48 +GPR27 FFFFFFFFEBE85838 +GPR28 0000000000000000 +GPR29 000002B8FFF16F51 +GPR30 0000000000000000 +GPR31 +CR 0000000039037225 +LR 0000000000000000 +CTR 0808080808080401 +XER 00000000C0080000 + diff --git a/tests/919.bin b/tests/919.bin index 7d503a2..708f755 100644 Binary files a/tests/919.bin and b/tests/919.bin differ diff --git a/tests/919.out b/tests/919.out index 1022002..8a3b0f1 100644 --- a/tests/919.out +++ b/tests/919.out @@ -1,32 +1,37 @@ -REG 000000000007A2C6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000064F3 -REG 0000000000002000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFF447655 -REG 0000000032D62000 -REG 00020200C89E0000 -REG FFFFFFFFFF447655 -REG FFFFFFFFFFFFFDFD -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFF80001 -REG FFFFFFFFFFFF9B0C -REG 0000000000000000 -REG 000000000007FFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFDFC -REG 0000000000002000 -REG 0000000000000000 -REG 0000000000001FFF -REG 0000404000000000 -REG FFFFFFFFFFF80000 -REG 0002020000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000041008048 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000026 +GPR2 0000000011020000 +GPR3 0000000000000001 +GPR4 000000000001C020 +GPR5 000000000001C020 +GPR6 0000000000000026 +GPR7 FE0100F0FE0100FF +GPR8 0000000000000020 +GPR9 000000000000001C +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFE3FE0 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 FFFFFFFFFFFE3FDE +GPR21 0000000000000020 +GPR22 FFFFFFFFFFFF8066 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFEBFDE +GPR25 E3FDE000000FFFFF +GPR26 0000000000000000 +GPR27 0000000011020000 +GPR28 0000000000013258 +GPR29 000000000001C020 +GPR30 FFFFFFFFFFFFFFF0 +GPR31 +CR 000000008F763FD0 +LR 00000000B2FB0B8F +CTR 0000000011020000 +XER 0000000000000000 + diff --git a/tests/92.bin b/tests/92.bin index 10efd11..93729a1 100644 Binary files a/tests/92.bin and b/tests/92.bin differ diff --git a/tests/92.out b/tests/92.out index 7769c16..baf86fe 100644 --- a/tests/92.out +++ b/tests/92.out @@ -1,32 +1,37 @@ -REG FFFFFFFFAF408FF7 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000800000008 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000048408408 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000048408408 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000016E1 -REG 000000000000000D -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000B70D -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000016E1 -REG 0000000000000000 -REG 0000000090810811 +GPR0 000000000000000F +GPR1 0000000000000000 +GPR2 0000000000000002 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFE +GPR6 000000000000001F +GPR7 FFFFFFFF71C14B89 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000001C020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000001 +GPR15 000000000000FF80 +GPR16 03FFFFFF71C14B89 +GPR17 0000000000000080 +GPR18 0000000011B22D08 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000001 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFC039 +GPR27 0000000000000001 +GPR28 0000000000001000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003EF7088F +LR 0000000000000002 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/920.bin b/tests/920.bin index ea315b1..b62a16d 100644 Binary files a/tests/920.bin and b/tests/920.bin differ diff --git a/tests/920.out b/tests/920.out index 754f398..843562a 100644 --- a/tests/920.out +++ b/tests/920.out @@ -1,32 +1,37 @@ -REG 0000002180F0F6DF -REG 000000002B7B0000 -REG 00000000000FFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000004B15 -REG 00000020FFFFF9B8 -REG 0808000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000016 -REG 00000000000000E6 -REG 0FFFF9BB0E0009BB -REG 0000000000000000 -REG FFFFFFFFB4A5FFFF -REG FFFFFFFFFFFFFF00 -REG 00000000644F0000 -REG 0000000080F0F08E -REG FFFFFFFF9BB10000 -REG 0000000000001ACE -REG 0000000080F0F1CD -REG FFFFFFFF9BB0FFFF -REG FFFFFFFF9BB0FFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000008722 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000000E6 -REG 000000009FE1E11C +GPR0 0000000000001CDC +GPR1 00000000FFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000002 +GPR4 0000000000001CC4 +GPR5 0000000000000000 +GPR6 00000000FFFFFFFF +GPR7 000000000000000C +GPR8 0000000000000000 +GPR9 0000000000000001 +GPR10 0000000000000040 +GPR11 000000000000094E +GPR12 FFFFFFFFFFFE3FDF +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFE0000000000 +GPR21 000000000000000C +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000020 +GPR26 FFFFFFFF9BB80000 +GPR27 00000000001B8354 +GPR28 0000000000000000 +GPR29 000001FFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 000000003880AC95 +LR 0000000000000000 +CTR 0000000000000020 +XER 00000000C0080000 + diff --git a/tests/921.bin b/tests/921.bin index ea1f05e..ffd4d2e 100644 Binary files a/tests/921.bin and b/tests/921.bin differ diff --git a/tests/921.out b/tests/921.out index 046919f..9c31e61 100644 --- a/tests/921.out +++ b/tests/921.out @@ -1,32 +1,37 @@ -REG 0000000091D9FBAF -REG 000000000000725A -REG 2000000020000000 -REG 00000125F115389F -REG FFFFFEDA0EEAC720 -REG 000CF10000000000 -REG 0000000000037780 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFA -REG 0000000000000014 -REG 0000000091F9FA31 -REG 0000000000000001 -REG FFFFFFFFFFFFFFEB -REG 2F6DEA0000000000 -REG 0000000000000808 -REG FFFFFFFF6E25F402 -REG 03FFFFF51F248425 -REG 0000000000000000 -REG 03FFFFF4640605CE -REG 0000000000000006 -REG 00000000000067BF -REG 0000000000000000 -REG 0000000000200000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 000CF0FFFFFFFFFA -REG 0000000000000000 -REG 000000002F8100A7 -REG 0400451A00149204 -REG 0024000000000000 -REG 0000000000000000 -REG 000000005F009E11 +GPR0 FFFFFFFFFFFFC2D7 +GPR1 FC0E4C2BE0F097DA +GPR2 000000000001C020 +GPR3 000000000001C01F +GPR4 0000000000000080 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFD +GPR7 0000000050000000 +GPR8 FFFFFFFFFFFC7FC0 +GPR9 FFFFFFFFFFFE3FDF +GPR10 FFFFFFFFFFFFEFC6 +GPR11 FFFFFFFFFFFFFFFF +GPR12 00000000003FFFFF +GPR13 FFFFFFFFBFFFFE00 +GPR14 FFFFFFFFF0000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FE0 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFF803 +GPR19 FFFFFFFFFF9FE667 +GPR20 0000000000003D27 +GPR21 0000000000000000 +GPR22 000000054061C020 +GPR23 000000000001C020 +GPR24 000000000001C01F +GPR25 000000000000103A +GPR26 3FE07FFE3FE07E06 +GPR27 FFFFFFFABF3E2647 +GPR28 FFFFFFFFFFFE3FE2 +GPR29 000000000000001B +GPR30 0000000000000262 +GPR31 +CR 000000009000A559 +LR FFFFFFFDD55376C5 +CTR FFFFFFFFF0013F00 +XER 00000000A0040004 + diff --git a/tests/922.bin b/tests/922.bin index a459922..13b3442 100644 Binary files a/tests/922.bin and b/tests/922.bin differ diff --git a/tests/922.out b/tests/922.out index 019bf92..014ccb0 100644 --- a/tests/922.out +++ b/tests/922.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFDF85 -REG 0000000000000000 -REG 0000000000000000 -REG 77CFDB87F9C8A33E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FE3AE3E0FE3B6334 -REG 001FFDFFFFFFB1C0 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000000003F8 -REG 0000000000000040 -REG 2000000000000000 -REG 0000000000002008 -REG 00000000CE4519F6 -REG 0000000000000000 -REG 77CFDB87F9C8A33E -REG 00000000A49F0001 -REG 0000000000000000 -REG E000000000000000 -REG 000000001F00DFC1 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFCE4519F6 -REG 000000000000FC00 -REG FE3AE3E0FE3B6334 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000002007 -REG 0000000000002047 -REG 77CFDB87F9C8A33D -REG 0000000048870130 +GPR0 000000000000007E +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFDFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000003EB1 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000200 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000003EB1 +GPR20 000000000000592D +GPR21 0000000000000000 +GPR22 0000000000003EB1 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 000000000001C020 +GPR30 000000000000001F +GPR31 +CR 0000000039925569 +LR 0000000000000000 +CTR F555555555555555 +XER 00000000A007D1CD + diff --git a/tests/923.bin b/tests/923.bin index 35f82b0..1baf45d 100644 Binary files a/tests/923.bin and b/tests/923.bin differ diff --git a/tests/923.out b/tests/923.out index 7eb48c5..529a176 100644 --- a/tests/923.out +++ b/tests/923.out @@ -1,32 +1,37 @@ -REG 0000000040EA07D5 -REG 0000000000000000 -REG 000000000000003F -REG FFFFFFFFFFFFA6EE -REG 00000000AAC70000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000FFF4A630 -REG 000000000000C4BF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFF5BD -REG 0000000000000000 -REG 0000000000001900 -REG 00000000207503FA -REG 0000000000000000 -REG 800FFFFFFFFFFFC0 -REG FFFFFFFFBF15F80B -REG 000000000000C4BF -REG F5BD000000007FFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000030404060200 -REG FFFFFFFFBFFBF7C0 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C4BF -REG 0000000000000000 -REG 000000009FE94C60 +GPR0 000000000000001F +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 07FFFFFFFFE02064 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 07FFFFFFFFE00001 +GPR8 FFFFFFFFFE000007 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000007F +GPR12 000000000000002A +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFF810 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFDF +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 07FFFFFFFFF80001 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFE000007 +GPR27 FFFFFFFFF21B0200 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000034579095 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFE3FE0 +XER 00000000C0080000 + diff --git a/tests/924.bin b/tests/924.bin index 1248ea3..6eaa249 100644 Binary files a/tests/924.bin and b/tests/924.bin differ diff --git a/tests/924.out b/tests/924.out index 903fc24..032ce93 100644 --- a/tests/924.out +++ b/tests/924.out @@ -1,32 +1,37 @@ -REG 8114AD1200BCBDF3 -REG 00000000000064BB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000080F440F0 -REG 0000000200000002 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000300000003 -REG FFFFFFFFFFFFFFFF -REG 0000000020885FF0 -REG 0000000000000000 -REG FFFFFFFFDF770B07 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000007F72 -REG 0000000000000000 -REG 0114AD12008A5689 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 800000000036E07D -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000101E881E0 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFE +GPR2 FFFFFFFFFFFFFFFE +GPR3 0000000000000000 +GPR4 000000000000004A +GPR5 0000000000000000 +GPR6 000000000000004A +GPR7 0000000000003E4A +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 901D227D89519EBD +GPR13 FFFFFFFFFFFE3FFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 0000000000000020 +GPR22 FFFFFFFFFFFFFFF8 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000006 +GPR30 0000000000000000 +GPR31 +CR 0000000090325074 +LR 000000000001C020 +CTR 0000000000000000 +XER 00000000E00DC030 + diff --git a/tests/925.bin b/tests/925.bin index 9ef84d9..ad2f9e6 100644 Binary files a/tests/925.bin and b/tests/925.bin differ diff --git a/tests/925.out b/tests/925.out index 79b1149..729bea5 100644 --- a/tests/925.out +++ b/tests/925.out @@ -1,32 +1,37 @@ -REG FFFFFFDF7FFFC133 -REG 000054570000AEB8 -REG FFFFFFFFE00000BF -REG FFFFFFFFFFFF957E -REG 00000000347FFFFD -REG E80054570000AEB8 -REG 000000000000307B -REG FFFFFFFF81495840 -REG 0000000000000000 -REG 0000000000000057 -REG 17FFFFDFFFFFFFDE -REG 0000000000000000 -REG 17FFFFDFE6C0FFDE -REG 0000000000000001 -REG E800002000000021 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFF800002 -REG 0000000000000000 -REG 0000000000000040 -REG F800000000000005 -REG 0000000000000040 -REG E800002000000020 -REG 0000000000003A00 -REG 0000000000000000 -REG E8005457000092BB -REG 0000000034000000 -REG E7FFFFFFFFFFC868 -REG 000000007EB6A7C0 -REG 000000004D09FFA8 +GPR0 FFFFFFFFF106FFFE +GPR1 0000000000000000 +GPR2 FFFFFFFFEFB40000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 000000009E5C0000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFF106FFFE +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFF60 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000099550398 +GPR29 0000000000000000 +GPR30 0000000000000012 +GPR31 +CR 0000000039550398 +LR 0000000000002C01 +CTR 0000000000000000 +XER 00000000E00C0000 + diff --git a/tests/926.bin b/tests/926.bin index eb056ef..07dc30a 100644 Binary files a/tests/926.bin and b/tests/926.bin differ diff --git a/tests/926.out b/tests/926.out index 0036cae..3345aa6 100644 --- a/tests/926.out +++ b/tests/926.out @@ -1,32 +1,37 @@ -REG FBFFFB350FFFA6A0 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFE -REG 0000000000000009 -REG 0000000000001042 -REG 0000000000000000 -REG FFFFFFFF8FFFFFCC -REG FFFFFFFFAAEC0009 -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 040004CAF0000000 -REG 000000200000000B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF9157A -REG 0000000000001042 -REG 0000000000000000 -REG 0000000000001042 -REG FE90900B3FFFFFDA -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000009 -REG 0000000000000000 -REG 0000000000000000 -REG 0000010420000000 -REG 0000000000000013 -REG FFFFFFFFFFFFFF79 -REG 000000200000000B -REG 0000000000000000 -REG 0000000000000079 -REG 0000000100108808 +GPR0 FFFFFFFFFFFF9375 +GPR1 0000000000000001 +GPR2 000000000000002A +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000031 +GPR7 0000000000000000 +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 0000000000000002 +GPR11 0000000000000009 +GPR12 0000000000000000 +GPR13 0000000000006BD7 +GPR14 000000000000646C +GPR15 FFFFFFFFFFFE002A +GPR16 0000000000000000 +GPR17 000000000000000A +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFD7 +GPR29 FFFFFFFFFFFFFFFE +GPR30 000000000001FFD4 +GPR31 +CR 00000000350838C0 +LR 000000000000646C +CTR 0000000000000000 +XER 00000000A00444B3 + diff --git a/tests/927.bin b/tests/927.bin index 2ac712f..80a8582 100644 Binary files a/tests/927.bin and b/tests/927.bin differ diff --git a/tests/927.out b/tests/927.out index c1fd4dd..19dfe62 100644 --- a/tests/927.out +++ b/tests/927.out @@ -1,32 +1,37 @@ -REG FFFFFFFF64F01FE5 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFE000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 9000001FFFFFFFFF -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFFD3 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF3 -REG 000000000000002C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000003 -REG 0000000000000000 -REG 000000000000000E -REG 000000000000000E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000084048404 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 000000000000D97F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000011E080808 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 000000000000E0A8 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000AF800000 +GPR21 0000000000000040 +GPR22 000000000000000A +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000040 +GPR27 FFFFFFFFFFFE3FDF +GPR28 0000000000003FDF +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000024968997 +LR 0070080000000000 +CTR 000000000001C034 +XER 0000000000000000 + diff --git a/tests/928.bin b/tests/928.bin index 09dcda1..3579481 100644 Binary files a/tests/928.bin and b/tests/928.bin differ diff --git a/tests/928.out b/tests/928.out index df673e2..7165217 100644 --- a/tests/928.out +++ b/tests/928.out @@ -1,32 +1,37 @@ -REG 00000000200020BC -REG 000000000000003D -REG 00000000FFFF0007 -REG 000000000000001A -REG 0000000000000000 -REG FFFFFFFFFFD00003 -REG 000000000000DDA2 -REG 000000000000F9E1 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF9 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000B5080000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000030 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF0000FFFF -REG 0000000000000000 -REG 00000000604E0000 -REG 0000000040108080 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 00000000445A0001 +GPR3 0000000000005BC9 +GPR4 000000000001C018 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000016F24 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000005BC9 +GPR17 0000000000000000 +GPR18 0000000000000005 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000020 +GPR25 0000000000000000 +GPR26 0000000000005BC8 +GPR27 0000000000000000 +GPR28 0000000030000000 +GPR29 0000000080000000 +GPR30 0000000000000000 +GPR31 +CR 0000000050795981 +LR FF0800000001C020 +CTR FFFFFFFFFFFFFFFE +XER 00000000A0040000 + diff --git a/tests/929.bin b/tests/929.bin index 1b0bd8b..b18a6d0 100644 Binary files a/tests/929.bin and b/tests/929.bin differ diff --git a/tests/929.out b/tests/929.out index c7e603e..71fb9ef 100644 --- a/tests/929.out +++ b/tests/929.out @@ -1,32 +1,37 @@ -REG 000400000000F580 -REG E4A783FFFFFE0000 -REG 0000000000000000 -REG 0000000000000020 -REG 00000000000DAC3F -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFE000000000000 -REG 0405030808070000 -REG 0000000080000000 -REG 0000000000000040 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF253C1 -REG 0000000000000000 -REG 000000002F000202 -REG FFFFFFFF0B953B10 -REG 0FFFFFFFFF807000 -REG 0000000000000000 -REG FFFFFF8000000020 -REG 0000000000000A46 -REG FFFFFFFFFFFFF599 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF3B10 -REG FFFFFFFFFFFFD1F9 -REG 0000000000003B10 -REG 1B587C0000020000 -REG 00000000FFB00000 -REG 000000009E000404 +GPR0 0000000000000000 +GPR1 FFFFFFFFFFFFFFFF +GPR2 0007FFFC00000000 +GPR3 0000000000000000 +GPR4 F000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000C00 +GPR9 FFFFFFFFFFFFFFFF +GPR10 FFFFFFFFFFFFFFFE +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 00000000000065A4 +GPR17 0000000000000000 +GPR18 000FFFFFFFFFFFFF +GPR19 0000000000000000 +GPR20 000000000000014F +GPR21 0000500000005000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0808080808080608 +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0006000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFF5FF +GPR31 +CR 0000000030805095 +LR 0000000000000000 +CTR 0000000049350FCA +XER 000000008000DE5A + diff --git a/tests/93.bin b/tests/93.bin index 3530b29..7babef2 100644 Binary files a/tests/93.bin and b/tests/93.bin differ diff --git a/tests/93.out b/tests/93.out index 80a5155..390ab37 100644 --- a/tests/93.out +++ b/tests/93.out @@ -1,32 +1,37 @@ -REG C280FFFFC280AA46 -REG FFFFFFFFFFFFC36B -REG 0000000FFFFFFFFF -REG 000000004F800040 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFD2AE2020 -REG 0000000000010000 -REG 0001FFFE00000000 -REG 0000000000000000 -REG 0000000000002178 -REG 00000000000055B8 -REG FFFFFFFFFFFFAA47 -REG 00000000000003FF -REG 0000000000000000 -REG FFFFFFFFFFFFDE88 -REG 0000000504000000 -REG 581008B958100001 -REG A7EFF746A7EFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFAA47 -REG 0000000000000000 -REG 0000000000000000 -REG 00000005810035DC -REG FFFFFFFF0E5C0001 -REG FFFFFFFFFFFFAA47 -REG 0000000000800000 -REG 0000039AA55C4041 -REG 0000000000000504 -REG 0000000000000000 -REG 0000000000000000 -REG 000000005FE00080 +GPR0 0000000056990000 +GPR1 FFFFFFFFFFFFFFF6 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000021 +GPR7 FFFFFFFFFFFFFFF6 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000020 +GPR11 0000000075A80000 +GPR12 FFFFFFFFFEFCD46F +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 000000000000001C +GPR16 000000000000001C +GPR17 0000000000000009 +GPR18 000000000001C020 +GPR19 000000000001C020 +GPR20 0000000000000020 +GPR21 0000000000180000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 FFFFFFFFFFFFFFE0 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFE39FFFFFE39 +GPR28 00000000003FFEFD +GPR29 0000000000000000 +GPR30 000000009F8519B9 +GPR31 +CR 000000009F8519B9 +LR 000000000000001C +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/930.bin b/tests/930.bin index f75a7a5..d8ef7ff 100644 Binary files a/tests/930.bin and b/tests/930.bin differ diff --git a/tests/930.out b/tests/930.out index 21fda3f..7acf5df 100644 --- a/tests/930.out +++ b/tests/930.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 000000000000001A -REG FEFF7F5EFFFFFF5D -REG 0000000000000020 -REG FFFFFFFFFFFFFFDC -REG 0000000000000021 -REG 8189320D8000320D -REG 000000000C49906C -REG 0000000000000000 -REG 000000000000001B -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 1B7217A01B7217A0 -REG FFFFFFFFD77BBF45 -REG 0000000000000001 -REG 0000002000000020 -REG FFFFFFFFFFFFFE0F -REG FFFFFFFFFFFFFFFF -REG 00000000000000A1 -REG 0000000040000000 -REG F44B5FFFFD6BFFFF -REG 0000000000000081 -REG 0000000000000004 -REG 0000000000000000 -REG 000000005DA5001B -REG 00000000AD69A2DC -REG 000000000C49906B -REG 0000000000000000 -REG 00000000AD69A2DC -REG 000000000000003B -REG 000000005DA50000 -REG 0000000091088091 +GPR0 0000026600001F82 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000001F82 +GPR4 0000000000000000 +GPR5 0000000000003330 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000026600000000 +GPR9 000000000000332F +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 FFFFFFFFFFFFFFFE +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 BC0000CCA000004C +GPR18 FFFFFFFFFFFFFFFF +GPR19 000000000000332F +GPR20 000000000001C020 +GPR21 FFFFFFFFFFFFFFF8 +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000001 +GPR27 0000000035F9FF5F +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000035F9FF5F +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/931.bin b/tests/931.bin index c401215..238a35b 100644 Binary files a/tests/931.bin and b/tests/931.bin differ diff --git a/tests/931.out b/tests/931.out index 69fa544..b1f979d 100644 --- a/tests/931.out +++ b/tests/931.out @@ -1,32 +1,37 @@ -REG FFF003FDFF67FFFF -REG 0000000000000013 -REG 0000000000080000 -REG 7F80000000000000 -REG 0000000000000000 -REG FFF003FFFF67FFFF -REG 000000000000940E -REG B80000006BFF32F1 -REG 000000000000940E -REG 00000000D7FE65E3 -REG 0000000000000000 -REG 0000000000000040 -REG 806DEEEEEFE09EEE -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 7F80000084020000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF80 -REG 0000000000000000 -REG FFFFFFFF9FE097C0 -REG 00000000D7FE65E3 -REG 000000000007FE00 -REG 11121111101F6111 -REG 0000000000000000 -REG FFFFFFFFFFFA0A80 -REG 0000000000000000 -REG 7F80000000000000 -REG 000000008F4FFFFF -REG 0000000000000000 -REG 000000009E9FFFFF +GPR0 0000000006000000 +GPR1 000000000F000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFF3F000000001 +GPR6 000000000001C020 +GPR7 000000000001BFED +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFDB +GPR14 FFFFFFFFFFFFFFDB +GPR15 000000000001C035 +GPR16 0000000000003A72 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 00000000306F0000 +GPR22 0000000000000024 +GPR23 000000000001C02D +GPR24 0000000000000040 +GPR25 000000000000497C +GPR26 FFFFFFFFFFFE3FDF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003FF9FFD5 +LR FFFFFFFFFFFFFFDB +CTR 0000000000000006 +XER 00000000A0040007 + diff --git a/tests/932.bin b/tests/932.bin index 385c6d6..16ad83f 100644 Binary files a/tests/932.bin and b/tests/932.bin differ diff --git a/tests/932.out b/tests/932.out index 232de7b..dd2d9b0 100644 --- a/tests/932.out +++ b/tests/932.out @@ -1,32 +1,37 @@ -REG FFFFFFFFD237FC00 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFBF3F -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000000 -REG 000000002DC80400 -REG 0000000000000000 -REG 000000002DC80400 -REG 0000000000000800 -REG 0000000016E40200 -REG 0000000000000000 -REG 0000000000010000 -REG 0000000001100000 -REG 0020000000000000 -REG FDBC2BFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000400 -REG 0000000000000020 -REG 0243D40000000400 -REG 0000000000000000 -REG 0000000000000000 -REG 0243D40000000000 -REG 0000000000000000 -REG 0000000001100000 -REG 0000000000000400 -REG FFDFFFFFE91BFDFF -REG 0000000100811080 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFA0DA0001 +GPR6 FFFFFFFFA0DA0000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000000 +GPR11 FFFFFFFFA0DA0000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFDF52 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFA0DA0000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFF8005 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFD06D00 +GPR30 0000000000000000 +GPR31 +CR 0000000094156651 +LR 000000000000002C +CTR FFFFFFFFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/933.bin b/tests/933.bin index 75a54d2..e0be9dd 100644 Binary files a/tests/933.bin and b/tests/933.bin differ diff --git a/tests/933.out b/tests/933.out index ff7f7b5..6587988 100644 --- a/tests/933.out +++ b/tests/933.out @@ -1,32 +1,37 @@ -REG 07DFFFE259DA0000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFA9FF0040 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000020084048 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000003A -REG 0000000100000001 -REG FFFFFFFFFFFF0820 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFF0820 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000018000 -REG 00000000041FFFFF -REG 0000000080108091 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFA00 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000010 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFF3F971E66C34 +GPR8 0F61D27B0F61D27B +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 AE59C524AE000004 +GPR13 000000000000002F +GPR14 0000000000000018 +GPR15 0000000000009492 +GPR16 000000000000024F +GPR17 0000000000000000 +GPR18 0000000000000017 +GPR19 0000000000000000 +GPR20 FFFFFFFF1EF80800 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 00000C068E1993CC +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000014774 +GPR30 0000000000000000 +GPR31 +CR 00000000900F00F5 +LR 0000000000000000 +CTR 0000000000000010 +XER 00000000C0080000 + diff --git a/tests/934.bin b/tests/934.bin index 6282701..62399d0 100644 Binary files a/tests/934.bin and b/tests/934.bin differ diff --git a/tests/934.out b/tests/934.out index 85ae70c..3bb6b7c 100644 --- a/tests/934.out +++ b/tests/934.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFBE -REG 0000000000000002 -REG 0808080808080808 -REG 0000000000004FA5 -REG 00000000000059A0 -REG 0000000000000000 -REG 00000000004FA500 -REG 0000000000000001 -REG 0000000000000000 -REG 000000126F87F03D -REG 0000000000004FA5 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000040000 -REG 0000001226EC3F3D -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFA65F -REG FFFFFFFFFFFFC6A4 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0004000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFDF -REG 0000000000000000 -REG 000000000000003E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000068580000 -REG 00000000489BB0FD -REG 0000000048109000 +GPR0 FFFFFFFFFFE1FFDF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000005 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 00000000007F0000 +GPR7 0000000030000000 +GPR8 000000E01000C000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 00000000007F0000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000001FFBA330 +GPR18 000000000001C020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFFF +GPR22 FFFFFFFFFFFFFFF8 +GPR23 3C00000000000000 +GPR24 0000000000000000 +GPR25 0000000000000022 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFA330 +GPR28 000000000000074C +GPR29 FFFFFFFFFFFFB1FE +GPR30 0000000000000000 +GPR31 +CR 000000002D111B9C +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000020040000 + diff --git a/tests/935.bin b/tests/935.bin index 6df88fb..539f991 100644 Binary files a/tests/935.bin and b/tests/935.bin differ diff --git a/tests/935.out b/tests/935.out index 03457bd..f9233a8 100644 --- a/tests/935.out +++ b/tests/935.out @@ -1,32 +1,37 @@ -REG F7F7F7F73FFFD901 -REG 08080808C8000000 -REG F7F7F7F7BFFFEC7B -REG 0000000000000000 -REG 0000000083DF8D0A -REG 7FFFFFFFFF0C2E16 -REG FFFFFFFFEE5F34A5 -REG FFFFFFF7BFFFEC7B -REG FFFFFFFFEE5F34A1 -REG 0000000000F3D1F8 -REG FFFFFFFFFF0C2E56 -REG FFFFFFFFFFFFFFFF -REG 0000000020800000 -REG FFFFFFFFFF0C2E16 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFF003FFF -REG 0000000000000000 -REG 0000000000000041 -REG FFFFFFFFDCBE0040 -REG FFFFFF08C70C2E07 -REG F7F7F7F7380011F8 -REG 0000000000000006 -REG 0000000000000000 -REG 08080808C8000000 -REG 0000000000000000 -REG 000000002173D1EA -REG 0000000080048440 -REG FFFFFF0000000000 -REG FFFFFFFFFFFFFFFF -REG 000000009E090880 +GPR0 0001001E42F54CEF +GPR1 0000000000000040 +GPR2 FFFFFFFFFFFE3FE0 +GPR3 0000000086553FE0 +GPR4 000000000001C020 +GPR5 FF1FFFFFE001C3BE +GPR6 0000000000000020 +GPR7 FFFFFFFFFFFFFFE0 +GPR8 000000000001C020 +GPR9 0000000000000010 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000001E00000 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000680000000 +GPR18 0000000000000003 +GPR19 0000000000000000 +GPR20 FFFFFFFFFE1FFFFF +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFE3FE0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000001E00000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000090990290 +LR 0000000000000000 +CTR FFFFFFFFF1DDC000 +XER 0000000080000000 + diff --git a/tests/936.bin b/tests/936.bin index 0f5a480..d85d603 100644 Binary files a/tests/936.bin and b/tests/936.bin differ diff --git a/tests/936.out b/tests/936.out index 0dde00d..7fdda7f 100644 --- a/tests/936.out +++ b/tests/936.out @@ -1,32 +1,37 @@ -REG 00000000800033C7 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000FFFEE1 -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000004C47 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000001FFFDC380 -REG 0000000000000040 -REG 0000000000000000 -REG 00000FFFFFFFFFE0 -REG 00000001FFFDCFC7 -REG 0000000000000000 -REG 0000000000000000 -REG 00000FFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 000000002B210000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000049009108 +GPR0 FFFFFFFFFFFFD1D2 +GPR1 0000000000000000 +GPR2 0000000000700800 +GPR3 FFFFFFFFFFFFFFBF +GPR4 00000000FFFFFB6F +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 00000000485D0000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C032 +GPR18 FFFFFFFFFFFFFFFE +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000040002ED1 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFBFFFD130 +GPR25 0000000000000000 +GPR26 000000000001C032 +GPR27 0000000000000004 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFBF +GPR30 0000000000000000 +GPR31 +CR 0000000033D09005 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0078927 + diff --git a/tests/937.bin b/tests/937.bin index 7c61b43..eb08cd6 100644 Binary files a/tests/937.bin and b/tests/937.bin differ diff --git a/tests/937.out b/tests/937.out index fb22b62..d6fce2a 100644 --- a/tests/937.out +++ b/tests/937.out @@ -1,32 +1,37 @@ -REG FFFFF01F3BFFF01F -REG D4D26C00000001C1 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000FE01000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFF0000FFFF0000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000002 -REG 00000000C4000000 -REG 000000FFFFFF0000 -REG 0000000000000005 -REG 0000000000000000 -REG 00F556C000007E62 -REG FFFFFFFFFFFFFFFF -REG 2B2D93FFFFFFFE3E -REG 0000000000000000 -REG 0000007075349B00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFF556C000007E62 -REG 2B2D93FFFFFFFE3E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG D4D26BFFFFFFE9B1 -REG 0000000000000000 -REG 0000000108910904 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000000000003F +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFE186 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000030000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFF6113FFFF +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFE187 +GPR18 0000000000000000 +GPR19 24D0299024D02990 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFC +GPR24 000000009EEC0001 +GPR25 0000000000000000 +GPR26 0000000000000002 +GPR27 000000000000BA6A +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFF9A7C +GPR30 0000000000000000 +GPR31 +CR 0000000033D93933 +LR 0000000000000000 +CTR 0000000000000002 +XER 0000000080000001 + diff --git a/tests/938.bin b/tests/938.bin index 4fe5d95..1dd5bc5 100644 Binary files a/tests/938.bin and b/tests/938.bin differ diff --git a/tests/938.out b/tests/938.out index e9d03fe..9e86711 100644 --- a/tests/938.out +++ b/tests/938.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 000000003CC40000 -REG 8000000000000008 -REG FFFFFFF7FFFFFFF7 -REG 000000000000000B -REG 0000000000000800 -REG 0000000000000001 -REG 0000000000000000 -REG 00000000300001C3 -REG 0000000000000020 -REG 0000000000000020 -REG 00000000000001C3 -REG 0000000000000001 -REG FFFFFFFF20000040 -REG 000000000000162B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000030000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFF20000040 -REG 0000000000000020 -REG 0000000000000040 -REG 000000000000166B -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF5CA0013F -REG 0000000000000000 -REG 0000000080000800 +GPR0 0000000000000020 +GPR1 FFFFFFFF00000020 +GPR2 000000210000598C +GPR3 EF0000002F7FFFFF +GPR4 0000000000000000 +GPR5 000000000000001E +GPR6 0000000000000000 +GPR7 0000000000000005 +GPR8 00000000FFFFFFDF +GPR9 0000083FFFF00000 +GPR10 FFFFFFDEFFFFFFDE +GPR11 0000000000000080 +GPR12 0000000000005EE9 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 FFFFFFFF00000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000000000F +GPR23 800000107FFFE000 +GPR24 FFFFFFDEFFFF801E +GPR25 FFFFFFFFFFFFFFFB +GPR26 000000000001C020 +GPR27 0000000000005F7C +GPR28 0000000000005EFF +GPR29 FFFFFFFFFFFFFFDE +GPR30 0000000000000000 +GPR31 +CR 0000000039943549 +LR 0000000000000000 +CTR 0000000000000040 +XER 00000000A007FFDF + diff --git a/tests/939.bin b/tests/939.bin index 20e7808..c3ea586 100644 Binary files a/tests/939.bin and b/tests/939.bin differ diff --git a/tests/939.out b/tests/939.out index 1ab249a..f3f94ef 100644 --- a/tests/939.out +++ b/tests/939.out @@ -1,32 +1,37 @@ -REG 000000001217DF9D -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG FFFFFFFFFFFF903A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 7FFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF8BF7 -REG 0000000000000040 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000006 -REG 0000000000000000 -REG 0000000012170020 -REG 0000000000000000 -REG 8000000000000001 -REG 00000000000006FD -REG FFFFFFFFFFFFFFFF -REG 0000007FFFFFFFFF -REG 0000000000005DE6 -REG 0000000100000088 +GPR0 0000000001FFFFFF +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFBF1 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFF45 +GPR5 FFFFFFFFFFFFFF95 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000004 +GPR9 0000004000000040 +GPR10 0000000000000000 +GPR11 0000000000000005 +GPR12 0000000000000005 +GPR13 00000000005F8FC0 +GPR14 0000000000000000 +GPR15 00000FFFF47FFFFF +GPR16 FFFFFFFFFFFFDF00 +GPR17 0100000000000000 +GPR18 000000000001C01F +GPR19 0000000000000040 +GPR20 FFFFFFFFFFFFFEF8 +GPR21 0000000073540005 +GPR22 FEFFFFFFFFFFFFFF +GPR23 0100000000000000 +GPR24 0000000000000004 +GPR25 0000000000000040 +GPR26 000000000001C020 +GPR27 0000000000000014 +GPR28 00000000000000BA +GPR29 000000000000000F +GPR30 0000000000000000 +GPR31 +CR 000000009029DD95 +LR 0000000000000018 +CTR 0000000000000003 +XER 000000008003FFA3 + diff --git a/tests/94.bin b/tests/94.bin index 5599964..41a3418 100644 Binary files a/tests/94.bin and b/tests/94.bin differ diff --git a/tests/94.out b/tests/94.out index 14a6efb..4cf8e3c 100644 --- a/tests/94.out +++ b/tests/94.out @@ -1,32 +1,37 @@ -REG 0000000000000080 -REG 0300000000000E90 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001A -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000020487B84 -REG 0000000000000000 -REG FFFFFFFFFFFF3C16 -REG 0000008074A15E00 -REG 00000000403A400C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000003A4000 -REG 00000000C0100010 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000403A50AF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0300000000000E90 -REG FFFFFFFFFFFF3C16 -REG 000000004110F710 +GPR0 000000000000A372 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 00000000DD88696B +GPR4 0000000000000000 +GPR5 0000000030000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 000000003801BFE6 +GPR9 0000001F0000001F +GPR10 0000000030000000 +GPR11 0000000000000001 +GPR12 000002246B1CEBA6 +GPR13 0000400000004000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFE8 +GPR17 000000000001A020 +GPR18 0000000000000000 +GPR19 000000000000003A +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000034040 +GPR23 FFFFFDDB94E31459 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 0000000000000001 +GPR28 000000003801C002 +GPR29 01BFE60000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009FF0FF93 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008001C111 + diff --git a/tests/940.bin b/tests/940.bin index 23f4bfc..7fb1c0d 100644 Binary files a/tests/940.bin and b/tests/940.bin differ diff --git a/tests/940.out b/tests/940.out index f4590c7..31f9cce 100644 --- a/tests/940.out +++ b/tests/940.out @@ -1,32 +1,37 @@ -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003B00 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 00000000C6400000 -REG 0000000000000000 -REG 0000000000000000 -REG 0629C267C6691B9E -REG 01FFFFE000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000C6400000 -REG 00000000000001FF -REG 0000000000001471 -REG 0000000000001471 -REG 0000000086304028 -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFFFFFFFF98 -REG FFFFFFFFFFFFFE00 -REG 0000000039C047F4 -REG 01FFFFE000000000 -REG 0000000000000000 -REG 0000000000980000 -REG 00000000000001FF -REG 0000000000000000 -REG FFFFFFFFC63FB80B -REG 0000000000000001 -REG 000000008C608050 +GPR0 FFFFFFFFFFFFFFBF +GPR1 000000000000000A +GPR2 0000000000000000 +GPR3 FFFFFFFFF2E00000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 00000000000DC000 +GPR7 FFFFFFFFFFFFE2BB +GPR8 000000000000000E +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFF0 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000040 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFDF +GPR17 00000000FFF24000 +GPR18 FFFFFFFFFFF24000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000000130B +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFF96D5E571762B0 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000692A1A8E89D5 +GPR31 +CR 0000000091409558 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFF9 +XER 000000000000000E + diff --git a/tests/941.bin b/tests/941.bin index 8b25fda..10b6709 100644 Binary files a/tests/941.bin and b/tests/941.bin differ diff --git a/tests/941.out b/tests/941.out index 7c8ab4e..e210b21 100644 --- a/tests/941.out +++ b/tests/941.out @@ -1,32 +1,37 @@ -REG 00000005B3275324 -REG FFFFFFF9FFFFFFD8 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG AE4CAF8C00000143 -REG 0000000000000000 -REG 0000000598272DDC -REG 0000000000000000 -REG 0000000000000014 -REG 0000000098040000 -REG FFFFFFFFFFFFFFFF -REG 0000000598270007 -REG FFFFFFFFD5000000 -REG 000000002B000000 -REG FFFFBFFF97701A03 -REG 0000000000000015 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000A4B -REG AE4CAF8C00000143 -REG 000000059827000A -REG 0000000000000003 -REG 000000002AFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000005C327000A -REG FFFFFFFFFFFFFFFF -REG 0000000280000000 -REG 0000000080008003 +GPR0 00000000000E0000 +GPR1 0000000000000000 +GPR2 0000000000400000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 2FFFFFFF2FFFFFFF +GPR5 0000000000400000 +GPR6 0000000000000620 +GPR7 0000000000000000 +GPR8 03FFF00080000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000336FE0 +GPR12 2FFFFFFF2FFFFFFF +GPR13 FFFFFFFFFFFE3FF8 +GPR14 FFFFFFFFFFFFFF00 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000040 +GPR18 0000000000000000 +GPR19 0000000000000040 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00007FFFFF807FFF +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFC00000 +GPR31 +CR 000000005FFED934 +LR 000000000001C00F +CTR 107B67DF09305400 +XER 000000008001C038 + diff --git a/tests/942.bin b/tests/942.bin index 94554f9..da3bf3e 100644 Binary files a/tests/942.bin and b/tests/942.bin differ diff --git a/tests/942.out b/tests/942.out index 3ff4463..53064e2 100644 --- a/tests/942.out +++ b/tests/942.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBB3F7892 -REG 000000000000000F -REG 0000000000000000 -REG FFFFFFFFFFFF7CD7 -REG 0000000000000020 -REG A0000000089810ED -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040020044 -REG 0000000000000000 -REG 00000000000010ED -REG FFFFFFFFFFFFBCB7 -REG FFFFFFFFFFA4C075 -REG 000000002C810044 -REG 0000000000000000 -REG 00000004194BA000 -REG 0000000011C00000 -REG A000000017D810ED -REG 000000000755B000 -REG 000000000000007F -REG 0000000044C0876D -REG 0000048B0000048B -REG FFFFFFFFD37EFFBC -REG 00000000000010ED -REG 44C0755B44C0755B -REG 023800000000030F -REG FFFFFFFFFFFFFFFF -REG 0000000044C0876D -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 5FFFFFFFF767EF12 -REG 0000000000000117 +GPR0 0000000000000009 +GPR1 0015660000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000090000000 +GPR6 00000000923A0021 +GPR7 FFFFE6EF2ACBFFFF +GPR8 000000000001C020 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFF0 +GPR11 FFFF01F87ED15FFE +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000009 +GPR16 00000000923A0021 +GPR17 FFFFFFFFFA06FFDF +GPR18 0000000000000000 +GPR19 001565FFFA06FFDF +GPR20 0000000000000000 +GPR21 000000000003FF7F +GPR22 000000000001C020 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 00000000A3A70000 +GPR27 0000000000007946 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003001FD7F +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFD +XER 00000000E00BFFFF + diff --git a/tests/943.bin b/tests/943.bin index 6f175d4..fcbe560 100644 Binary files a/tests/943.bin and b/tests/943.bin differ diff --git a/tests/943.out b/tests/943.out index ce0a71b..533214b 100644 --- a/tests/943.out +++ b/tests/943.out @@ -1,32 +1,37 @@ -REG FFFFFFDB2F3F76E3 -REG 0000000000000001 -REG 00000000D5F88018 -REG 0000000000008010 -REG 0000000000000000 -REG 00000024CFFFF6CC -REG 021FFFFF80078000 -REG FDE000007FF88000 -REG FFFFFFFFFF87FFE6 -REG 000000000000CE1A -REG 0000000000780018 -REG 0000000000FF8000 -REG 0000000000000018 -REG 0000000000007C00 -REG 000021FFF7782279 -REG 00000000003FFFF0 -REG 000000000000003E -REG 019C340001903400 -REG 0000000000000000 -REG 0000000007FFFFFE -REG 0040000000000000 -REG FDC000007FF88050 -REG 00000000003FFFF0 -REG 00000000D5F88018 -REG 0000000000000018 -REG FFE0000000000050 -REG 0000000060000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG 000000000077F9E0 -REG 000000011009E709 +GPR0 0000000300000007 +GPR1 00000000000092E3 +GPR2 000000000001C020 +GPR3 0000000000000040 +GPR4 0000000000F00000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 000000000000741A +GPR8 FFFFD8841B26A3CA +GPR9 000000000000011D +GPR10 0000000000000010 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 FFFFFFFF9395C021 +GPR14 0000000310700400 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 000000008003FF2A +GPR19 00000000000002C8 +GPR20 0000000000000000 +GPR21 000000000000011C +GPR22 FFFFFFFF93940000 +GPR23 00000000000059A0 +GPR24 000000000000C700 +GPR25 0000000000000005 +GPR26 000000000001C020 +GPR27 0000000000002665 +GPR28 0000000000000000 +GPR29 0000000000011A45 +GPR30 0000000310E01000 +GPR31 +CR 0000000059FFDF9F +LR FFFFFFFFFFFFFF2B +CTR 0000000000000000 +XER 00000000C00BFF2A + diff --git a/tests/944.bin b/tests/944.bin index fe75128..ae1a685 100644 Binary files a/tests/944.bin and b/tests/944.bin differ diff --git a/tests/944.out b/tests/944.out index 6aa9ddf..6def035 100644 --- a/tests/944.out +++ b/tests/944.out @@ -1,32 +1,37 @@ -REG FFFFF8CFC6900225 -REG 0000000040000000 -REG DD24177D1B240000 -REG 0000000000000000 -REG FFFFFFFFFFFFFF9F -REG 00000FFF3E000226 -REG 0000000000800000 -REG 0000000000000060 -REG 00000000000010AD -REG 0000000000000000 -REG FFFFFFFFFFFFFF9F -REG 0000000000005000 -REG 00000000477AFFD4 -REG 0000000000005028 -REG FFFFFFFFE9090000 -REG FFFFFFFFE9090000 -REG 0000000000024000 -REG FFFFFFFFFFFFFFD4 -REG FFFFFFFFE9090000 -REG 00000000477AFFD4 -REG 0000000000000000 -REG 000FFFFFFFE00000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FFFFFFFFF -REG 0000000000004E62 -REG 0000000100000001 -REG 0000000000005028 -REG 0000000000000000 -REG 0000000101129E00 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFF08 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 FFFFE00135430000 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFE +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFE3FE0 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFE3FDF +GPR26 0000000039289596 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000C0000000C +GPR30 0000000000000000 +GPR31 +CR 0000000099289596 +LR 0000000000000000 +CTR 00C2465E64000001 +XER 000000008003FFFE + diff --git a/tests/945.bin b/tests/945.bin index 353841e..1ebe079 100644 Binary files a/tests/945.bin and b/tests/945.bin differ diff --git a/tests/945.out b/tests/945.out index ce11a58..6062e46 100644 --- a/tests/945.out +++ b/tests/945.out @@ -1,32 +1,37 @@ -REG FFFFFFFFF8FFFFFF -REG 0000000000007350 -REG 020000000B7D7800 -REG B9FEA8CBB9F2A8CB -REG FFFFFFFFFFFFAA0C -REG 0000000062A20000 -REG FFFFFFFFFFFFAA0C -REG 0000000000C4C800 -REG FFFFFFF800000000 -REG 0000000000003132 -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFFFFF -REG 0808080808080808 -REG 0000000000001899 -REG 0000000007000000 -REG 0000000000000002 -REG F100020007000001 -REG FFFFFFFFFFFFFFFF -REG 0000000007000000 -REG 0200000065DCFF54 -REG 75B5FFFFFFFFFBD8 -REG 000000010508880B -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFDAF69AA -REG 0000000008000000 -REG FFFFFFFFFDAF69A9 -REG 0808080808080808 -REG FFFFFFFFFFFFDE74 -REG FFFFFFFFFFFF8CB0 -REG 0000000109FEE9E0 +GPR0 0000000000000000 +GPR1 0000000000002000 +GPR2 0000000000000000 +GPR3 000000000001C01C +GPR4 000000120001C032 +GPR5 0000000000000000 +GPR6 000000000001650E +GPR7 0000000000264668 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 FFFFFFFFFDC00000 +GPR11 0000000000000041 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000006C +GPR17 0000000000000001 +GPR18 0000000000000000 +GPR19 0000000038035390 +GPR20 FFFFFFFFFFFFFDC0 +GPR21 FFFFFFFFFFFFFFFD +GPR22 0000001200000012 +GPR23 0000000000000000 +GPR24 0000001200000012 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 0000000038030330 +LR 0000000000000000 +CTR 00000000384A0000 +XER 00000000E00C0000 + diff --git a/tests/946.bin b/tests/946.bin index f79e595..4e4a473 100644 Binary files a/tests/946.bin and b/tests/946.bin differ diff --git a/tests/946.out b/tests/946.out index 7f17eea..bead3fb 100644 --- a/tests/946.out +++ b/tests/946.out @@ -1,32 +1,37 @@ -REG B78382E99C02EC8A -REG FFFFFFFFFFFF68A1 -REG FFE0000000000000 -REG 0000000000000000 -REG 8CCD7332FFFF8CCD -REG 0000003D0000083B -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFD9F -REG 0000000000000000 -REG F000000003FFFFFF -REG FFFFFFFFFFFF587E -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF8000FFFF -REG FFFF0000FFFFFFFF -REG 0000000000000000 -REG 61F1F45961F1F459 -REG F000000103FFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 400001D1BFFFF7C5 -REG 0000000000000000 -REG 000000003FFFFFFF -REG FFE0000000000000 -REG 0000000000000000 -REG 0000000000000030 -REG 0000000100000001 -REG FFFFFFFF8000FFFF -REG 587C7D16587C7D16 -REG 000000003FFFFFFF -REG 000000011F00100D +GPR0 000000000001C020 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFE3FD6 +GPR6 0000000000000020 +GPR7 000000000001C2CD +GPR8 0000000000000000 +GPR9 0000000000000E16 +GPR10 0000000000000020 +GPR11 0000000000000000 +GPR12 000000000001C2CD +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFF1E9 +GPR15 FFFFFFFFFFFFFFE0 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFE3FE0 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000E16 +GPR24 0000000000000020 +GPR25 0000000000000000 +GPR26 000000000001C03F +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000020 +GPR30 FFFFFFFFFFFFFFDF +GPR31 +CR 000000003091C4CD +LR FFFFFFFFFFFFFFE0 +CTR 0000000000000000 +XER 00000000A005C020 + diff --git a/tests/947.bin b/tests/947.bin index d4b2254..21de0bb 100644 Binary files a/tests/947.bin and b/tests/947.bin differ diff --git a/tests/947.out b/tests/947.out index b5c1629..2d3670d 100644 --- a/tests/947.out +++ b/tests/947.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF4AB5 -REG FFFFFFFFC7FFFFFF -REG 0000000037FFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF4AB5 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFF4AB6 -REG FFFFFFFFFFFF4AB6 -REG 000000000000003F -REG 00000000AFED0000 -REG 0000000000000000 -REG FFFFFFFFFFFF4AB5 -REG 0000000000000039 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFC800003E -REG 0000000000000000 -REG FFFFFFFFFFFF4AB7 -REG 000000000000B400 -REG 0000000100000001 +GPR0 FFFFFFFFFFFFFFFE +GPR1 0000000000000000 +GPR2 000000000000002F +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFE3FD7 +GPR7 0000000000006E5A +GPR8 0000000000000000 +GPR9 0000000000000007 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000090000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFC +GPR15 0000000000000000 +GPR16 000000000001C020 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFC +GPR19 0000000000000000 +GPR20 0000000000000006 +GPR21 0000000000000001 +GPR22 0000000000006E5A +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 0000000000000010 +GPR27 FFFFFFFF00000019 +GPR28 0000000000000000 +GPR29 000000000000001C +GPR30 0000000000000000 +GPR31 +CR 0000000033951BF3 +LR 0000000000000007 +CTR 0000000000000000 +XER 000000008001C020 + diff --git a/tests/948.bin b/tests/948.bin index beb39ca..5409a16 100644 Binary files a/tests/948.bin and b/tests/948.bin differ diff --git a/tests/948.out b/tests/948.out index 818dd71..e711ad0 100644 --- a/tests/948.out +++ b/tests/948.out @@ -1,32 +1,37 @@ -REG 000000000000003B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000ACAD -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFDFF1 -REG FFFFFFFFEFFFFFFF -REG 000803800FFFFFFF -REG FFF7FC7FF0005FA1 -REG 0000000000000000 -REG 0000000000000013 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000005FA1 -REG 000000000000001E -REG FFFFFFFFFD4BF2A6 -REG FFF7FC7FF0000000 -REG 0000000016B00000 -REG 00000000000040FC -REG 000000000000ACAD -REG FFFFE00000000000 -REG 0000000020000000 -REG 0000000000000020 -REG 00000000E915ACAD -REG FFFFFFFFA0000000 -REG 0000000000000000 -REG 0000000081010888 +GPR0 00000000D000053E +GPR1 0000000000000001 +GPR2 0000000000000001 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000103000202 +GPR8 A400000000000000 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000001 +GPR13 0000000000000020 +GPR14 0000000000000000 +GPR15 000000006796C020 +GPR16 FFFFFFFFFFFE3FE0 +GPR17 000000000001C020 +GPR18 000000000000000B +GPR19 0000FFFFFFFFFFFE +GPR20 0000000026070000 +GPR21 0000000000000000 +GPR22 00000000007FFDFF +GPR23 5BFFFFFFFFFE3FE0 +GPR24 FFFFFFFFF00006FF +GPR25 00000000007FFE00 +GPR26 FFFFFFFFFFFFFFBE +GPR27 0000000000000006 +GPR28 FFFFFFFFFFFFFFFE +GPR29 FFFFFFFFFFFFFFFF +GPR30 00000000007E3E20 +GPR31 +CR 00000000503CF20A +LR 0000000089EC0000 +CTR 0000000000000001 +XER 00000000A007FFFF + diff --git a/tests/949.bin b/tests/949.bin index 1f2fb0c..c3ae998 100644 Binary files a/tests/949.bin and b/tests/949.bin differ diff --git a/tests/949.out b/tests/949.out index f3cd4df..a4820c6 100644 --- a/tests/949.out +++ b/tests/949.out @@ -1,32 +1,37 @@ -REG 0000001C0008F04D -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFF8FFFFFFF9 -REG FFFFFFFFFFFFFFEF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG FFFFFFF8FFFFFFF9 -REG FFFFFFF8DAFEFAA1 -REG FFFFFFFFFFFFFFE4 -REG 000000001F294000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000010 -REG 000000001F294000 -REG 0000000025010548 -REG FFFFFFFFFFFFFFBF -REG 0007CAB50007CAB5 -REG FFFFFFF3FFFFFFF4 -REG FFFFFFF8DA41FAA0 -REG 0000001C0001000D -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFF8DAFEFAA0 -REG 0000000000000000 -REG 0000000094081090 +GPR0 FFFFFFFFF2A6FFDE +GPR1 FFFFFFFFD92B0000 +GPR2 0000806600008066 +GPR3 FFFFFFFFFFFFFFFE +GPR4 0000000000000000 +GPR5 00000000000016B4 +GPR6 0000000000000000 +GPR7 00008065D92D4048 +GPR8 0000000000000000 +GPR9 FB7FFFFF98128F94 +GPR10 FFFFFFFFF2A8BA64 +GPR11 0000C00000000000 +GPR12 0000000000000000 +GPR13 00000001B6A9FFFF +GPR14 FB7FFFFF98128F94 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000039550099 +GPR18 0000000000000000 +GPR19 00000001B6A5FFFF +GPR20 0000000000000001 +GPR21 FFFFFFFFF2A8C000 +GPR22 0000000000000000 +GPR23 0001B3A7C6484C01 +GPR24 0000000000000001 +GPR25 000000000D574000 +GPR26 FFFFFFFFCBD3C001 +GPR27 000080660D598047 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000020 +GPR30 0000000090500009 +GPR31 +CR 0000000059150299 +LR 0000000003F03F03 +CTR 0000000000000000 +XER 00000000A0000000 + diff --git a/tests/95.bin b/tests/95.bin index 626040c..2912cea 100644 Binary files a/tests/95.bin and b/tests/95.bin differ diff --git a/tests/95.out b/tests/95.out index ebd2917..4727be3 100644 --- a/tests/95.out +++ b/tests/95.out @@ -1,32 +1,37 @@ -REG 00000000849FF523 -REG 0000000000000001 -REG 0000000000000000 -REG 000000200000001D -REG FFFFFFFFF8000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 1D0000001DFFDFF8 -REG FFFFFFDFFFFFFFE2 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000001D -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000001260 -REG 000000200000001E -REG 0000000000000001 -REG FFFFFFDFF99B3FE3 -REG 0000000000000000 -REG 1D0000001DFFDFF8 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000003560 -REG 000000000000001D -REG 0000000000000000 -REG 0000000000000000 -REG 0000353007FFCACF -REG 00000000800049AC +GPR0 FFFFFFFF00000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFE3FC4 +GPR4 0000000000000000 +GPR5 000000000001C01F +GPR6 0000000000000000 +GPR7 00000000355051D9 +GPR8 FFFFFFFFFFFEA1C8 +GPR9 0000000000000000 +GPR10 00000000000FFFF0 +GPR11 FFFFFFE000015865 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFC0 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000001C03C +GPR17 0000000087DB0040 +GPR18 FFFFFFFFFFFFFFFE +GPR19 0000000000000040 +GPR20 001247D6794F3416 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0003B5427BEA54C3 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFCAAFAE26 +GPR31 +CR 0000000039F41F5F +LR 0000000000000000 +CTR 0000000000000001 +XER 0000000080000000 + diff --git a/tests/950.bin b/tests/950.bin index f92e609..8847cd4 100644 Binary files a/tests/950.bin and b/tests/950.bin differ diff --git a/tests/950.out b/tests/950.out index 994de2c..a8769b5 100644 --- a/tests/950.out +++ b/tests/950.out @@ -1,32 +1,37 @@ -REG FE6BCD2666AB45FE -REG 0000000000000000 -REG 01010102BCDA25FF -REG 0000000000000000 -REG 000000009E530002 -REG 0000000000000000 -REG 0000000000000001 -REG 00000001BBD90000 -REG FE6BCD256ED025FE -REG 0000000000000000 -REG 000000009E530003 -REG 0000000000000000 -REG 0000000048084428 -REG 0012939D0012939D -REG 000000009E53BCA7 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFE871 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000009E53BCA7 -REG 0000000000000014 -REG 000000000000949C -REG 0000000050108851 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 00000000FFFFFFFF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFF8 +GPR8 0000000000000000 +GPR9 3FFFFFFFFFFFFFF5 +GPR10 C000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000002 +GPR14 0000000008080806 +GPR15 FFFFFFFFFFFFFFFF +GPR16 FFFFFFFFFFFFFFFF +GPR17 FFFFFFFFFFFFFFFF +GPR18 3FFFFFFFFFFFFFF5 +GPR19 0000000000000000 +GPR20 0608080808080806 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000000001 +GPR27 0000000000000000 +GPR28 0001C0330001C033 +GPR29 060808080807B50C +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000050288004 +LR FFFFFFFFFFFFFFFD +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/951.bin b/tests/951.bin index 2c8ed3e..1278733 100644 Binary files a/tests/951.bin and b/tests/951.bin differ diff --git a/tests/951.out b/tests/951.out index 4d8aa4f..8a6ff16 100644 --- a/tests/951.out +++ b/tests/951.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFDFFFE4 -REG 00001FFFDC95BC96 -REG FFF7256F25800000 -REG 000000000C0096CA -REG 00018012D952FFEA -REG 0000000000000001 -REG FFFFFFFFFFFF96CA -REG 00018012D952FFEA -REG 05007F9400003F94 -REG 0000000000000000 -REG FFFFFFFFFFFE7FEC -REG 0000000000000000 -REG 000000000C0096CA -REG FFFFFFFFFFFFFFC6 -REG 0000000000000000 -REG 000000000001C03D -REG 0000000000000000 -REG 0000000000000001 -REG 000000008B00C03D -REG FFFFFFFFFFFFA41B -REG 000000004AC40000 -REG BB3B652ABD3AA3E9 -REG 0000000000B00000 -REG 000000000000003A -REG 00018012D952FFEA -REG 000000008B00C03C -REG 0000000000000000 -REG 0000000000000000 -REG 000000008B00C03D -REG 0000000000000000 -REG FBFFFFFFFBB6FFFF -REG 000000009601807A +GPR0 0000000000000000 +GPR1 0031DE8100000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000005 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000020 +GPR9 0000000000000001 +GPR10 00000000C0080000 +GPR11 0000000000000000 +GPR12 00000000000710E0 +GPR13 0000000000000020 +GPR14 0000000000000021 +GPR15 0000000000004A45 +GPR16 0000000000000001 +GPR17 0000000000000000 +GPR18 0000000000000020 +GPR19 FFFFFFFFFFFFC020 +GPR20 0000000000000001 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000400000000000 +GPR24 0000000000000001 +GPR25 00000000000710E0 +GPR26 0000000000000040 +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 0031DE7FFFFFFFFF +GPR31 +CR 000000003400C020 +LR 000000BC0000678F +CTR FFFFFFFFFFFFFFFF +XER 00000000A0040000 + diff --git a/tests/952.bin b/tests/952.bin index 78061f9..ceba2fd 100644 Binary files a/tests/952.bin and b/tests/952.bin differ diff --git a/tests/952.out b/tests/952.out index f32b818..7923000 100644 --- a/tests/952.out +++ b/tests/952.out @@ -1,32 +1,37 @@ -REG FF00014FFFFFFFFF -REG 0000000000000001 -REG 0000000008000000 -REG F7F7F7F7FDFAF7FA -REG FFF9FA880004109D -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000F7FAF7F -REG 7FFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFD961 -REG 000000000F7FAF7F -REG FF00000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFE218 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFEB000000000 -REG 00060577FFFBFFFF -REG 0000000000000026 -REG FFFFFEB000000000 -REG 0000000000000000 -REG FFFFFFFF815DFFFE -REG FFFFFFFFFFFFFFFF -REG 00102AB57EB02AB5 -REG 00060577FFFBEF63 -REG FFFFFFFFFFFFFFFF -REG FFFFFEB000000000 -REG FFFFFFFFFFFFFFFF -REG 00FFFFFFFFFFFFFF -REG 0000000051090910 +GPR0 0000001000000011 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000100000 +GPR4 A0B4E60AA0B4E60B +GPR5 FFFFFFFFFFFFFFFE +GPR6 FFFFFFFFFFFFFFDF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000004CFE +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000000040 +GPR15 0000000000000000 +GPR16 5F4B19F540000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFFFDF +GPR26 FFFFFFFFFFFFFFFE +GPR27 0000000000000000 +GPR28 5F4B19F55F4B19F4 +GPR29 0000000000000000 +GPR30 BE9633EA9F4B19F5 +GPR31 +CR 000000003A099053 +LR 5F4B19F55F4B19F5 +CTR 33330EDB987459D2 +XER 0000000080000000 + diff --git a/tests/953.bin b/tests/953.bin index 83cf20d..7f9d5bd 100644 Binary files a/tests/953.bin and b/tests/953.bin differ diff --git a/tests/953.out b/tests/953.out index f5bf798..6263357 100644 --- a/tests/953.out +++ b/tests/953.out @@ -1,32 +1,37 @@ -REG 000003FFFEFDEEFB -REG 000003FFFFFFEFFF -REG C000000000000000 -REG 00000021FFFF8C12 -REG 000007FF3FF2DFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000004E67 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF90490040 -REG FFFFFFFFFFFFFFC0 -REG 0000000000004ED4 -REG 0000000000000000 -REG 000003FFFFFFEFFF -REG FFFFFFFF90490040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000F0000 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000FFFF0000 -REG 0000000000000009 -REG 0000000000000009 -REG 0000000004000000 -REG 000003FFFFF00000 -REG 0000000010010000 -REG 00000000881E9FE0 +GPR0 0000000000000001 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C028 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000400001700001 +GPR10 000000000001C028 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000400000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFFFBF +GPR22 0000000090990003 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000400001700000 +GPR30 0000400001700000 +GPR31 +CR 0000000030990003 +LR 0000000000000000 +CTR 0000000000005DD0 +XER 00000000C0080000 + diff --git a/tests/954.bin b/tests/954.bin index 6590c02..1380443 100644 Binary files a/tests/954.bin and b/tests/954.bin differ diff --git a/tests/954.out b/tests/954.out index 540d22a..fcdc706 100644 --- a/tests/954.out +++ b/tests/954.out @@ -1,32 +1,37 @@ -REG 0000000049B21D7F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 03FFFFFFFFFFFFB6 -REG 0000000000000000 -REG 0000000000029330 -REG 0000000000000000 -REG 0000000000000011 -REG 0000000000000000 -REG 0000000000000000 -REG 63A3FC6060000060 -REG 0000000060000060 -REG FF7FFFFFF0016280 -REG FFFF9FFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001E -REG FFFFFFFFFFFFFFB6 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFC0000000 -REG 0000000000000000 -REG C0058A03C0000001 -REG 0000000000000000 -REG 0000000000000020 -REG 0000600000000000 -REG 0000000000000000 -REG 00000000404088F0 +GPR0 FFFFEDB500000195 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000000001A +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000020 +GPR11 000000000000003F +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000020 +GPR16 0000000000000000 +GPR17 00000000F17EFFFF +GPR18 00000000000D9FE0 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000000 +GPR25 0000000000000020 +GPR26 000000000000003F +GPR27 0000000000000000 +GPR28 FFFFFFFF0E810000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 FFFFFFFFFFFFFFFE +GPR31 +CR 0000000052990014 +LR 000000000001C01C +CTR 0000000000000002 +XER 0000000080000000 + diff --git a/tests/955.bin b/tests/955.bin index c5a92fb..82a1e68 100644 Binary files a/tests/955.bin and b/tests/955.bin differ diff --git a/tests/955.out b/tests/955.out index 799b37e..d288721 100644 --- a/tests/955.out +++ b/tests/955.out @@ -1,32 +1,37 @@ -REG A9303F0100000002 -REG FFFFFFFFFFF5C280 -REG 078000000BEAE000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000000 -REG FFFFFFFFEBEAE39D -REG FFFFFFE0AFAA9303 -REG 00000000AFAA001C -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000003139 -REG 0000000000FF0000 -REG 0000000000000000 -REG 0000000000006D18 -REG 00000000280484EF -REG 00000000F0000000 -REG 0000000000000000 -REG FFFFFFFF5056311D -REG FFFF80000000309F -REG 0000000000000000 -REG 0000000000FF0000 -REG FFFFFFFFD7FF7B10 -REG FFFFFFFFFFFFFFFF -REG 000000004F048884 -REG 0000800000000000 -REG 0000000080000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 000000011F091108 +GPR0 0000000000000000 +GPR1 0000000000000101 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000038040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFE +GPR8 000000000001C020 +GPR9 0000000000000010 +GPR10 0000000000000101 +GPR11 0000000000000101 +GPR12 0000000000000000 +GPR13 0000000000001010 +GPR14 0000000000000040 +GPR15 FFFFFFFFFB7C001E +GPR16 0000000000000101 +GPR17 8000000000000000 +GPR18 0000000000000001 +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFEFD +GPR21 00000000000001BE +GPR22 0000000005000000 +GPR23 0000000000000081 +GPR24 0000000000001010 +GPR25 0000000000000000 +GPR26 0000000000000101 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFE +GPR29 0000000000000000 +GPR30 000000000001C020 +GPR31 +CR 000000009D9D9385 +LR 0000000000000000 +CTR 0000000000000000 +XER 000000008003C204 + diff --git a/tests/956.bin b/tests/956.bin index c0c4842..71038ba 100644 Binary files a/tests/956.bin and b/tests/956.bin differ diff --git a/tests/956.out b/tests/956.out index c04698c..32630e1 100644 --- a/tests/956.out +++ b/tests/956.out @@ -1,32 +1,37 @@ -REG 0000000000004141 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFB1FBEDB -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFBEBF -REG 000000000000C780 -REG FFFFEE001FFFFFEF -REG 0000104CFFFF96CD -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 000011FFFFFF96CD -REG 0000413500004135 -REG 0000000000000000 -REG 000000000B2A0000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFEE001FFFFFFF -REG FFFFFFFFFFFFBEBF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFF00 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFBFFF8680 -REG 000000000B2A0000 -REG 0000000000000040 -REG 000000000000C780 -REG 0000000080081F01 +GPR0 000000007FFF7B94 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFDC830 +GPR3 FFFFFFFFFFFFFFE0 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFE +GPR12 0000000000000000 +GPR13 000000000000001F +GPR14 FFFFFFFFFFFFFFFE +GPR15 0000000000000038 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 000000000000000A +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFE +GPR21 0000000000000040 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 00000000DC3A0020 +GPR26 0000000000000020 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFDC830 +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 0000000040D95030 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFF6 +XER 0000000000000001 + diff --git a/tests/957.bin b/tests/957.bin index 9035d4c..439fbfe 100644 Binary files a/tests/957.bin and b/tests/957.bin differ diff --git a/tests/957.out b/tests/957.out index 123a0de..d13cd39 100644 --- a/tests/957.out +++ b/tests/957.out @@ -1,32 +1,37 @@ -REG F935FFFF98E31DEF -REG 0000000000000001 -REG FFFFFFFFFFFF0000 -REG 0000000000000000 -REG 0000000000000000 -REG FF9ADB9EFF9ADB9E -REG 0000000000000001 -REG FFFFFFFF2010003E -REG 000000000000FFFF -REG 0000000000004EBE -REG FFFFFFFF621DFFEE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000001FFA0 -REG 0000000000000001 -REG F91FFFFFF3BD000F -REG 0000000000000000 -REG 0000000068490001 -REG 0000000000000000 -REG FFFFFFFFFFFFFD99 -REG 0000000000000026 -REG 0000000000000000 -REG 0000002000000020 -REG 0000000000000001 -REG 00000000000016D4 -REG FFFFFFFFFFFFB142 -REG FFF0000003FF4000 -REG 000000000007FFFF -REG F8F5FFFFFEF81B8A -REG FFFFFFFFFFF7B141 -REG 000000011FF10133 +GPR0 0000000000000001 +GPR1 0000000000000004 +GPR2 0000000000000000 +GPR3 0000000000018140 +GPR4 FFFFFFFFFFFE7EBF +GPR5 0000000000000000 +GPR6 000000000001C020 +GPR7 0000000000000020 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000032 +GPR16 0006BFFFFFFFFFFF +GPR17 0000000080000000 +GPR18 0000000000000000 +GPR19 0000000000001016 +GPR20 FFFFFFFFFFFFFFED +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000001 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFE7EBF +GPR30 0000000000000012 +GPR31 +CR 00000000525D55B7 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BF2C7 + diff --git a/tests/958.bin b/tests/958.bin index 34d5148..3273fb6 100644 Binary files a/tests/958.bin and b/tests/958.bin differ diff --git a/tests/958.out b/tests/958.out index 6204acf..fcf2ca4 100644 --- a/tests/958.out +++ b/tests/958.out @@ -1,32 +1,37 @@ -REG 0000000000031859 -REG 1FFFFFFFFFFCE7A7 -REG 0000000020400824 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFF6B6F800264D -REG FFFFFFFFDFBE0823 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 00000000610B0001 -REG 0000000000000000 -REG 1FFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFCC90C6F8 -REG 0000000000000000 -REG 0000000020000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFE0007 -REG 0000000000000000 -REG FFFFFFFFCC90C6F8 -REG FFFFF6B6F800264D -REG FFFFFFFFFFFDF600 -REG 0000000100000001 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000000003FE9 -REG 0000000000000000 -REG 0000000040801050 +GPR0 000000000001C020 +GPR1 FFFFFFFFFFFFFFFF +GPR2 FFFFFFFFFF0B74FF +GPR3 000000000000202C +GPR4 00000000FFFFFFFF +GPR5 0000000000000000 +GPR6 000000000000011E +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFFFFF +GPR18 FFFFFFFF0B74FFFF +GPR19 00000000163C0000 +GPR20 0000000000000000 +GPR21 FFFFFFFFFFFFB084 +GPR22 000000000001E6C0 +GPR23 000000000000011D +GPR24 0000000028230000 +GPR25 0000000020750000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000000 +GPR31 +CR 0000000033094880 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00BFFC0 + diff --git a/tests/959.bin b/tests/959.bin index 471ba77..3369c32 100644 Binary files a/tests/959.bin and b/tests/959.bin differ diff --git a/tests/959.out b/tests/959.out index c176bbc..bbd7f46 100644 --- a/tests/959.out +++ b/tests/959.out @@ -1,32 +1,37 @@ -REG 97537C8000172B44 -REG FFFFF6D62828C4A2 -REG 0000000000000000 -REG 0000000000001F7F -REG 0000000000000000 -REG 000000000000003A -REG FFFFFFFFFFFFFFFF -REG 0000000000000080 -REG 0000000000000000 -REG FFFFFFFFFFFF0FFF -REG 0000000000000000 -REG 000000004088F84F -REG FFFFFFFFFFFFFFC5 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG FFFFE1FFFFFDF5E0 -REG 0000000000031600 -REG 000000000000E000 -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000400 -REG 97537C910017D8E1 -REG FFFFE1FFFFFC01FF -REG 800000006A9E0000 -REG 0000000000000000 -REG 97537C910017D8D1 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG 000000004088F84F -REG 0003BDAF5C4B8262 -REG 000000011FFAEF08 +GPR0 FFFFFFFFFFFE4B0D +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFE3FC7 +GPR4 1000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0003FFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000002 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000B46 +GPR16 FFFFFFFFFFFFC7FF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 000000000001C038 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000000 +GPR22 0000000000038023 +GPR23 0000000000000002 +GPR24 0000000020160000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000000001C038 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000030095090 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080023FC2 + diff --git a/tests/96.bin b/tests/96.bin index 176bf1b..a836a4e 100644 Binary files a/tests/96.bin and b/tests/96.bin differ diff --git a/tests/96.out b/tests/96.out index ecfbea3..da97cb1 100644 --- a/tests/96.out +++ b/tests/96.out @@ -1,32 +1,37 @@ -REG 0877F7F7F8FBD836 -REG FFFFFFF8000030CF -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000009016 -REG 0000000000000000 -REG E19ED7771E6C0000 -REG 0000000000000000 -REG FFFFFFFFFFFFD762 -REG 0000000000000000 -REG 0000000070C9E780 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFE780 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000070CA0000 -REG 1E6BFFFF1E6BFFFF -REG 0000000000000000 -REG FFFFFFFF8F35FFFF -REG 0000000000000000 -REG 0000000003010506 -REG 0000000000000000 -REG 0000000000000006 -REG FFFFFFFFFFFF8B7B -REG 0000000800000000 -REG 0000000000000006 -REG 0000000051088010 +GPR0 0000000000000000 +GPR1 7FFFC01F7FFFC01F +GPR2 0000000000000000 +GPR3 FFFFFFFFFF1FFFFF +GPR4 4D8002804D800280 +GPR5 0000000000000020 +GPR6 000000000001C020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFC0000000000 +GPR10 00000000A6004039 +GPR11 0000000000000000 +GPR12 4D8002804D800280 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 00000000FFFE3FE0 +GPR17 000000000000003F +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000007D63 +GPR21 0000000000000000 +GPR22 B27FFD7FB27FFD80 +GPR23 0000000040000000 +GPR24 FFFFFFFFFFFFFFBF +GPR25 4D8002804D800280 +GPR26 7FFFC01F7FFFC01F +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFF829D +GPR31 +CR 0000000035C50B91 +LR 000000004D800280 +CTR FFFFFFFFFFFFFFE0 +XER 00000000A0040000 + diff --git a/tests/960.bin b/tests/960.bin index 08dd9a7..6fc97d1 100644 Binary files a/tests/960.bin and b/tests/960.bin differ diff --git a/tests/960.out b/tests/960.out index f9ac6cd..ba5278c 100644 --- a/tests/960.out +++ b/tests/960.out @@ -1,32 +1,37 @@ -REG 00000000014ACE1C -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 1000000000000002 -REG 0000000000000002 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFF53FB5 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 07FFB862FFFFB862 -REG 0000000000078000 -REG 0000000000000000 -REG 0000000007F53FB0 -REG 0000000000077FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG EFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 0000000000077FFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000078000 -REG 0000000000000002 -REG 0000000040080800 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000001 +GPR4 0000000000000000 +GPR5 0000000000000021 +GPR6 FFFFFFFFFFFFFFFE +GPR7 0000000000000000 +GPR8 0000000000000021 +GPR9 000000000001C020 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000021 +GPR14 0000000000005EFF +GPR15 0000000048170000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFFFF +GPR19 0000000000000001 +GPR20 0000000000000001 +GPR21 0000000000000000 +GPR22 0000000048170001 +GPR23 000000000000002F +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000340549A8 +LR 0000000000000001 +CTR 000000000000002F +XER 0000000080000020 + diff --git a/tests/961.bin b/tests/961.bin index 4288551..04be94c 100644 Binary files a/tests/961.bin and b/tests/961.bin differ diff --git a/tests/961.out b/tests/961.out index 0c985cb..efc9237 100644 --- a/tests/961.out +++ b/tests/961.out @@ -1,32 +1,37 @@ -REG 0000000036BD0000 -REG 0000000004010000 -REG 000000000F400000 -REG 0000000000003871 -REG 0000000000000000 -REG 000000000006D7A0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFEC -REG 000000000000611F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000013 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000013 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000611F -REG 0000000041F081E8 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000002A3E +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 FFFFFFFFFF9E1AC8 +GPR15 000000000001C038 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 FFFFFFFFC66FB5FD +GPR19 0000000000000000 +GPR20 0000000000000040 +GPR21 000000000000003F +GPR22 0000000039904A03 +GPR23 000000000001C020 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFD5C2 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000039004A03 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/962.bin b/tests/962.bin index a1a506f..8934270 100644 Binary files a/tests/962.bin and b/tests/962.bin differ diff --git a/tests/962.out b/tests/962.out index bc45f07..9ad3394 100644 --- a/tests/962.out +++ b/tests/962.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFC2CF -REG 000000000CE9A000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG FFFFFFFF6360F86B -REG FFFFFFFFFFFFFFD2 -REG 0000000000000040 -REG FFFFFFFFFFFFC28F -REG 0000000000000000 -REG 0000022200018E7C -REG 0000000007807433 -REG 000000000CE9A000 -REG FFFFFFFFFF807472 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000010000 -REG 0000000000006CB9 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFEF -REG 0000000000000030 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000051011049 +GPR0 0000000000000000 +GPR1 00000000001247D6 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0001181B00800000 +GPR5 0000000000000002 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000004980000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFF41E +GPR13 FFFFFFFFFFFE3FDE +GPR14 0000000000000001 +GPR15 0000000000000000 +GPR16 01FFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 00000000A0040000 +GPR20 00000000A0040000 +GPR21 0000000000000000 +GPR22 FFFFFFFFFFFFFFFF +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFED +GPR28 FFFFFFFFFFFFFFDE +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000002 +GPR31 +CR 0000000036850094 +LR 00000000001247D6 +CTR 000000000000001F +XER 00000000E00C0000 + diff --git a/tests/963.bin b/tests/963.bin index 1e98f4d..7d7c9d4 100644 Binary files a/tests/963.bin and b/tests/963.bin differ diff --git a/tests/963.out b/tests/963.out index 001ba7a..38f1675 100644 --- a/tests/963.out +++ b/tests/963.out @@ -1,32 +1,37 @@ -REG FFC0000067D3F8ED -REG 0000000000000020 -REG C1ABC9C87FFFFFFC -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000067CAFC32 -REG 0000000000000000 -REG 000000000000001A -REG 0000000000000000 -REG FFC0000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF57BFF -REG 0000000000000000 -REG 0000000000000000 -REG FFC000000000756C -REG FFFFFFFFFFF807FF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFF9835FFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000031336 -REG FFC0000000000000 -REG FFFE2737FFFFFFE0 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041001E41 +GPR0 FFFFFFFC7FFFFFE8 +GPR1 0000000000000040 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000007A79 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 FFFFFFFFFFFE3FDF +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 000000000001C020 +GPR23 00000000000057A7 +GPR24 0000000000000001 +GPR25 000000007FFFFFE8 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000016 +GPR29 00000000003803FF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000035099A34 +LR FFFFFFFFFFFFFFFF +CTR FFFFFFFFFFFFFFFF +XER 0000000080000000 + diff --git a/tests/964.bin b/tests/964.bin index 229312d..9503b64 100644 Binary files a/tests/964.bin and b/tests/964.bin differ diff --git a/tests/964.out b/tests/964.out index 38d4b76..90155bd 100644 --- a/tests/964.out +++ b/tests/964.out @@ -1,32 +1,37 @@ -REG 00000000C5E4049E -REG 0000000000000000 -REG A50FFFFDA50EF837 -REG 00000081C0000000 -REG FFFFFFFFFFFFFFFF -REG 000000001B72533E -REG 000000007ECA533E -REG 0000000000000000 -REG 0000000000000000 -REG 00000081C0000000 -REG 00000000000000F8 -REG 0000000000000000 -REG 000000007ECA533E -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 000000007ECA533E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000006B59840000 -REG 000000007ECA7B1C -REG 0000006B59840000 -REG 00000000000025AF -REG 000000000135ACC2 -REG 00000000000037A2 -REG 0000006B59840000 -REG 00000000221E2DBF -REG 0000000000000000 -REG FFFFFF94A67BFFFF -REG 0000000000000001 -REG 0000000000000000 -REG 0000000050108F70 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 000003FC00000000 +GPR6 0000000400000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 FFFFFFFFC05A0000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 0000000000000050 +GPR11 47FFFE774003FE77 +GPR12 000000003FC00000 +GPR13 0000000000000000 +GPR14 000000003FA60000 +GPR15 0000000400000000 +GPR16 FFFF1FEEFFF0002E +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 000000000000C000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 000000000FFFFFFF +GPR24 FFFFFFFFFFFFFFFF +GPR25 FFFFFFFFFFFFFFFF +GPR26 0000000000016550 +GPR27 FFFFFFFFFFFE3FDC +GPR28 000000000000E4E3 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFE3FDD +GPR31 +CR 0000000044510008 +LR 0000019000000000 +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/965.bin b/tests/965.bin index 54b054f..2cf4784 100644 Binary files a/tests/965.bin and b/tests/965.bin differ diff --git a/tests/965.out b/tests/965.out index 4a6ad41..6951cae 100644 --- a/tests/965.out +++ b/tests/965.out @@ -1,32 +1,37 @@ -REG 00000000593100B8 -REG 0000000000000000 -REG 0000001FFFF8B2A0 -REG 0000000000000020 -REG 000FF0005A1007F0 -REG 0000000000000000 -REG FFFFE2CA80003A6B -REG FFFFFFFF0000FF00 -REG FFFFFFFFFFFFA5EF -REG 0000000000000000 -REG 0000000000000000 -REG 0A6CE00480000000 -REG FFFFFFFF0000FF00 -REG 0000000000000000 -REG FFFFFFFFFFFF5068 -REG 0000000000000000 -REG 00000000FFFFC595 -REG FFFFE2CA80003A6B -REG FFFFFFFFFFFFA5EF -REG 0000000000000000 -REG 0A6CE0047FFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFF5048 -REG FFFFFFFFA6CE0048 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000007FFF -REG FFFFFFFFFFF8B2A0 -REG 0000000000000000 -REG 000000011FFF4A1E +GPR0 000000008000F91C +GPR1 000000008000F93C +GPR2 FFFFFFFFD9260000 +GPR3 FFFFFFFFEF5AD432 +GPR4 0000000000000000 +GPR5 0000000000000000 +GPR6 000000000001C02A +GPR7 0000000000000032 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFFFFEF5AD431 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFEF5AD432 +GPR16 0000000000000000 +GPR17 FFFFFFFFFFFFAC91 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 000000000001C016 +GPR22 A2E723FBA2E723FB +GPR23 FFFFFFFFFFFFFFFF +GPR24 0000000000007444 +GPR25 0000000000000000 +GPR26 000000005D18DC04 +GPR27 000000000001C010 +GPR28 FFFFFFFF00000000 +GPR29 FFFFFFFFFFFFFFF5 +GPR30 FFFFFFFF50003FFF +GPR31 +CR 000000003955D099 +LR FFFFFFFFFFFFFFFF +CTR 000000000000F93C +XER 00000000A004F93C + diff --git a/tests/966.bin b/tests/966.bin index faf207f..9831d6a 100644 Binary files a/tests/966.bin and b/tests/966.bin differ diff --git a/tests/966.out b/tests/966.out index fd05ef7..421db67 100644 --- a/tests/966.out +++ b/tests/966.out @@ -1,32 +1,37 @@ -REG 00000016FFFFD131 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFBFFFFFFF -REG 0000000000000002 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000002 -REG FFFFFFFFFFFF93FF -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBFFFFFFF -REG 0000000000000002 -REG 0000000000000003 -REG 00000016FFFFC194 -REG 000000000000D023 -REG 0000000000000002 -REG FFFFFFFFFFFFC910 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000006C00 -REG 0000000000000001 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFA -REG FFFFFFFFBFFFFFFF -REG 0000000000000001 -REG FFFFFFFFFFFFAFF6 -REG FFFFFFFF7CD7D4A6 -REG 0000000000004A42 -REG 0000000000000000 -REG FFFFDF35F500000A -REG 0000000108509090 +GPR0 0000000000000000 +GPR1 FFFFFFFFE1AF69D8 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFF +GPR7 FFFFFFFFFFFE3FE0 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFF0 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000001E509628 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFC0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 C0310001C0300001 +GPR31 +CR 0000000030583D0F +LR FFFFFFFFE1AF69D8 +CTR FFFFFFFFFFFE3FE0 +XER 0000000080000000 + diff --git a/tests/967.bin b/tests/967.bin index 57eb608..c764a8a 100644 Binary files a/tests/967.bin and b/tests/967.bin differ diff --git a/tests/967.out b/tests/967.out index f729dda..d5389e3 100644 --- a/tests/967.out +++ b/tests/967.out @@ -1,32 +1,37 @@ -REG 0000000000000040 -REG 00000000186F3411 -REG 0000000000000000 -REG 0000000000000001 -REG FFFF01003F7FFFF8 -REG FFFF7F6CFFFFFF00 -REG 0000000000000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000400 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE0 -REG FFFFFFFFFFFFB0E9 -REG 0000D80000000000 -REG 0000000000000000 -REG 0000000000000003 -REG 000000003F7FFFF8 -REG 000000000000006C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000039 -REG 0000000000000000 -REG 000000000000006C -REG 0000000000006F04 -REG FFFFFFFFFFFFD97E -REG B000000000000003 -REG 0000000000000003 -REG FFFFFFFFFFFFFFE0 -REG 000000011E850889 +GPR0 FFFFFE23AAF83F5D +GPR1 0000000000000000 +GPR2 00000000A007FFFE +GPR3 C4755F07EFFFFFFE +GPR4 000000000001C020 +GPR5 0000000000000000 +GPR6 FFFFFBDDFFFFFFFE +GPR7 3B8AA0F8C904D01A +GPR8 FFFFFFFFFFFFFFFE +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 00000400FFFFC000 +GPR13 FFFFFFFFFFFFFFD5 +GPR14 000000000001C01F +GPR15 0000000000000000 +GPR16 000000005F0FDF19 +GPR17 0000000000000000 +GPR18 0000042200000000 +GPR19 0000000000000000 +GPR20 3B8AA4FAF90CD019 +GPR21 FFFFFBFDFFFFFFFF +GPR22 FFFFFFFF6FFFFFFF +GPR23 0000000000000000 +GPR24 000000002801FFFF +GPR25 000000000000002A +GPR26 0000000000000000 +GPR27 000000000001C020 +GPR28 C4755F07EFFFFFFF +GPR29 C4755F07C7FF7FE7 +GPR30 0000000000000000 +GPR31 +CR 000000003F0FDF19 +LR 0000000000000000 +CTR FFFFFFFF1625FE59 +XER 00000000A007FFFE + diff --git a/tests/968.bin b/tests/968.bin index c654c36..47b48e2 100644 Binary files a/tests/968.bin and b/tests/968.bin differ diff --git a/tests/968.out b/tests/968.out index c5a3954..3b82932 100644 --- a/tests/968.out +++ b/tests/968.out @@ -1,32 +1,37 @@ -REG 000000003FFFFFE4 -REG 0000000009550020 -REG 000000000000001A -REG 0000000000000000 -REG 000000000000003B -REG 0000000000000000 -REG FFFFFFFFDAB80020 -REG 000000000000001B -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000DA2B -REG 000000000000674B -REG FFFFFFFFFFFFFFE4 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000040 -REG B7C24000B5FFA5C8 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000001B -REG 000000000000001A -REG 000000002E750000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000040000041 +GPR0 F7BEFFFFE484FFC0 +GPR1 0000000000000000 +GPR2 000000000001C022 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 F7BEFFFFE484FF8A +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000846 +GPR8 FFFFFFFFCA0F0E68 +GPR9 00000000CA0F0E68 +GPR10 0808080808080607 +GPR11 00000000FFF7B13E +GPR12 FFFFFFFFCA0F0E68 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 00000000000068DE +GPR19 0000000004040500 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 000000001B7B0000 +GPR24 00000000B6180000 +GPR25 FFFFFFFFFFFFB900 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000035F0F199 +GPR29 0000000000000E68 +GPR30 0000000000000000 +GPR31 +CR 000000009A0F0E98 +LR 0000000035F0F199 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/969.bin b/tests/969.bin index db596df..d2e9140 100644 Binary files a/tests/969.bin and b/tests/969.bin differ diff --git a/tests/969.out b/tests/969.out index 214a421..7516928 100644 --- a/tests/969.out +++ b/tests/969.out @@ -1,32 +1,37 @@ -REG FFFFFFF6E5388428 -REG FFFFFF00FFFFFFFF -REG FFFFFFFFDFFFBD0C -REG 0000000000000006 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 1C22800000000006 -REG 0000000000007E8F -REG 0000000000000000 -REG 0000000000000000 -REG 000000FF00000000 -REG 0000000000000041 -REG 0000000000000000 -REG FFFFFFFFFFFC0000 -REG 0000000000000000 -REG 0000000020448428 -REG 0000000000000000 -REG FFFFFFFFFFFFFFF1 -REG 0000000000000020 -REG 000000FF00005804 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFF7FFEF4300 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000009091E85E +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 000000007FFE3FE0 +GPR4 0000000000000022 +GPR5 0000000000000000 +GPR6 FFFFFFFFFE0004C0 +GPR7 FFFFFFFFFFFFFFFF +GPR8 0000000000000022 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000022 +GPR12 0000002AD2000000 +GPR13 000000000001C020 +GPR14 FFFFFFFFFFFFFFE8 +GPR15 0000000000000000 +GPR16 00000000FF480000 +GPR17 000000000000000E +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000010000 +GPR21 0000000000000000 +GPR22 FFFFFFFFF64C0000 +GPR23 000000000001C020 +GPR24 00000000000064F7 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000FFFFD200 +GPR29 0000000000000000 +GPR30 0000002AD2000000 +GPR31 +CR 0000000052709513 +LR 0000000000000000 +CTR 0000000000000040 +XER 000000008001C020 + diff --git a/tests/97.bin b/tests/97.bin index 41dc86b..304ba4e 100644 Binary files a/tests/97.bin and b/tests/97.bin differ diff --git a/tests/97.out b/tests/97.out index e657973..f366cd4 100644 --- a/tests/97.out +++ b/tests/97.out @@ -1,32 +1,37 @@ -REG FFE0000C6F040472 -REG 0000000000020000 -REG FFFFFFFF60D0336C -REG 0000039800020B98 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 000000009F300000 -REG 0000000000068E80 -REG 000000009F300000 -REG 0000000000000014 -REG 0000000100000400 -REG FFFFFFFFC0000020 -REG 0000000000000017 -REG 0000000000000024 -REG 0808080808814313 -REG 0000000000000001 -REG FFFFFFFFC0000000 -REG FFFFFFFFD8F20000 -REG 000000003FFFFFE0 -REG 00000398000206E5 -REG 0000000040000000 -REG FFFFFFFFFFFFFFFD -REG FFFFFFFFFFFFFFDB -REG 0000000000000000 -REG 000039800020B980 -REG 000000000000001A -REG 0000000000000017 -REG FFFFFFFFFFFFFFFF -REG F7F7F7F7F77F0000 -REG 0000000000000000 -REG 0000000100100880 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0E0038000E003800 +GPR4 0000000000000000 +GPR5 000001FF81FFFFD1 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFFFFFFF +GPR8 000000000001C006 +GPR9 0000000000000000 +GPR10 0000000000000014 +GPR11 0000000000000000 +GPR12 000000000001C007 +GPR13 00000000EC35C008 +GPR14 0000000000000000 +GPR15 00000000FFFF0000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFFFF98 +GPR19 FFFFFFFF0000FFFE +GPR20 0000000000000040 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFE +GPR24 0000000000000000 +GPR25 0000000000000014 +GPR26 00000000FFFF0000 +GPR27 0000000000000000 +GPR28 0000000080000000 +GPR29 00000000794DC008 +GPR30 000000000001C005 +GPR31 +CR 0000000035390009 +LR 0000000000000000 +CTR 00000000000CFFFD +XER 000000008003FFD1 + diff --git a/tests/970.bin b/tests/970.bin index 4d147cc..e317b0d 100644 Binary files a/tests/970.bin and b/tests/970.bin differ diff --git a/tests/970.out b/tests/970.out index d4e5416..c5c5900 100644 --- a/tests/970.out +++ b/tests/970.out @@ -1,32 +1,37 @@ -REG F390000004E9B62E -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF7FFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFE7D3 -REG 000000000000008E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG F00000000011A000 -REG 0000000000000000 -REG 0000000000006F39 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFF8DEA0 -REG 000000000000008F -REG 0000000000000011 -REG 0000000000000002 -REG 0000000000000000 -REG 0000000080000000 -REG 0000000000000020 -REG 0000000004E9CCA0 -REG 0000000000007A8E -REG FFFFFFF7FFFFFFF7 -REG 0000000000000000 -REG 0000000040009108 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 00000000C0000000 +GPR3 08080808F78B0808 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C030 +GPR11 00000000FFFFC006 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFE00001AFFFFFFF +GPR22 00013B13A09D89D8 +GPR23 0000000000000000 +GPR24 08080808F78AD3F6 +GPR25 0000000000000000 +GPR26 00000000FFFFC007 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000000001A +GPR30 0000000000000000 +GPR31 +CR 0000000020582889 +LR 01FFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000000000000 + diff --git a/tests/971.bin b/tests/971.bin index 193687e..f790b3a 100644 Binary files a/tests/971.bin and b/tests/971.bin differ diff --git a/tests/971.out b/tests/971.out index fae5f1c..4086fa4 100644 --- a/tests/971.out +++ b/tests/971.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFAE6FF -REG 000000000000D288 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFC000 -REG 000000006D2B0008 -REG 0780000007800000 -REG 000000000000FFC7 -REG 0000000000000003 -REG FFFFFFFFFFFF25AA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF92D50038 -REG 000000006D2B0020 -REG 0000000000000000 -REG FFFFFFFF92D4FFC4 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF25AA -REG FFFFFFFFC7E70000 -REG 0000000000000000 -REG 0000000000000020 -REG 000000005ED80000 -REG FFFFFFFFFFFAD900 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000044009104 +GPR0 000000000000017F +GPR1 03FFF1FF03E05D51 +GPR2 0000000000000000 +GPR3 0000000000000009 +GPR4 0000000FE0000000 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000002 +GPR9 FFFFFF7FFFFFFE81 +GPR10 000000800000017E +GPR11 0000000000000000 +GPR12 000000000005405F +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000000001C020 +GPR15 000000000001C004 +GPR16 FFFFFFF02000017F +GPR17 0000000000000000 +GPR18 0000000020000000 +GPR19 03FFF1FF03E05D51 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 000000800000017E +GPR23 00E01000029CAFC0 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000020 +GPR27 000000000000001D +GPR28 0000000FE0000000 +GPR29 FFFFFFFFC00C119A +GPR30 0000000000000000 +GPR31 +CR 0000000094940109 +LR 0000000000000007 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/972.bin b/tests/972.bin index b1b1985..750d3af 100644 Binary files a/tests/972.bin and b/tests/972.bin differ diff --git a/tests/972.out b/tests/972.out index ffc8a44..cc7ca5f 100644 --- a/tests/972.out +++ b/tests/972.out @@ -1,32 +1,37 @@ -REG F7F7F7F7DFD7F941 -REG 0000000000000000 -REG 0808080808080807 -REG FFFFFFFFD7DFF146 -REG FFFFFFFFA2BF0505 -REG FFFFFFFFFFFFF6DF -REG 00097FFFFEAA0004 -REG FFFFFFFFFFFFFFDF -REG 0000000020000000 -REG FFFFFF598E47F25C -REG FFFFFFFFFFFFFFDE -REG 0000000000000021 -REG FFFFFFFFFFFFFAFB -REG 0000000000000000 -REG FFFFFFFFD7DFF146 -REG FFF6800000000000 -REG 0000000000000001 -REG FFFFFFFFD7DFF146 -REG 0000000000000020 -REG FFFFFFFFFFFFFFF6 -REG 0000000000000000 -REG F7F7F7F7F7F7D7DB -REG FFFFFFFFD7DFF146 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFB6 -REG FFFFFFFFFFFF882F -REG 0009800000000000 -REG 000000000000AAAA -REG 0000000000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000101109011 +GPR0 B3BF2ADBB3B0001A +GPR1 FFFFFFFFDCE00030 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 00000000750E0000 +GPR5 000000006CE0001F +GPR6 FFFFFFFF8AF1FFFF +GPR7 FFFFFFFFFFFE3FDF +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000000001F +GPR11 000000000000001F +GPR12 0000000000000000 +GPR13 0000000032388972 +GPR14 0000000000000011 +GPR15 0002C00000000000 +GPR16 0000000000000000 +GPR17 B3BF2ADBB3B0001B +GPR18 FFFFFFFFFFF25B2C +GPR19 0000000000000001 +GPR20 00000000FFFFFFE1 +GPR21 FFFFFFFFDCE0001F +GPR22 0000000000000000 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000001C020 +GPR25 080808080204FFE3 +GPR26 0000000090000000 +GPR27 0000000000000000 +GPR28 0000000090000000 +GPR29 0000000000000000 +GPR30 00000000750E0000 +GPR31 +CR 0000000027308930 +LR 0000000000000000 +CTR FFFFFFFF06670012 +XER 0000000000000000 + diff --git a/tests/973.bin b/tests/973.bin index 04b2c49..26172ec 100644 Binary files a/tests/973.bin and b/tests/973.bin differ diff --git a/tests/973.out b/tests/973.out index 5ce9416..41f8c0a 100644 --- a/tests/973.out +++ b/tests/973.out @@ -1,32 +1,37 @@ -REG 000000002001205B -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000231E -REG 00000000000ED072 -REG 0000000000000000 -REG 0000000000000000 -REG 000ED07200000072 -REG A00000C0A0000000 -REG 000000000000280A -REG 000ED07200000072 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF231E -REG 5FFFFF3F600ED072 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000040 -REG 00000000000007FF -REG A007FF389FE5403F -REG 00000000000ED072 -REG 0000000000000000 -REG 0000000000000003 -REG 000000000000000A -REG 0000000000000000 -REG 5FFFFF3F60000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000001101088 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 000000000169F3CE +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFBB27 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000840 +GPR9 FFFFFFFFFFFFF7BE +GPR10 0000000000000020 +GPR11 FFFFFFFFFFFFEF86 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFF875C +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFEF86 +GPR17 FFFFFFFFFFFFEF86 +GPR18 0000000000000020 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 00000000000044D8 +GPR22 FFFFFFFFFFF60C31 +GPR23 0000000000000000 +GPR24 000000003B001799 +GPR25 FFFFFFFFFFFFFFFF +GPR26 000000000009F3CE +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFF875C +GPR29 0000000000000001 +GPR30 0000000000000000 +GPR31 +CR 000000009B000799 +LR 0000000000000000 +CTR 0000000000000040 +XER 00000000A006D3D2 + diff --git a/tests/974.bin b/tests/974.bin index 67d4e25..53e15cf 100644 Binary files a/tests/974.bin and b/tests/974.bin differ diff --git a/tests/974.out b/tests/974.out index 9ec50cd..85fd920 100644 --- a/tests/974.out +++ b/tests/974.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFF19 -REG 0000000000000000 -REG FFFFFFFFFF39FFC0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000020 -REG 0000000002DF9663 -REG 012348ED74C20000 -REG 07FFFFFFFD1FFFDE -REG 0800000000000000 -REG 0000000000000000 -REG 0000000005000000 -REG 0000000000000000 -REG 0000000006060000 -REG 0000000000000000 -REG FFFFFFFFFFFE77FF -REG 000000000000000E -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000003FFFC00 -REG 0000000006060000 -REG 0000000000000006 -REG 0000000000000000 -REG 000000000F8028A9 -REG 0000000000000000 -REG FFFFFFFFFFFFFF00 -REG 0000001700000017 -REG 0000000002E00021 -REG 0000000000000000 -REG 000000009AAF0000 -REG 0000000000000020 -REG 000000005E00009D +GPR0 0000000080000000 +GPR1 FFFFFFFFFFFFA1A4 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 AC0000000000F01F +GPR5 0000000000000000 +GPR6 0000000000000001 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000040 +GPR10 0000000000000000 +GPR11 0000000030904951 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 0000000000000000 +GPR16 00000000A0040000 +GPR17 FFFFFFFFFFE03D57 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 00000000FFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000040 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 AC0000000000F01E +GPR31 +CR 0000000030904951 +LR 0000000000000000 +CTR 0000000030904951 +XER 00000000C0080000 + diff --git a/tests/975.bin b/tests/975.bin index 0aa2578..beae0db 100644 Binary files a/tests/975.bin and b/tests/975.bin differ diff --git a/tests/975.out b/tests/975.out index d19f445..73e017e 100644 --- a/tests/975.out +++ b/tests/975.out @@ -1,32 +1,37 @@ -REG 124000080000B803 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000340000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 00020C6200020C62 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000340000 -REG FFFFFFFFFFFFC9C8 -REG 0000000002480056 -REG FFFFFFFFFFCBFFFD -REG 0000000002480056 -REG EDBFFFF7FFFFE6C4 -REG FFFFFFFFFFFFFFC3 -REG EDBFFFF7FFFFE6C3 -REG 0000000020000000 -REG FFFFFFFFFFFFFFE0 -REG 0000000000004000 -REG EDBFFFF7FFFFE6C3 -REG 0000000000000000 -REG FFFDF39DFFFDF39D -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 4000000000000003 -REG 0000000051101000 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFFFFFFE +GPR7 FFFFFFFFFFFFFFFE +GPR8 000000000001C00A +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000000053C +GPR13 FFFFFFFFFFFF8564 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFFFFFF93D4 +GPR19 FFFFFFFFFFFFFFFF +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000FFFFFFFF +GPR29 0000000000000000 +GPR30 000000000000053C +GPR31 +CR 0000000037190223 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 0000000080000000 + diff --git a/tests/976.bin b/tests/976.bin index b8351c7..226b670 100644 Binary files a/tests/976.bin and b/tests/976.bin differ diff --git a/tests/976.out b/tests/976.out index 8d1e760..46734dd 100644 --- a/tests/976.out +++ b/tests/976.out @@ -1,32 +1,37 @@ -REG 0000000000001F11 -REG 0000000400000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000007EEF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 8418000180000001 -REG 0000000000000010 -REG 0000000000000000 -REG 000000000000007E -REG FFFFFFFFFC018111 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000C20C -REG 0000000000000000 -REG 0000000000000000 -REG 000000005D9B0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000040008108 +GPR0 0000000000000040 +GPR1 FFFFFFFFBEE10000 +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 00002EFDFFFFFFFF +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFF00000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 FFFFD10200003141 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 00000000411F0001 +GPR18 0000000000000000 +GPR19 0000000000000001 +GPR20 0000000000000000 +GPR21 000000000001C020 +GPR22 0000000000000000 +GPR23 0000000000000001 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 FFFFFFFFFFFFFFFE +GPR30 0000000000000000 +GPR31 +CR 0000000033588991 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008001C02C + diff --git a/tests/977.bin b/tests/977.bin index c2fbbc4..152267e 100644 Binary files a/tests/977.bin and b/tests/977.bin differ diff --git a/tests/977.out b/tests/977.out index ab9b037..7d7ac23 100644 --- a/tests/977.out +++ b/tests/977.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFBC -REG 0000000000000004 -REG FFFFFFFFFFFFFFFF -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFE -REG FFFFFFFFFFFFFFFF -REG 00FFFFFFFFFFFC00 -REG 0000000000000000 -REG FFFFFFFEFFFFFFFE -REG FFFFFFFFFFFDFFFF -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFF3DCCFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000080000 -REG 000FFFFFFFFFFF00 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFEFFFFFFFE -REG 0000000111110888 +GPR0 FFFFFFFFFFFFFFD2 +GPR1 FFFFFFFFFFFFFFFE +GPR2 000000000001C020 +GPR3 0000000000000000 +GPR4 FFFFFFFFFFFFFFFF +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 000000000000002F +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFE1512 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 00000000A0040000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000003 +GPR19 00000000A0040000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 FFFFFFFFFFFFFFFE +GPR22 FFFFFFFFFFFFFFFE +GPR23 000000000001FAA4 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 000000008E690000 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 00000000A0040000 +GPR30 0000000000000000 +GPR31 +CR 0000000090550534 +LR 000000000001C020 +CTR FFFFFFFFFFFFBE6F +XER 00000000A0040000 + diff --git a/tests/978.bin b/tests/978.bin index 36c4ad1..7f12e7c 100644 Binary files a/tests/978.bin and b/tests/978.bin differ diff --git a/tests/978.out b/tests/978.out index d40f91a..5423cf4 100644 --- a/tests/978.out +++ b/tests/978.out @@ -1,32 +1,37 @@ -REG C07FFFFFFFFF8F3D -REG FFFFFFFFFFFFFFDA -REG FFFFFFFFFFFFFF80 -REG 0000000000000000 -REG FFFFFFFFFFC00000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000029 -REG FFFFFFFFFFFFFFC0 -REG 0000000000000038 -REG 0000000096BB003F -REG 0000000000000000 -REG FFFFFFFFFFFFBF32 -REG FFFFFFFFFFFFFFFF -REG 0000000000000047 -REG FFFFFFFFFFFFFFFC -REG 0000000000000000 -REG 0000000000000025 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000009 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000407F -REG FFFFFFFFFFFFFFBF -REG 0000000000000009 -REG 0000000000000007 -REG 000000000000003F -REG 000003FFFFFFFFC8 -REG 000000005FFE8100 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000D6D30000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000030000000 +GPR9 0000000000000000 +GPR10 0000000000000001 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 000000FFC000004B +GPR15 00000000D6D30000 +GPR16 0000000001000000 +GPR17 00000000D6D30000 +GPR18 0000000000000000 +GPR19 FFFFFF003FFFFFB4 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 FFFFFF003FFFFFB4 +GPR24 0000000000000000 +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 0000000000000000 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 000000005080C004 +LR FFFFFF003FFFFFB4 +CTR FFFFFF003FFFFFB4 +XER 00000000C0080000 + diff --git a/tests/979.bin b/tests/979.bin index 93b0d16..9d5318e 100644 Binary files a/tests/979.bin and b/tests/979.bin differ diff --git a/tests/979.out b/tests/979.out index cdfa905..ab98553 100644 --- a/tests/979.out +++ b/tests/979.out @@ -1,32 +1,37 @@ -REG FF1FFF3F06B81340 -REG 0000000040FC0404 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000C0ED00 -REG 0000000000000020 -REG 001FFFC0537B0040 -REG 0000000000000075 -REG FF0000FFFF3FA3DE -REG 0000000000009202 -REG FFFFFFFFFFFFFF13 -REG 00000000AAFC0000 -REG 0000000000000005 -REG FFFEFF00FE625075 -REG 0000001F0000C10C -REG 0000000000000000 -REG FFFF6E9BA496FFFF -REG 0000001FAAFCC10C -REG 0000000000000020 -REG 1B38FD42BD801000 -REG FF0000FFFF3F1300 -REG 0000000000000000 -REG 8000000280000002 -REG 0000000000000020 -REG 0000001F0000001F -REG 0000000000000000 -REG 00000000000037A4 -REG 0000000000000000 -REG 00000000633584D3 -REG FFFFFFFFFFFF6DDD -REG FFFEFF00FE628B21 -REG 0000000081F80808 +GPR0 0000000000000000 +GPR1 0000000000005A07 +GPR2 000000000000000B +GPR3 0000000000000017 +GPR4 FFFFFFFFFFFFFFF5 +GPR5 FFFFFFFFFFFFFFFF +GPR6 0000000003748E00 +GPR7 00000004025E2E03 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000017 +GPR11 0000000000000000 +GPR12 0000000003748E00 +GPR13 000000001C000000 +GPR14 FFFFFFFFFFFFFFFF +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000009 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 00000000002580FF +GPR24 FFFFFFFFFFFFD682 +GPR25 6D916E3FE0000000 +GPR26 0000000000000001 +GPR27 FFFFFFFFFFFFFFFF +GPR28 000000000001C020 +GPR29 00000000FFFFFFFF +GPR30 FFFFFFFFFFFFFFFF +GPR31 +CR 0000000059009590 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 0000000080000017 + diff --git a/tests/98.bin b/tests/98.bin index 81c6da2..a0978bb 100644 Binary files a/tests/98.bin and b/tests/98.bin differ diff --git a/tests/98.out b/tests/98.out index 7153cd6..996a7fd 100644 --- a/tests/98.out +++ b/tests/98.out @@ -1,32 +1,37 @@ -REG FFFFD300B3FD88AF -REG FFFFA60000003FFF -REG 0000000000004FF0 -REG 9FFFFFFF00000000 -REG 9FFFFFFF00000000 -REG FFFFFFFF807FFFFF -REG FFFFA60000000000 -REG FFFFFFFFFFFFC000 -REG 0000400000004FF0 -REG 0083A00000000000 -REG 0000400000004FF0 -REG 000000000001BFFF -REG 0000000000000000 -REG 0000000000000280 -REG 72730BFFE70F668E -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFA5FFFFFF6D5E -REG 01FFFFFC00000000 -REG 0000000000000000 -REG FFFFFFFFFFFC527C -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFDFFFF -REG 000000000001BFF1 -REG 00000000FFFFC000 -REG FFFFFFFF94250FC8 -REG FFFFFFFF4C0059FF -REG 8D8CF40018F10000 -REG 0000000000006D5E -REG 0000000098080084 +GPR0 FFFFFFFF9A2AFFFF +GPR1 000000000000FFFF +GPR2 FFFFFFFFFFFFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFFFFFFFFFFFF +GPR5 FFFFFFFF00000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000044B1FFFF +GPR10 00000FFFFFFFFFFF +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFE8 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFF0000 +GPR16 0000000000000000 +GPR17 0000000000008A79 +GPR18 FFFFFFFF0000FF39 +GPR19 FFFFFFFFFFFFFFFF +GPR20 00000000FFFF0000 +GPR21 0000000000000000 +GPR22 00000000A0040000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFFFFFFFFFFFFFFF +GPR28 FFFFFFFFFFFF3291 +GPR29 0000000000000000 +GPR30 000000000000FFFF +GPR31 +CR 000000005D408925 +LR 0000000000000000 +CTR 000000000000FFFF +XER 00000000A0070001 + diff --git a/tests/980.bin b/tests/980.bin index 10d2476..3274d9e 100644 Binary files a/tests/980.bin and b/tests/980.bin differ diff --git a/tests/980.out b/tests/980.out index e8e9e42..b00a281 100644 --- a/tests/980.out +++ b/tests/980.out @@ -1,32 +1,37 @@ -REG 00000CD3A6B13EE1 -REG 00000BFFFFFFFFFF -REG 0000000000000199 -REG 0000000000000000 -REG 00000000594DFFEB -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG B281D568B2D9F378 -REG 00000C0000000000 -REG 0000000000000000 -REG 000000001A55FFFF -REG 0000000000000040 -REG 00000000CE5C0040 -REG FFFFF32C594DFFC8 -REG 00000C000000CD40 -REG 0000000000000020 -REG 00000000200F0020 -REG 00000C003A5AFFDF -REG 0000000000004CFB -REG 0000000000000001 -REG 000000001A55FFFF -REG 0000000000000199 -REG 00000000006F9F40 -REG 0000000000000000 -REG 0000000000000002 -REG 00000CD3A6B13EE3 -REG 8080606080000000 -REG 00000000594DFFC8 -REG 00000000CE5C0040 -REG 0000000000000000 -REG 0000000080010800 +GPR0 000000FFFFFFFFFF +GPR1 0000000000000000 +GPR2 FFEE5C00000011A4 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFF0000000000 +GPR7 0000000050000000 +GPR8 C000000000000000 +GPR9 0000000030D5705E +GPR10 E000000000000000 +GPR11 0000000000000000 +GPR12 FFFFFF0000000000 +GPR13 0000000000000000 +GPR14 C000000000000000 +GPR15 00000000000075AD +GPR16 FFFFFFFFFFFFF8B9 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 FFFFFFFC5CFFF8BF +GPR22 0000000800000020 +GPR23 0000000000000000 +GPR24 000000FFFFFFFFFF +GPR25 00000000000075AD +GPR26 0000000000000000 +GPR27 000000FFFFFFFFFF +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000010000000000 +GPR31 +CR 0000000030D5705E +LR 0000000000000000 +CTR 000000FFFFFFFFFF +XER 000000008003FFFF + diff --git a/tests/981.bin b/tests/981.bin index 05a4500..d8ebe2c 100644 Binary files a/tests/981.bin and b/tests/981.bin differ diff --git a/tests/981.out b/tests/981.out index c76d0f7..5a0ed8c 100644 --- a/tests/981.out +++ b/tests/981.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFF6908 -REG 00000000001F0000 -REG 00000000000096D8 -REG FFFFFFFFFFE00000 -REG 0000000000000000 -REG 0000000000001F04 -REG FFFFFFFFFFFFEC02 -REG FFFFFFFFFFFF0000 -REG FFFFFFFFFFFF6928 -REG FFFFFFFFFFFFEC02 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000001474 -REG 0000000000006685 -REG 0000000000000000 -REG 0000000000000000 -REG 0000002000000020 -REG 000000000001EE71 -REG 0000000000001474 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000003FF1FF4000 -REG 0000000000000040 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFF8FFA -REG FFFFFFFFFFFF5B2E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000025380 -REG 0000000040000400 +GPR0 0000000040000000 +GPR1 0000000100000001 +GPR2 0000000000000000 +GPR3 0000000000001FFF +GPR4 0000000000000000 +GPR5 000000008FFFFE08 +GPR6 0000000000000008 +GPR7 0000000000000001 +GPR8 0000000000100000 +GPR9 07FFFFFFFFFFFFFF +GPR10 0000000000001FFF +GPR11 0000000000000008 +GPR12 00000000A5D857BD +GPR13 00000000A5D857C0 +GPR14 0000000000000003 +GPR15 0000000000000000 +GPR16 73CFFFF8700001F8 +GPR17 0000000100000001 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0E0080001FF88000 +GPR23 4000000040000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 269AAAA8255555FD +GPR26 0000000000000000 +GPR27 0000000000000003 +GPR28 000000000001C020 +GPR29 0000000000000000 +GPR30 0000000040000000 +GPR31 +CR 0000000000517568 +LR 000000000000741A +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/982.bin b/tests/982.bin index 9e6c5d4..8494e42 100644 Binary files a/tests/982.bin and b/tests/982.bin differ diff --git a/tests/982.out b/tests/982.out index 82fa9d6..c2a5a28 100644 --- a/tests/982.out +++ b/tests/982.out @@ -1,32 +1,37 @@ -REG B0078000FFD80138 -REG FFFFFFFFFFFFFFE7 -REG FFFFFFFFFFFFD161 -REG 0000000000000000 -REG FFFFFFFFFFFFE8B0 -REG 00000000000046B8 -REG 0000027FFC600000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFF80000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFD80039FFFFF -REG FFFFFFFFFFFFFFE7 -REG 0000000000000000 -REG 00000000FB3A0000 -REG 0000000075880000 -REG 000000000000001F -REG 00000000FB3A0000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000020000000 -REG 0000000000000000 -REG 0000000000080000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 000000000000C484 -REG 0000000000000000 -REG 0000000000000000 -REG 000000004101E002 +GPR0 000000000000138F +GPR1 0000000000000000 +GPR2 0000000000000020 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 00000000FB030000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFF9CA +GPR10 0000000000000000 +GPR11 0000132D4D703A91 +GPR12 0000000000000001 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 000000000000138F +GPR17 684835BE6800003E +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0D6000100D6F9A12 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030005500 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/983.bin b/tests/983.bin index fe92063..272e394 100644 Binary files a/tests/983.bin and b/tests/983.bin differ diff --git a/tests/983.out b/tests/983.out index 124765d..2461577 100644 --- a/tests/983.out +++ b/tests/983.out @@ -1,32 +1,37 @@ -REG 20003C3F20003C3F -REG 0407080805070302 -REG 0000000000000000 -REG FFFFEE47FFFFEE47 -REG 0000000001000000 -REG FFFFFFFFFFFFFFFF -REG FFFFEE47FFFFBC0D -REG FFFFFFFFFFFFFFE0 -REG 0000000000000001 -REG 0000000000000000 -REG 00001375DC7814C6 -REG FFFFFFFFFFFFCA7A -REG 08A065F16CD7BB18 -REG 0000000000008124 -REG 0008000000000000 -REG 0000000023205ACC -REG EC7F9A0E5E88456B -REG 000000000000001C -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000068 -REG FFFF65F18257E018 -REG 0000000000000000 -REG FFFFE53D7F800000 -REG 0000000000000068 -REG 000011B8000043F3 -REG 00001375DC780000 -REG EC7F7F4BDE08456B -REG 0000000000000000 -REG 0000000000000004 -REG 08A065F18FF7E05F -REG 0000000081EA9209 +GPR0 0000000000000020 +GPR1 0000000000000004 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000000001D +GPR5 0000000000000000 +GPR6 0000000000000020 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000020 +GPR10 FFFFFFFFFF00007F +GPR11 0000000000000000 +GPR12 FFFFFFFFFFFFFFFB +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000020000000 +GPR16 0000000000000000 +GPR17 0000000046200020 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000006200020 +GPR21 0000000000000000 +GPR22 FFFFFFFFD9DFFFE0 +GPR23 0000000000002C58 +GPR24 0000000000000000 +GPR25 000000000000001D +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 000000009918155B +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000033F99456 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000022 + diff --git a/tests/984.bin b/tests/984.bin index 5923018..7074558 100644 Binary files a/tests/984.bin and b/tests/984.bin differ diff --git a/tests/984.out b/tests/984.out index 96c7ab2..564048a 100644 --- a/tests/984.out +++ b/tests/984.out @@ -1,32 +1,37 @@ -REG 3433CD6FC17AD9B4 -REG 80000000DEC00000 -REG 000000000000001E -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFFFF -REG 000000003FBFFDFC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000002 -REG 0000000000000000 -REG 00000000000048F9 -REG 0000000000000000 -REG 0000000000000000 -REG 8000000080000021 -REG 0000000000000000 -REG E4249800E4249800 -REG 000000000000001E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FFFFFFFFFFFFFF00 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFE -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 31B603C9C0400002 -REG 8000000080000000 -REG 0000000000000000 -REG 0000000111001110 +GPR0 32EFFFFE32EFFFFE +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000080000 +GPR3 00000000F286BCA2 +GPR4 0000000000000040 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 FFFFFFFFFF000008 +GPR8 0000000000000000 +GPR9 000000000001C020 +GPR10 000000000001C030 +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 0000000000000000 +GPR14 0000000000005959 +GPR15 0000000000000000 +GPR16 000000000007FFFF +GPR17 000000000000000C +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 C200000000000007 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000C0000000C +GPR24 0000000000000000 +GPR25 FFFFFFFFFFFFA6A6 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000050000000 +GPR28 C200000000000008 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000009551CFF9 +LR 00000000E3E00040 +CTR 000000000007FFFF +XER 000000008003FFFD + diff --git a/tests/985.bin b/tests/985.bin index a7a6769..625c038 100644 Binary files a/tests/985.bin and b/tests/985.bin differ diff --git a/tests/985.out b/tests/985.out index 29abccc..4f4008b 100644 --- a/tests/985.out +++ b/tests/985.out @@ -1,32 +1,37 @@ -REG 0000000052920464 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF9811 -REG 0000000000000000 -REG 0000000052920006 -REG 00000000EF3C0000 -REG 0000000000000000 -REG 0000000000000000 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 8000000000000000 -REG FFFFFFFFFFFFFFFF -REG 8000000000000000 -REG 0000000000000000 -REG 0808080808080808 -REG 0000000086240000 -REG 7FFFFFFFFFFFFFE0 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000003273 -REG FFFFFFFFFFFFFFFF -REG 8000000000000000 -REG 03FFFFFFFFFFFFFF -REG 0000000090090911 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000001 +GPR5 00000000000000E4 +GPR6 0000000000000000 +GPR7 FFFFFFFFFFC82C91 +GPR8 0000000000000002 +GPR9 0000000000000000 +GPR10 0000000030EA95E4 +GPR11 0000000000000000 +GPR12 000000064BF3EA40 +GPR13 0043700000000000 +GPR14 00000000001C01E0 +GPR15 000000000000001B +GPR16 00000000000000FF +GPR17 0000072000000437 +GPR18 0000000000000020 +GPR19 FFFFF8DFFFFFFBC8 +GPR20 0000000000000000 +GPR21 0043700000000000 +GPR22 0043700000000000 +GPR23 FFFFFFFFFFFFFF1B +GPR24 FFFFFFF1BFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 00000720000010F9 +GPR28 FFFFF8E030EA84EB +GPR29 FFFFFFFFFFFFFFFF +GPR30 0000000000000020 +GPR31 +CR 0000000090EA95E4 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFF +XER 000000008007FFF8 + diff --git a/tests/986.bin b/tests/986.bin index 6ce5e27..93f48f8 100644 Binary files a/tests/986.bin and b/tests/986.bin differ diff --git a/tests/986.out b/tests/986.out index d5718ac..5b8fd25 100644 --- a/tests/986.out +++ b/tests/986.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFC00000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000073A -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E9C904B9E9C904B9 -REG FAFBFEFAFAFBFEFA -REG 0000000000000040 -REG 0000000000000000 -REG 0504010505040105 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFF0000 -REG 0000000020050804 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000041101008 +GPR0 0000000000000000 +GPR1 000000000001C020 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000010201 +GPR6 FEFEFEFEFEFEFEFD +GPR7 0000000000000586 +GPR8 0000000000000040 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 000000000000528A +GPR12 000000000001C008 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000504 +GPR16 0000000000000201 +GPR17 000000000001C020 +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFA27C +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000000020 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 FFFFFFFFFFFFA27C +GPR27 0000000001FFF1FE +GPR28 0000000000010201 +GPR29 FEFEFEFEFEFEFEFD +GPR30 FFFFFFFFFFFE3FDF +GPR31 +CR 0000000035809555 +LR FFFFFFFFFA0CE3C4 +CTR 0000000000000000 +XER 00000000A0040000 + diff --git a/tests/987.bin b/tests/987.bin index d60c234..8056699 100644 Binary files a/tests/987.bin and b/tests/987.bin differ diff --git a/tests/987.out b/tests/987.out index b0bb4f7..ae25334 100644 --- a/tests/987.out +++ b/tests/987.out @@ -1,32 +1,37 @@ -REG 001C03FF4ACC0910 -REG 0000000000000000 -REG 000000000000133B -REG FFFFFFFFFFFFFFFF -REG 0000000000000021 -REG 001C03FF4ACC090D -REG 0000007FE9598121 -REG 0000000000000001 -REG 001C03FF4ACBE86C -REG 0000000022E3FFFD -REG 0000000000000000 -REG 001C03FF4ACC0911 -REG 000E01FFA565F436 -REG 000000000000000B -REG 0000000000000040 -REG 22E321BD22E3FFFD -REG 0000000000000911 -REG FFFFFFFFFFFFEC11 -REG FFFFFFFFFFFD789F -REG 0000000000000911 -REG 0000000000000020 -REG 000000001CE50000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFFF -REG 0000000000000004 -REG 000000000000000B -REG 0000000000000000 -REG 0000000000000000 -REG 0000000088A88490 +GPR0 0000000000000000 +GPR1 00FFFFFF8CEE2FDE +GPR2 FFFFFFFF6CF02A7F +GPR3 FFFFFFFF6CF02A7F +GPR4 0000000000000020 +GPR5 FFFFFFFFFFFFFFFF +GPR6 FFFFFFFFFFE0700A +GPR7 0000000000000000 +GPR8 00FFFFFF8CEE2FDE +GPR9 FFFFFFFFFFFF9414 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFFFF +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000001 +GPR16 FFFFFFFB677353B8 +GPR17 FFFFFF6CEE6A7700 +GPR18 0000000000000000 +GPR19 000000000001C020 +GPR20 0000000000004000 +GPR21 000000001FFF9410 +GPR22 000000000001FE03 +GPR23 FFFFFFFF6CEE6FDE +GPR24 FF81C00000000000 +GPR25 FFFFDB3B9A9DC000 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000001 +GPR30 0000000000000001 +GPR31 +CR 0000000055787799 +LR 0000000000000000 +CTR FFFFFFFF6CF02A7F +XER 00000000E00C0000 + diff --git a/tests/988.bin b/tests/988.bin index dc805d9..b000dcb 100644 Binary files a/tests/988.bin and b/tests/988.bin differ diff --git a/tests/988.out b/tests/988.out index 69803e7..3b10b99 100644 --- a/tests/988.out +++ b/tests/988.out @@ -1,32 +1,37 @@ -REG E1EBF5E14000001B -REG 0000000000000000 -REG 0000000040000000 -REG 0000000000000000 -REG 0000007C2617DB70 -REG 00000000000000FC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000FF0000 -REG 00001FFFFFFFFFFF -REG 0000000000000000 -REG 0000000040000000 -REG 00000000EEDA0000 -REG FFFFFFFEEEF7EFF4 -REG 0000000000000000 -REG 00FEFF9900FEFF99 -REG 001FFFFBFFFF98F8 -REG 000000000001FFF0 -REG 0000000000000020 -REG 0000000000FF0000 -REG FFFFFFFFFFFF9224 -REG FFFFFFFF777BF7FA -REG FFFFFFFFFFFF83E9 -REG FFFFFFFEEEF7EFD4 -REG 0000000000000037 -REG 0000000040000000 -REG 0000000000000021 -REG 0000000287AFD785 -REG 0000000000000000 -REG 001FFFFBFFFF98D8 -REG 07FFFFFBBBDFBFD0 -REG 0000000100901008 +GPR0 000000000001C038 +GPR1 FFFFFFFFFFFFFFFF +GPR2 000000000001C01F +GPR3 00000000C34D0000 +GPR4 0000000000000000 +GPR5 0000000000000001 +GPR6 0000000000000040 +GPR7 0002000000000000 +GPR8 FFFFFFFFFFFFFFFF +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFBFDF +GPR12 FFFFFFFFFFFFFFFF +GPR13 FFFFFFFFFFE00000 +GPR14 0000000000000000 +GPR15 000000000001C038 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000000000040 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000080 +GPR23 0000000000000000 +GPR24 0000000030000000 +GPR25 FFFFFFFFFFFE3FE0 +GPR26 FFFFFFFFFFFFFFFF +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 FFFFFFFFFFFFFFC0 +GPR31 +CR 0000000036090C55 +LR 0000000075E40020 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/989.bin b/tests/989.bin index 179de78..c70c847 100644 Binary files a/tests/989.bin and b/tests/989.bin differ diff --git a/tests/989.out b/tests/989.out index b7338bf..8393ed2 100644 --- a/tests/989.out +++ b/tests/989.out @@ -1,32 +1,37 @@ -REG DB921FFF5B984078 -REG 0000000000000010 -REG 00000000015C0000 -REG 0000000500000009 -REG 003C40000000554C -REG 0000000000003BB8 -REG 0000000000000020 -REG FFFFFFFFFFFFFFFE -REG 0000000000000001 -REG 0000000000000020 -REG 0000400000000080 -REG 02BDE00002B80000 -REG 0000000000000000 -REG 0000000100000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG 02BDE00002B83BB8 -REG 0000FFFF00000000 -REG 0000000000001419 -REG 000000002888484F -REG 0000000000000809 -REG 000000000000ACAB -REG 000000000000000E -REG 0000000000003F87 -REG 003C400000004000 -REG FFC3BFFFFFFFBFFF -REG 0000000000000000 -REG 0000000000000000 -REG F000000000001FFF -REG A6D00000A6DFC000 -REG 000000009110909E +GPR0 FFFFFFFFFF011540 +GPR1 0000000000007290 +GPR2 FFFFFFFFFD0063FC +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 AAFC00000000003B +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 AAFC000001FDD5B9 +GPR9 0000000000000000 +GPR10 FFFFFFFFFFFFFFFF +GPR11 0000000000000000 +GPR12 000000000001C01A +GPR13 5503FC045503FC04 +GPR14 0000000000000000 +GPR15 000000000008A42A +GPR16 FFFFFFFFFFFFFFEC +GPR17 0000000001FDD57E +GPR18 00000000019E4001 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFE022A80 +GPR21 0000000000000000 +GPR22 0000000001FDD57F +GPR23 0000000000000000 +GPR24 0000000000124814 +GPR25 00000000019E4000 +GPR26 0000000000000014 +GPR27 0000000000180000 +GPR28 0000000000000000 +GPR29 0000000090000000 +GPR30 FFFFFFFFFFFE3FE7 +GPR31 +CR 0000000099019599 +LR 000000000000828E +CTR 000000000000007F +XER 000000008003B12C + diff --git a/tests/99.bin b/tests/99.bin index ca7e8c6..878dfea 100644 Binary files a/tests/99.bin and b/tests/99.bin differ diff --git a/tests/99.out b/tests/99.out index 0130ac0..0794f77 100644 --- a/tests/99.out +++ b/tests/99.out @@ -1,32 +1,37 @@ -REG 0D8DD923B3C970A2 -REG FFFFFFFFFFFFFF83 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 26F41899FB6A7F18 -REG FFFFFFFFFFFFF10F -REG 0000000058B3070E -REG 8000000000000000 -REG FFFFF00000000000 -REG 590BE70C3199BE72 -REG FFFFFFFFA74CFE30 -REG 590BE17B7328FB58 -REG 590BE70C8A4CC040 -REG 000000000F000000 -REG FFFFC00000000000 -REG 590BE70C25EEBE72 -REG 000000000065E136 -REG 0000000000000000 -REG 000000003199BE72 -REG FFFFFFFFFEA40000 -REG B3162CC0745985F3 -REG FFFFFFFFFFFFFFFF -REG 590BE70C3199BE72 -REG FFFFFFFFFFFFFFFF -REG D90BE7662C599784 -REG 0000000058B3070E -REG FFFFFFFFFFFFFFFD -REG 0000000000000000 -REG 590BE70C3199BE72 -REG 0000000000009C6C -REG 0000000100882A89 +GPR0 0000000000000000 +GPR1 FFFFFFFFF0001009 +GPR2 7FFFFFFE4BBFFFFF +GPR3 FFFFFFFFFFFFFFFF +GPR4 FFFFFF8000000000 +GPR5 0000000000000000 +GPR6 FFFFFFFFF0001008 +GPR7 FFFFFFFFFFFF86FC +GPR8 00000000FFFFFFFF +GPR9 0000000000000010 +GPR10 000032DDFFFFCD22 +GPR11 0000000000000000 +GPR12 0040000000400000 +GPR13 0000000000000000 +GPR14 0000000000003BF0 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 FFFFFFFFF000490B +GPR18 0000000000000000 +GPR19 FFFFFFFFFFFFFFFF +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000000003902 +GPR22 0000000000000001 +GPR23 FFFFFFFFF000490B +GPR24 0000873F3D75F449 +GPR25 0000000000000000 +GPR26 80000001B4400000 +GPR27 0000000000000000 +GPR28 000000000FFFEFF7 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 00000000900FF504 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 0000000080003BF0 + diff --git a/tests/990.bin b/tests/990.bin index 62c7a4f..24281f1 100644 Binary files a/tests/990.bin and b/tests/990.bin differ diff --git a/tests/990.out b/tests/990.out index cbbf36e..3a42236 100644 --- a/tests/990.out +++ b/tests/990.out @@ -1,32 +1,37 @@ -REG 00000000C4844A49 -REG 0000020608000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFBB359 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000020 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBBFB7F49 -REG FFFFFFFFFFFFFFE0 -REG 0000000000000020 -REG 0000000000000020 -REG 0000000000000000 -REG 0000000000010304 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFC0 -REG 00000000440480B7 -REG 0000000000000000 -REG 0000000000000000 -REG 00000000440480F8 -REG 0000000000000000 -REG 00000000900901F1 +GPR0 FFFFFFFFFFFFFFFF +GPR1 FFFFFFFFFFFFFFFF +GPR2 0000000000000000 +GPR3 0000000000000020 +GPR4 C0000003FFFFFFFF +GPR5 000000000001C016 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000001 +GPR8 FFFFFFFF00000001 +GPR9 000000000001C00E +GPR10 0000000000000000 +GPR11 0000000000E00000 +GPR12 0000000000000001 +GPR13 000000000001C020 +GPR14 01C00E0000000000 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFE3FEA +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000040 +GPR30 0000000000000000 +GPR31 +CR 0000000035595895 +LR 0000000000000000 +CTR FFFFFFFFDFFEABEF +XER 00000000A0063FEA + diff --git a/tests/991.bin b/tests/991.bin index 12597d1..6374fb6 100644 Binary files a/tests/991.bin and b/tests/991.bin differ diff --git a/tests/991.out b/tests/991.out index 6f109c1..23fa818 100644 --- a/tests/991.out +++ b/tests/991.out @@ -1,32 +1,37 @@ -REG 000000000000007F -REG 00000000000E0008 -REG 00000000000E0008 -REG 0000000000000000 -REG A6F2000B1684563E -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG E00FFFFFE0001FFF -REG 000000000000BB20 -REG FFFFFFE1FFFF07E1 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFE1 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000001 -REG 590DFFF4E97BA9C2 -REG FFFFFFFFFFFFFFBF -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFBF -REG A6F200007FFFFFFF -REG FFFFFFFFC01FFFFF -REG 00000000409F0930 +GPR0 0000000000000000 +GPR1 0000000000000001 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFB27D +GPR6 0000000000000000 +GPR7 000000000001C007 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFFFFFF +GPR10 000000000001C006 +GPR11 FFFFFFFFFFFFFFC0 +GPR12 FFFFFFFFFFFFFFFF +GPR13 0000000000017285 +GPR14 0000000000000002 +GPR15 0000000000000000 +GPR16 FFFFFFFFFFFFFFFF +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 00000000FFFD18C2 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 FFF0000000000003 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000005CA1 +GPR31 +CR 0000000025500251 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C0080000 + diff --git a/tests/992.bin b/tests/992.bin index de00868..9e69a00 100644 Binary files a/tests/992.bin and b/tests/992.bin differ diff --git a/tests/992.out b/tests/992.out index 2fb96cd..8dacd8f 100644 --- a/tests/992.out +++ b/tests/992.out @@ -1,32 +1,37 @@ -REG 000000008084F88A -REG 0000000000000EFF -REG FFFFFFFF7F7B0775 -REG 0000000000000000 -REG FFFBFFFFFFFBFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000FFFBFFFF -REG BFF80003B8000003 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000005 -REG 000000004880F803 -REG FFFFFFFFFFF7AD4A -REG 0000000000000034 -REG 000021FC000021FC -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000400000 -REG 0000000000000000 -REG 0000000000000000 -REG EFF0000000000000 -REG 0000000400000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000111000046 +GPR0 000000000001C060 +GPR1 FFFFFFFFFFFE3FDF +GPR2 FFFFFFFFFFFFFFFF +GPR3 00000000000156F6 +GPR4 0000000000C56B53 +GPR5 0000000000000040 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 000000000001C020 +GPR13 0000000000000000 +GPR14 0000000000000020 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000007BE0 +GPR27 0000000000000000 +GPR28 00000000000156F6 +GPR29 000000000001C020 +GPR30 0000000000000000 +GPR31 +CR 0000000033FF3D99 +LR 0000000000000000 +CTR FFFFFFFFFFFEFFFE +XER 000000008003FFFA + diff --git a/tests/993.bin b/tests/993.bin index d089e6f..c5b47f3 100644 Binary files a/tests/993.bin and b/tests/993.bin differ diff --git a/tests/993.out b/tests/993.out index ce0620a..cb05773 100644 --- a/tests/993.out +++ b/tests/993.out @@ -1,32 +1,37 @@ -REG FFE000006F78082E -REG FFE0000000000002 -REG 0000000000000001 -REG 0000000000004164 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFBF0740DF -REG 0000000000000040 -REG 000000006F78082C -REG FFFFFFFFFFFFFFFE -REG 0000000000000018 -REG 0000000000000001 -REG 0000000000000000 -REG 0000000900000000 -REG 0000000000000000 -REG 00000000A8A8581D -REG 0000100000001000 -REG 0000000000000000 -REG 0000000000004165 -REG FFFFFFFFFFFFB040 -REG FFFF7FFF7F0701BB -REG FFFFFFFFBF0701A3 -REG 0000000040F8FE44 -REG 6F78002B6F78082C -REG 0000000000000000 -REG 000000005404B001 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000020 -REG 0000000041E80008 +GPR0 FFFFFFFFFFFFFFFF +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFF3FF40000 +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFFFF8 +GPR6 000000001FC7C77C +GPR7 0000000000000000 +GPR8 0000000000002A13 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 00000000000400B8 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 000000000001C020 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 FFFFFFFF00000000 +GPR19 0000000000000000 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 0000000000000000 +GPR25 000000000000A9FB +GPR26 0000000000010000 +GPR27 FFFFFF9D96156FC0 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000090005109 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 000000008003FFFF + diff --git a/tests/994.bin b/tests/994.bin index f519d48..5a1a53f 100644 Binary files a/tests/994.bin and b/tests/994.bin differ diff --git a/tests/994.out b/tests/994.out index 21232ec..d93e32a 100644 --- a/tests/994.out +++ b/tests/994.out @@ -1,32 +1,37 @@ -REG FFEFFFFFFFF00061 -REG 0000168000001680 -REG 0000000000000008 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0800000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0010000000100001 -REG FFFFE97FFFFFE97F -REG BBFFBC8000000001 -REG FFFFFFFFFFFFFFFF -REG 0000000000003D72 -REG FFFFFFFFFEFDFFFD -REG 0000000000000000 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000008 -REG 0000000000000008 -REG 0000000040880086 -REG 0000B10C0000FF00 -REG 0000000000000000 -REG 0010000000100000 -REG 0000000000000000 -REG FFFFFFFFBF77FF79 -REG 0000000001020003 -REG 0000000000000001 -REG FFFFFFFFBF77FF79 -REG 0000000000000020 -REG FFFFFFFFFFFFFF79 -REG 000000011F01E900 +GPR0 0000000000003400 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 FFFFFFFFFFFFFFFF +GPR4 0000000000000000 +GPR5 FFFFC40126D04D4D +GPR6 0000000000000003 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 00000000800192C0 +GPR11 0000000000000000 +GPR12 0000000000000007 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000001 +GPR18 0000000026D04D4D +GPR19 0000000000000000 +GPR20 FFFFFFFFFFFFFFFF +GPR21 0000000002500000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFC40126D04D4D +GPR25 000000000001C020 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000026D04D4D +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000000008365 +LR 0000000000000000 +CTR 0000000000000000 +XER 00000000C00992C0 + diff --git a/tests/995.bin b/tests/995.bin index c8cfe1b..ab18305 100644 Binary files a/tests/995.bin and b/tests/995.bin differ diff --git a/tests/995.out b/tests/995.out index ea87231..e8621c9 100644 --- a/tests/995.out +++ b/tests/995.out @@ -1,32 +1,37 @@ -REG 00001F758000003C -REG 0000000000000000 -REG 0000000000006F88 -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFFE4D7EFFFF -REG 000000000000003D -REG 000000000000003C -REG 0000000000000000 -REG 0000000000000004 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000100000001 -REG 000000000000000F -REG 0000000000000000 -REG 000000000000003C -REG FFFFE08AC94601FF -REG 0000000000000004 -REG 000000010ADF0000 -REG 0000000000000005 -REG 0000000000000020 -REG 0000000000000000 -REG 000000000000001D -REG 0000000000000000 -REG 0000000040000000 -REG 000000000000000F -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000004 -REG FFFFFFFFFFFFB57A -REG 0000000000000001 -REG 000000008880109E +GPR0 FFFFFFFFFFFC17A0 +GPR1 0000000000000000 +GPR2 FFFFFFFFFFFFFFFF +GPR3 0000000000000000 +GPR4 0000000000000000 +GPR5 0000000000000003 +GPR6 FFFFFFFFFFFFFFFF +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 FFFFFFFFFFFC1760 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFF9F55 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 FFFFFFFFFFFFFFFF +GPR16 0000000000030000 +GPR17 0000000000000040 +GPR18 FFFFFFFFFFFFD37D +GPR19 0000000000000000 +GPR20 FFFFFFFFFFEEF836 +GPR21 FFFFFFFFFFFFFFFF +GPR22 0000000000000000 +GPR23 000000000000000B +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 000000000003E89E +GPR28 FFFFFFFFFFFF39EC +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003A250D55 +LR 0000000000000000 +CTR 0000000000000000 +XER 0000000080000000 + diff --git a/tests/996.bin b/tests/996.bin index 22a8179..1e2e30a 100644 Binary files a/tests/996.bin and b/tests/996.bin differ diff --git a/tests/996.out b/tests/996.out index 5e77d36..bd451ba 100644 --- a/tests/996.out +++ b/tests/996.out @@ -1,32 +1,37 @@ -REG 2003C3C000000022 -REG 0000000044820400 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000017 -REG 0000000000000021 -REG 0000000000000022 -REG 0000000000000100 -REG 0000000000000021 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000020 -REG 0000000040000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000100 -REG FFFFFFFFFFFFFEFF -REG 0000000000000000 -REG 0000000000000000 -REG 00000000000043C8 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG FFFFFFFFFFFFFFDF -REG FC00000002003C30 -REG 00000000000064D3 -REG 0000000000000000 -REG FFFFFFFFFFC0000F -REG 0000000089040801 +GPR0 0000000000000000 +GPR1 0000000000000000 +GPR2 0000000000000000 +GPR3 0000000000000000 +GPR4 000000000001C021 +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000020 +GPR8 0000000000200000 +GPR9 FFFFFFFFFFFFCEC4 +GPR10 0000000000000040 +GPR11 0000000000000000 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000163DAE940 +GPR17 FFFFFFFFFFFFFFC0 +GPR18 0000000163DAE940 +GPR19 FFFFFFFE9C2516C0 +GPR20 FFFFFFFFFFFFF28D +GPR21 0000000000000060 +GPR22 FFFFFFFF9C2516C0 +GPR23 FFFFFFFFFFFE3FDE +GPR24 0000000000000000 +GPR25 000000000001C020 +GPR26 FFFFFFFFFFFFFFF0 +GPR27 0000000000000000 +GPR28 FFFFFFFFFFFFFFFF +GPR29 0000000000000000 +GPR30 000000000001C021 +GPR31 +CR 0000000094849290 +LR 0000000000000000 +CTR FFFFFFFFFFFFFFFE +XER 000000008001C00C + diff --git a/tests/997.bin b/tests/997.bin index c8e1f88..c694f46 100644 Binary files a/tests/997.bin and b/tests/997.bin differ diff --git a/tests/997.out b/tests/997.out index 0dfc345..3edf92e 100644 --- a/tests/997.out +++ b/tests/997.out @@ -1,32 +1,37 @@ -REG FFFFFFFFFFFFFFFE -REG 0000000B5D000000 -REG 0000000B5CFFFFFF -REG 0000000000000040 -REG 0000000000000000 -REG 000000005D000001 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000007015 -REG FFFFFFFF00000000 -REG FFFFFFFFFFFFE318 -REG FFFFFFFFB1F00000 -REG 0000000000007015 -REG FFFFFFFFA2FFFFFF -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 00000000FFFFFFFF -REG 0000000000000000 -REG 0000000000006DD4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000081FE885E +GPR0 000000000000DA18 +GPR1 0FFFFFFFFFFFFFFF +GPR2 0000000300000003 +GPR3 0000000074780000 +GPR4 0000000000000000 +GPR5 0000000018000000 +GPR6 0000000001F00000 +GPR7 0000000000000001 +GPR8 0000000000000900 +GPR9 0000000000000001 +GPR10 0000000000000000 +GPR11 0000000000000000 +GPR12 0000000000000020 +GPR13 FFFFFFFFFFFFFFFF +GPR14 FFFFFFFFFFFFFFFF +GPR15 000000000000001F +GPR16 000000000000001F +GPR17 0000000000000000 +GPR18 0000000004210842 +GPR19 000000000001C020 +GPR20 0000000000000000 +GPR21 0000000000000000 +GPR22 0000000000000000 +GPR23 0000000000000000 +GPR24 FFFFFFFFFFFFFFFF +GPR25 0000000000000000 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 0000000000000020 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 0000000030A37899 +LR 0000000004210842 +CTR FFFFFFFFFFFFFFFF +XER 00000000A007FFFF + diff --git a/tests/998.bin b/tests/998.bin index ae3067d..d9c010c 100644 Binary files a/tests/998.bin and b/tests/998.bin differ diff --git a/tests/998.out b/tests/998.out index d9907b7..61e6646 100644 --- a/tests/998.out +++ b/tests/998.out @@ -1,32 +1,37 @@ -REG FFFFFFFFBE53FFFF -REG FFFFFFFFA7DBF847 -REG 0000000000000000 -REG 0000000000000001 -REG 0000000000000000 -REG FFFFFFFFFFFFFFFF -REG FFFFFFFFFFFFFFBF -REG 0000000000001B3B -REG 0000000040000000 -REG FFFFFFFF00FF0000 -REG 2011280000000000 -REG 000000000000082A -REG 0000000000000040 -REG FFFFFFFFFFFFF6A6 -REG 0000000040000000 -REG 0000000000000000 -REG 0000000000000005 -REG FFFFFFFFFEFFFFFF -REG 0000000000000000 -REG 0000000000900000 -REG 0000000000000000 -REG FFFFFFFABD600000 -REG 0000000000000040 -REG 0000000000000040 -REG 000000000000082A -REG 0000000001000000 -REG 0000000000000000 -REG 0000000000000001 -REG FFFFFFFF00FF3079 -REG 0000000041414841 -REG 0000000000003079 -REG 0000000050108894 +GPR0 FFFFFFFFFFFFFFBE +GPR1 0000000000000000 +GPR2 00000000FFFFFFFE +GPR3 FFFFFFFFFFFFBFFF +GPR4 000000000001C01F +GPR5 0000000000000000 +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000000000 +GPR9 0000000000000000 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFFF00 +GPR12 0000000000000000 +GPR13 FFFFFFFFFFFFFFFF +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000000 +GPR17 0000000000000000 +GPR18 0000000000000000 +GPR19 FFFFFFFC00000000 +GPR20 FFFFFFFFFF0000FF +GPR21 0000000000000000 +GPR22 0000000000000001 +GPR23 FFFFFFFFFFFFFFFF +GPR24 000000000000000A +GPR25 0000000000000001 +GPR26 0000000000000000 +GPR27 0000000000000000 +GPR28 00000000FFFFFFFE +GPR29 000000000000000F +GPR30 000000000001C01F +GPR31 +CR 000000005081D555 +LR FFFFFFFFFFFFFFFF +CTR 0000000000000000 +XER 000000008000681E + diff --git a/tests/999.bin b/tests/999.bin index 56c8aca..dfa8ab0 100644 Binary files a/tests/999.bin and b/tests/999.bin differ diff --git a/tests/999.out b/tests/999.out index 6a93cbd..9d12ebc 100644 --- a/tests/999.out +++ b/tests/999.out @@ -1,32 +1,37 @@ -REG 0000000044170000 -REG 0000000004000000 -REG 0000000000000000 -REG 00800000000056CA -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG FF80000072840CF4 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 0000000000000040 -REG 0000000000000000 -REG 00800000000056C9 -REG FFFFFFFFFFFFFFFF -REG 0000000000000000 -REG 008000000000B3ED -REG FFFFFFFFFFFFFFFF -REG 0000000000000001 -REG 0000000000000001 -REG FFFFFFF8D7BFFFF0 -REG 0000000000000000 -REG 6500002B60000003 -REG 8000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 0000000000000000 -REG 000000000000003F -REG 00800000000056CA -REG FF7FFFFFFFFFA935 -REG 0000000000000000 -REG 0000000080804529 +GPR0 0000000000000000 +GPR1 FFFFFFFFF02CD563 +GPR2 000000000001C020 +GPR3 FFFFFFFFFFFFFFFD +GPR4 0000000000000000 +GPR5 FFFFFFFFFFFFBA4B +GPR6 0000000000000000 +GPR7 0000000000000000 +GPR8 0000000000017494 +GPR9 FFFFFFFFF02CD562 +GPR10 0000000000000000 +GPR11 FFFFFFFFFFFFC020 +GPR12 0000000000000000 +GPR13 0000000000000000 +GPR14 0000000000000000 +GPR15 0000000000000000 +GPR16 0000000000000001 +GPR17 0000000000000001 +GPR18 FFFFFFFFFA3CBB80 +GPR19 0000000000000000 +GPR20 FFFFFFFF94A10000 +GPR21 0000000000000000 +GPR22 00000000000040A0 +GPR23 FFFFFFFFFA3CBB80 +GPR24 0000000000000000 +GPR25 0000000000000000 +GPR26 FFFFFFFF00000041 +GPR27 0000000000000000 +GPR28 0000000000000000 +GPR29 0000000000000000 +GPR30 0000000000000000 +GPR31 +CR 000000003175000F +LR 0000000000000000 +CTR 000000000001AD38 +XER 00000000A0040000 +