Dump CTR, LR and CR on sim termination, and update our tests

Right now our test cases fold the SPRs into the GPRs. That makes
debugging fails more difficult than it needs to be, so print
out the CTR, LR and CR.

We still need to print the XER, but that is in two spots in microwatt
and will take some more work.

This also adds many instructions to the tests that we have added
lately including overflow instructions, CR logicals and mt/mfxer.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/131/head
Anton Blanchard 4 years ago committed by Anton Blanchard
parent 115d63eaf3
commit 467630573c

@ -88,6 +88,8 @@ architecture behave of core is
signal core_rst: std_ulogic;
signal icache_rst: std_ulogic;

signal sim_cr_dump: std_ulogic;

-- Debug actions
signal dbg_core_stop: std_ulogic;
signal dbg_core_rst: std_ulogic;
@ -212,15 +214,20 @@ begin
d_in => decode2_to_register_file,
d_out => register_file_to_decode2,
w_in => writeback_to_register_file,
sim_dump => terminate
sim_dump => terminate,
sim_dump_done => sim_cr_dump
);

cr_file_0: entity work.cr_file
generic map (
SIM => SIM
)
port map (
clk => clk,
d_in => decode2_to_cr_file,
d_out => cr_file_to_decode2,
w_in => writeback_to_cr_file
w_in => writeback_to_cr_file,
sim_dump => sim_cr_dump
);

execute1_0: entity work.execute1

@ -6,13 +6,19 @@ library work;
use work.common.all;

entity cr_file is
generic (
SIM : boolean := false
);
port(
clk : in std_logic;

d_in : in Decode2ToCrFileType;
d_out : out CrFileToDecode2Type;

w_in : in WritebackToCrFileType
w_in : in WritebackToCrFileType;

-- debug
sim_dump : in std_ulogic
);
end entity cr_file;

@ -71,4 +77,15 @@ begin
d_out.read_cr_data <= crs_updated;
d_out.read_xerc_data <= xerc_updated;
end process;

sim_dump_test: if SIM generate
dump_cr: process(all)
begin
if sim_dump = '1' then
report "CR 00000000" & to_hstring(crs);
assert false report "end of test" severity failure;
end if;
end process;
end generate;

end architecture behaviour;

@ -18,7 +18,8 @@ entity register_file is
w_in : in WritebackToRegisterFileType;

-- debug
sim_dump : in std_ulogic
sim_dump : in std_ulogic;
sim_dump_done : out std_ulogic
);
end entity register_file;

@ -78,9 +79,15 @@ begin
begin
if sim_dump = '1' then
loop_0: for i in 0 to 31 loop
report "REG " & to_hstring(registers(i));
report "GPR" & integer'image(i) & " " & to_hstring(registers(i));
end loop loop_0;
assert false report "end of test" severity failure;

report "LR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_LR)))));
report "CTR " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_CTR)))));
report "XER " & to_hstring(registers(to_integer(unsigned(fast_spr_num(SPR_XER)))));
sim_dump_done <= '1';
else
sim_dump_done <= '0';
end if;
end process;
end generate;

@ -1,16 +0,0 @@
#!/usr/bin/python3

import re
import fileinput

r = re.compile("REG ([0-9A-F]+)");

regs = list()

for line in fileinput.input():
m = r.search(line)
if m:
regs.append(int(m.group(1), 16))
#print("%016X"% int(m.group(1), 16))

print("%x" % hash(tuple(regs)))

@ -17,17 +17,18 @@ trap finish EXIT

MICROWATT_DIR=$PWD

Y=$(${MICROWATT_DIR}/scripts/hash.py tests/${TEST}.out)

cd $TMPDIR

cp ${MICROWATT_DIR}/tests/${TEST}.bin main_ram.bin

X=$( ${MICROWATT_DIR}/core_tb | ${MICROWATT_DIR}/scripts/hash.py )
${MICROWATT_DIR}/core_tb | sed 's/.*: //' | egrep '^(GPR[0-9]|LR |CTR |XER |CR [0-9])' | sort | grep -v GPR31 | grep -v XER > test.out || true

if [ $X == $Y ]; then
echo "$TEST PASS"
else
echo "$TEST FAIL ********"
exit 1
fi
grep -v "^$" ${MICROWATT_DIR}/tests/${TEST}.out | sort | grep -v GPR31 | grep -v XER > exp.out

cp test.out /tmp
cp exp.out /tmp

diff -q test.out exp.out && echo "$TEST PASS" && exit 0

echo "$TEST FAIL ********"
exit 1

Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFEA9FF0004
REG 0000000072E50000
REG 0000000000000000
REG 0000000000001CAA
REG 0000000000000040
REG 0000000000000000
REG 0000000000000000
REG 000B000100000000
REG 0000000000000000
REG 000000000000131F
REG 0000000000000000
REG FFFFFFFFA9F40004
REG 000B000100000000
REG 0000000000000000
REG 0000000000040000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFF8D1AFFFF
REG 0000000000000004
REG 0000000028808000
REG 000000000161E8C0
REG 000000000000BCD9
REG FFFFFFFFFFFFFFF1
REG 000000000000471E
REG 000000000000BCD9
REG 0000000028808000
REG 000010000000BC00
REG 0000000000000000
REG 0000000100000001
REG 0000000000000000
REG 0000000000001CAA
REG 0000000051010001
GPR0 000000000000003D
GPR1 0000000000000000
GPR2 000000000001C020
GPR3 FFFFFFFFFFFE3FDF
GPR4 FFFFFFFFFFFFFFFF
GPR5 0000000000000000
GPR6 FFFFFFFFFFFE3FDF
GPR7 0000000000000000
GPR8 FFFFFFFFFFFFFFDF
GPR9 01FFFC0001F80000
GPR10 000000009D370008
GPR11 000000003FFF8000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 000000000000599F
GPR15 0000000000000000
GPR16 000000000000001E
GPR17 0000000000000000
GPR18 FFFFFFFFFFFFFFDF
GPR19 0000000000000000
GPR20 FFFFFFFF62C8FFFD
GPR21 000000000001C020
GPR22 00000000000018C0
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 FFFFFFFFFFFE3FDF
GPR27 0000000084370000
GPR28 0000000000000000
GPR29 000000000000F1B8
GPR30 0000000000000020
GPR31
CR 000000003F982003
LR 0000000000040000
CTR 000000000000003F
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 00000000290C5C65
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFC802
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFF04EC
REG FFFFFFFFDFFFFFFE
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000040
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000005800000
REG 0000000000000000
REG 0000000000000020
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000080000000
GPR0 FFFFFFFFFFFFFFFF
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 00000000000029AB
GPR5 00000000000029AB
GPR6 FFFFFFFFFFFFFFFE
GPR7 7FFFFFFF0000FFDF
GPR8 7FFFFFFF0000FFDE
GPR9 0000000000000000
GPR10 7FFFFFFF0000FFDE
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 FFFFFFFFFFFFD655
GPR14 0000000000000018
GPR15 0000000000000000
GPR16 0000000000000020
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 80000000FFFF0022
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000095605509
GPR30 0000000000000000
GPR31
CR 0000000035605509
LR 0000000000000000
CTR FFFFFFFFFFFFFFFF
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FC00000030B80F7E
REG FFFFFFFFFFFFFFFF
REG 000000000000001F
REG 0000000000000009
REG FFFFFFFFFFFFF03F
REG 0000000628C6749B
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFF4
REG 0000000000000FC0
REG 0000000000000F80
REG 0000000000000000
REG 000000000000001B
REG 000000000000AFC6
REG 00000000CAEC001F
REG FFFFFFFFFFFFFFE1
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000007
REG 0000000628C67484
REG FFFFFFF9FFF6101F
REG 000000002300001F
REG 0000000000000040
REG FFFFFFFFFFFFFFFF
REG 000000000000007C
REG 000000000000001F
REG FFFFFFF9FBC21000
REG 0000000000000000
REG FFFFFFFFFFFFF07F
REG 000000001CF4001F
REG 000000000000007C
REG 000000005FF10911
GPR0 FFFFFFFFFFFFA071
GPR1 0000000000050000
GPR2 0000000000000000
GPR3 000000008000003F
GPR4 0000000080000040
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 00000000FFFC0000
GPR13 FFFFFFFF00040000
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 FFFFFFFFFFFF894F
GPR21 0000000000000000
GPR22 0000000000005F8E
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 00000000800008ED
GPR27 0000000000000000
GPR28 0000000001000000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 000000003554009C
LR 0000000000005F8E
CTR FFFFFFFFFFFFFFFF
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000042
REG 00000000E0000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 00000000001CE494
REG 0000000000000000
REG 0000000000000002
REG FFFFFFFFFFFFABBB
REG 0000000000000000
REG 8000000000000000
REG 0000000000000039
REG 0000000000000000
REG 8000000000000000
REG 0000000100000001
REG FFFFFFFFFFFFFFC0
REG 0000000000000000
REG 00FFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 00FFFFFFFFFFFFFF
REG 0000000000000040
REG 00000000001D5A80
REG 0000000000000000
REG 0000000100000003
REG 0000000000000068
REG 0000000000000000
REG 0100000000000033
REG 0000000000000007
REG 0000000085000040
GPR0 0000000000000001
GPR1 9FFFFFFFFFFFA0D0
GPR2 000000000001C023
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 000000000000001C
GPR7 0000000000000002
GPR8 6000000000005F26
GPR9 000000000001C020
GPR10 0000000000000010
GPR11 9FFFFFFFFFFFA0EA
GPR12 0000000000000040
GPR13 0000000000000020
GPR14 6000000000000030
GPR15 0000000000000000
GPR16 FFFF6DC14D9F06EE
GPR17 000000000000001B
GPR18 0000000000000010
GPR19 0000000000000000
GPR20 000000000001C023
GPR21 00000000E5240002
GPR22 000000000001FE2C
GPR23 000000000000000A
GPR24 0000000000000003
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 000000000000001F
GPR28 0000000000000000
GPR29 FFFFFFFFFF00FF00
GPR30 0000000000000010
GPR31
CR 000000003F5F365E
LR 0000000000000002
CTR FFFFFFFFFFFE3FDB
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 00000000000000ED
REG 0000000000000000
REG 000000000000074A
REG 0000000000000001
REG 0000000000000000
REG 0000000006FE7D07
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000001
REG 0808000806FE7D06
REG 0000000000000004
REG 00000000B83A0000
REG 0000000000001B05
REG FFFFFFFFFFFFFFF8
REG 0003100000000000
REG 0000000000000000
REG 00000100000050EA
REG 0000000000000007
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 000002000000A1D0
REG 0000000020000000
REG 0000000000000007
REG 0000000000001B05
REG 0000000044882888
REG 0000000000000000
REG 0000000000000000
REG 0000000000001B05
REG 00000000810001E1
GPR0 0000000000000000
GPR1 00000000637C0000
GPR2 0000000000007972
GPR3 0000000321487624
GPR4 FFFFFFFFFFFFFFFF
GPR5 FFFFFFFFCF6FF8D6
GPR6 FFFFFFFCFFFFFFFB
GPR7 0000000000000000
GPR8 000142F8000142F8
GPR9 0000000300000003
GPR10 0000000000000000
GPR11 FFFFFFFFCF6FF8D5
GPR12 0000000000000001
GPR13 0000000000000000
GPR14 FFFFFFFFCF6FF8D6
GPR15 FFFFFFFF131CF8D5
GPR16 00000000000075CC
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 FFFFFFFFFFFFE76F
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 000000000000001E
GPR24 0000000000000000
GPR25 000000000001C020
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000020
GPR29 0000000000000000
GPR30 FFFFFFFFFFFFFFDF
GPR31
CR 0000000030900729
LR 0000000000000000
CTR FFFFFFFFFFFFFFDF
XER 00000000A007FFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFD338C3F
REG 0000000000000000
REG 0000000000004870
REG 000000003FFFFFC0
REG FFFFFFFFFFFFFFFF
REG 480085E048000000
REG FFFFFF8000001FE5
REG 0000000000000000
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 000000000000001A
REG 0000000005040001
REG FFFFFFFFFFFFFFFF
REG 0000002820000000
REG F58349ADEDA77E00
REG FFFFFFFFFFFFFFF8
REG 000000000000001A
REG FFFFFFFFE00003FF
REG 0000000000000020
REG 00000000000009E4
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000008
REG FFFFFFFFFFFFFFFF
REG FC0000000505CC9F
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 000000000A080003
GPR0 0000000000000A97
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000039999059
GPR4 FFFFFFFFCCAAFFFF
GPR5 FFFFFFFFCCAAFFFF
GPR6 0000000000000000
GPR7 000000000000000C
GPR8 0000000000000000
GPR9 FFFFFFFFCCAAFFFF
GPR10 000000000000001D
GPR11 F7FFFFFFFFFF8278
GPR12 FFFFFFFFFEAED888
GPR13 0000000009000001
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000009000000
GPR17 0000000000000000
GPR18 FFFFFFFFFFFFE09E
GPR19 0000000000000000
GPR20 000000000001C018
GPR21 FFFFFFFFCCAAFFFF
GPR22 00000000E00C0000
GPR23 0000000000003FD7
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 000000001CF60000
GPR27 0000000000000005
GPR28 0000000000000000
GPR29 0000000000000005
GPR30 0000000000000000
GPR31
CR 000000009099909B
LR FFFFFFFFCCAAFFFF
CTR FFFFFFFFFFFFFFFF
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FF9FFFFF21240000
REG 000000000017EE80
REG 00000001BFC00000
REG 0060000000780000
REG 0000000000000020
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFF95
REG FFFFFFFFE0CA9244
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG FFFFFFFFFFFF408B
REG 0000000040000000
REG 0000000000000000
REG FFFFFFFFFFFF9ED4
REG 00000000000002FD
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFE0CA9244
REG 0000000000000020
REG 000000000000EA01
REG 0000000000000000
REG 000000000D000000
REG 0000000000000000
REG FFFFFFFFFFE80000
REG 0000000000000000
REG 000000005A108491
GPR0 FFFFFFFFFFFFFFFF
GPR1 FFFFFFFFFFFFFC0A
GPR2 FFFFFFFFFFFFFC0A
GPR3 0000000000000000
GPR4 FFFFFFFFFFFFFFFB
GPR5 0000000000000000
GPR6 FFFFFFFFE055FFFF
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 00000000007FFF80
GPR10 0000000000005000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 0000010400000104
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 FFFFFFFFFFFFFFFF
GPR20 0000000000000000
GPR21 FFFFFFFFE0560104
GPR22 0000000000000017
GPR23 0000000000000000
GPR24 FFFFFFEFFFFFFFFF
GPR25 0000000000000105
GPR26 000000000001C020
GPR27 0000000000000010
GPR28 0000000000000000
GPR29 FFFFFFFFE0560000
GPR30 0000000000000000
GPR31
CR 000000009A025C0A
LR FFFFFFFFFFFFFFFF
CTR 000000000001C020
XER 000000008001C020


Binary file not shown.

@ -1,32 +1,37 @@
REG 00003FFFFFFF8001
REG 0000000000000000
REG 0000000000000000
REG 49C2000000000082
REG 801F100000000000
REG FFFFFFFFFDF6D8F0
REG 49C2000000000082
REG 0000000000000026
REG FFFFFFFFFFFEFFFF
REG 801F100000000000
REG FFFFFF00FFFFFFFF
REG FFFFFF00FFFFFFFE
REG FFFFFF00FFFFFFFE
REG FFFFFFFFD56124E1
REG FFFFFF00FFFFFFFF
REG 0000000000008000
REG 0000000000000026
REG EAB09270E8409270
REG 0000000000000000
REG FFFFFF00FFFFFFFF
REG 000801F1000001E8
REG 0000000000000000
REG FFF7FE0EFFFFFFFF
REG 7FE0F00000000000
REG FFFFFF00FFFFFFFE
REG 00000000004124E1
REG 0000000038820000
REG 00000000004124E1
REG 03FFFFFFFF000000
REG 0000000000000000
REG 0000002500000025
REG 000000001090001E
GPR0 000000000000262A
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 000000000001FF00
GPR20 FFFFFFFFFFFFA840
GPR21 0000000000000000
GPR22 FFFFFFFFFFFFFFFF
GPR23 000000000000364F
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000005
GPR27 0000000000000040
GPR28 FFFFFFFFFFFE3FCD
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000022957593
LR 0000000000001BE6
CTR 000000000000001F
XER 0000000020040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000048200024
REG FFFFFFFFFFFFFFFF
REG 0000000000000001
REG 0000000000000002
REG FFFFFFFF82410000
REG 0000000000000000
REG 00000000BA76001F
REG 020000202A26001F
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000002
REG 0000001F0000000A
REG 0004C0000004C000
REG FFFFFFFFF7FFFFFD
REG 0000000000000001
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG BE041CE7FFFBDC6A
REG 00000000000059A0
REG 0000000000000006
REG 0000000048200024
REG 0000000000000000
REG FFFB3FFFFFFB4000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000090400048
GPR0 0000000000000020
GPR1 FFFFFFFFFFFFFFBC
GPR2 00000000E00FFF00
GPR3 0000000000000000
GPR4 FFFFFFFFFFFFFFDF
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 FFFFFFFFFFFFFFFF
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFE616
GPR11 0000000000000000
GPR12 0000000000000013
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 FFFFFFFFFFFFFFBF
GPR16 0000000000000000
GPR17 FFFFFFFFFFFFFF00
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 FFFFFFFFFFFFFFFF
GPR21 0000000000000006
GPR22 FFFFFFFFFFFFFF00
GPR23 0000000000000008
GPR24 0000000002200000
GPR25 0000000000000006
GPR26 0000000000000006
GPR27 00000000E00FFF00
GPR28 0000000000000044
GPR29 0000000000000043
GPR30 0000000000000000
GPR31
CR 0000000090B000D5
LR 0000000000000020
CTR 000000000000003F
XER 00000000A007FF00


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000070000075A
REG 0000000000000000
REG BFFFFFFFBE000004
REG 0000000001FFFFFB
REG 0000000000000000
REG FFFFFEF2FFFFA904
REG 0200000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFB
REG F873800000000000
REG 0000000000000000
REG 0000000000000001
REG FFFFFFFFFFFE1CE0
REG 0000000001FFFFFB
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 078C2C003F540004
REG 00000000000007E8
REG 0000000002000871
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFE000004
REG FFFFFFF1FFFFAB02
REG 0000000000000000
REG 078C2C003F540004
REG F873D3FFC1540004
REG 00000007184E0100
REG 4000000040000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000001
REG 0000000108800088
GPR0 0000000000000000
GPR1 0000000000000002
GPR2 0000000005000000
GPR3 FFFFFFFFFFFEFFFF
GPR4 0000000005000000
GPR5 00000000AAAABFAC
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 FFFFFFFF00000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 00000000AAAAAAAA
GPR14 0001980000019800
GPR15 0000000000000066
GPR16 0000000000000000
GPR17 0000000000010000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 0000000005000000
GPR24 0000000000000040
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 FFFFFFFFFFFEFFFF
GPR28 000000007B8F0000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 00000000553953F4
LR FFFFFFFFFFFFFFFF
CTR 00000000AAAAAAAA
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 7851A07EFFA70241
REG 0000000000000000
REG 0000000000004000
REG D30FE001D310661A
REG D3102F7BD3102F7B
REG FFFBFFFFFFFD7FFF
REG 87F000010000423F
REG FFFBFFFFFFFD7E00
REG 87F40015741BFFD1
REG 7B81FFFF4000001F
REG 0000000000000000
REG 0000000000000000
REG 00000000000C110F
REG FFFFFFFFFFFFFFCB
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFF5BC0
REG 00000000000007FF
REG 000000147419F50E
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 87F40015741C5662
REG 0000000000000000
REG FFFFFFF94C438180
REG 780BFFFEFFFD11AF
REG 00000000FFFFBDC0
REG 0000000000000000
REG FFFFFFF94C438180
REG FFFFFFF94C43C3BF
REG 780BFFFEFFFD11AF
REG 0000000040088004
GPR0 0000000080000000
GPR1 0000000000FC867C
GPR2 0000000000000020
GPR3 0000000000000000
GPR4 00000000FFFFFFFF
GPR5 FFFFFFFFFFFFFFFF
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 FFFFFFFEFFFFFFFF
GPR9 FFFFFFFFFFFE3FE0
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 FFFFFFFFFFFFFFFE
GPR14 FFFFFFFFFFFFFF00
GPR15 0000000000000000
GPR16 000000000001C020
GPR17 0000000000000020
GPR18 FFFFFFFFFFFE3FE0
GPR19 0000000000000020
GPR20 FFFFFFFFFFFFFFFF
GPR21 00000007FFFFF000
GPR22 000000000001CF06
GPR23 000000000001C020
GPR24 0000000000000020
GPR25 FFFFFFFFFFFE30FA
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0011B141B629DF63
GPR29 0000000000000020
GPR30 FFFFFFFFFFFFFFFF
GPR31
CR 000000005FF37D44
LR FFFFFFFFFFFE3FE0
CTR FFFFFFFFFFFFFFFF
XER 00000000A007FFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000000001E
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000000
REG 0000000000000010
REG FFFFFFFFFFFFFFFF
REG 0000000000000040
REG 0000000000000000
REG 00003FFFCE9561AE
REG 0000000000000001
REG 0000000000000000
REG 0000000000000007
REG 0000001F9AA70020
REG 0000000000000000
REG 0000000000000001
REG FFFFFFFFFFFFFFFF
REG FFFFFFFF7FFFFFFF
REG 0000000000000000
REG FFFFFFFF76D1FFF0
REG FFFFFFFFFFFFFFF0
REG FFFFFFFFFFFFFFFF
REG 00000000892E0000
REG 00007FFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000040088088
GPR0 FFFFFFFFFFFE3FDA
GPR1 0000000000000001
GPR2 0000000000000001
GPR3 0000000000000000
GPR4 0000000000000020
GPR5 0000000000000000
GPR6 FFFFFFFFFFFFFFFF
GPR7 000000000001C020
GPR8 0000000000000005
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFFFF0
GPR11 FFFFFFFFFFFFFFFF
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 FFFFFFFFFFFFFFFF
GPR15 FFFFFFFFFFFFFFFF
GPR16 0000000000000000
GPR17 FFFFFFFFFFFFFFFF
GPR18 0000000000000005
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 000000000001C020
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 00000000000066CA
GPR29 0000000000000000
GPR30 0000000000000001
GPR31
CR 000000009AA00000
LR 0000000000000000
CTR 00000000000066CA
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 000000004C5B0000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFC00000000000
REG FFFFFFFFFFFF8D9E
REG 0000000000000000
REG FFFFFFFFFFFF5E44
REG FFFFFFFFFFFFE8C8
REG 00000000FFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 3FFFFFF8FFFFFFF8
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFF5E44
REG 000000004C5B0000
REG 0000000000000000
REG 0000000000000000
REG 0000000020000000
REG FFFFFFFFFFFFBD1F
REG FFFFFFFFFFFFFFFF
REG FFFFFFFF00000001
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 000000000000A1BB
REG 000000010401FFFE
GPR0 5000000080000005
GPR1 FFFFFFFFFFFFFFFF
GPR2 FFFFFFFFFFFFFFDF
GPR3 000000000001C020
GPR4 0000000000000006
GPR5 000000009BD40000
GPR6 0000000000000000
GPR7 000000000001C020
GPR8 0000000000000000
GPR9 FFFFFFFFFFFFD386
GPR10 FFFFFFFFFFFE3FE0
GPR11 000000005954092D
GPR12 00000000000599FF
GPR13 0000000000000000
GPR14 FDF0000000000003
GPR15 FFFFFFFFFFFE3FE0
GPR16 FFFFFFFFFFFE3FDF
GPR17 000000000001C020
GPR18 0000000000000005
GPR19 0000000000000006
GPR20 000000000000C020
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 FFFF259CF2217C75
GPR24 0000000000000000
GPR25 000000000000001D
GPR26 0000000000000023
GPR27 0000000000000000
GPR28 0000000000000006
GPR29 0000000000000001
GPR30 FFFFFFFFFFFFFFFF
GPR31
CR 000000005954092D
LR 0000000000000023
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 003AD23688890019
REG 0000000000000040
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000040
REG 0000000000000000
REG 00000000972B0000
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 004080C0D0C0C089
REG 0000000000000000
REG 0000000000000317
REG FFC52DC9E05DFFFF
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFD1
REG 000000000000002F
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFF89A0
REG 0000000000000000
REG 0000000000000006
REG 0000000000000000
REG 0808080503040000
REG 0808080503040000
REG 0000000000000030
REG 0000000000000000
REG 0000000104005000
GPR0 0000000000000000
GPR1 0001FFFC00000000
GPR2 0000000000000000
GPR3 000000007C894B49
GPR4 0000000000000000
GPR5 FFFFFFFFFFFFFFFF
GPR6 0000000000000000
GPR7 0000000000000020
GPR8 0000000037934B49
GPR9 FFFFFFFFFFFFFFFF
GPR10 FFFFFFFFFFFFFFBF
GPR11 0000000000000040
GPR12 000000000001C020
GPR13 0000000000000001
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 FFFFFFFF844C0000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000001
GPR23 0000000000000000
GPR24 0000000000000001
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 00000000A0040000
GPR29 0000000000000000
GPR30 FFFFFFFFFFFFFFFE
GPR31
CR 0000000037930B09
LR 0000000000000018
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000032
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000046
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFF9DDB
REG FFFFFFFF55B2FFBF
REG FFFFFFFFFFFFFFFF
REG 000000000000C6C3
REG 0000000000000000
REG FFFFFFFFFFFFFFB9
REG FFFFFFFFFFFF3983
REG 0000000000000033
REG 0000000000000000
REG 0000023000000030
REG 0000000000000000
REG 0000000000000000
REG 0000000000000003
REG 0000000000000008
REG 7C20000000000000
REG 0000000000000000
REG 0000000000000000
REG 0001FFFFFFFFFF73
REG 0000000000000002
REG FFFFFFFFFFFFFFBF
REG 0000000000000040
REG 0000000000000000
REG 00000001011E89F2
GPR0 0000000000000020
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 FFFFFFFFFFFFFFFF
GPR5 0000000000000000
GPR6 000000000001C03C
GPR7 0000000000000020
GPR8 0000000000000001
GPR9 0000000080000040
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 000000000000002C
GPR13 000000000001C020
GPR14 000000000001C03C
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 FEFFFFFFFFFFFFFF
GPR18 0000000000000004
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 FFFFFFFFFFFF0000
GPR24 FF00000000000000
GPR25 0000000000000000
GPR26 0000000000000658
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000036818653
LR 0000000000000004
CTR 0000000000000000
XER 00000000C009C03C


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFF9A6A
REG 0000000000000000
REG FFFFFFFFFFFFFFF7
REG 0000000000000000
REG 0000000000000000
REG 0000000000003350
REG FFFFFFFFFFFFFFFF
REG 00000FFFFFFFF7FF
REG 0000000000000001
REG FFFFFFFFFFFF9A6A
REG 0000000000000000
REG 0000001A00000012
REG FFFFFFFFFFF34D40
REG 000000002F280888
REG 0000000000000001
REG 0000000000000000
REG 0007FF0000000000
REG FFFFFFFFD0D7FFFF
REG FFFFFFFFFFFFB350
REG 0000000000000000
REG 0000000000000000
REG 000000000000003C
REG 0000000000000020
REG FFFFFFFFFFFF0000
REG 0000000000000000
REG FFFFFFFFFFFF5F77
REG D0D72F27D0D72F27
REG 0000000000000001
REG 0000002000000000
REG 0000002000000017
REG 0000000000000000
REG 0000000041FA11F1
GPR0 FFFFFFFFFFFFFFFF
GPR1 0000000000000000
GPR2 000000000001C020
GPR3 0000000000000000
GPR4 00000000FFFFD42C
GPR5 0000000000000000
GPR6 FFFFFFFFFFFFFFFF
GPR7 0000000000000004
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFD42B
GPR11 0000000000000000
GPR12 000000000001C020
GPR13 0000000000000000
GPR14 0000000000000001
GPR15 FFFFFFFFFFFFA2CA
GPR16 0000000000000000
GPR17 FFFFFFFFFFFFFFFF
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 FFFFFFFFFFFFA2CA
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 FFFFFFFFFFFFFFFF
GPR26 0000000000000000
GPR27 0000000000000040
GPR28 FFFFFFFFFFFFEDA2
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 000000009958299B
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFB357FFC1
REG 0000000000000021
REG 0000000000000000
REG FFFFFFFFFFFFFFCF
REG 0000000000008DF8
REG 000000007FFFF7C0
REG 0000000000000000
REG FFFFFFFFFFFFFFE1
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 00000000000090D6
REG 0000000000000001
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFE1
REG 000000000000C4A7
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFE0
REG 0000000048D50000
REG 00000000000034E1
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFEAD2
REG 0000000000000000
REG FFFFFFFF44A9FFDF
REG 000000000000D120
REG FFFFFFFFFFFFFFDF
REG 00000000000090D5
REG 000000000000FC00
REG 0000000000000040
REG 0000000040000700
GPR0 0000000000000001
GPR1 00000000007007C0
GPR2 000000000001C020
GPR3 0000000000000040
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 000000FFFFFFFFFF
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000020
GPR18 0000000000000000
GPR19 00000000000010C2
GPR20 0000000000000000
GPR21 000000000000001E
GPR22 0000000000000000
GPR23 0000000000000040
GPR24 0000000000000000
GPR25 0000000000000040
GPR26 0000000000000000
GPR27 0000000000010001
GPR28 000000000001C01F
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 000000005A31A999
LR 0000000000000000
CTR FFFFFFFFFFFFFFFF
XER 00000000E00C0040


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFF8E09C556F
REG 000000002448848E
REG 0000000083C40000
REG 000000000000FF00
REG E3A0008CE810D740
REG 0000080000000000
REG 09200002A89AE240
REG 8D7F3BB3449942C5
REG 0002A5B9121A971C
REG FC48980000189976
REG 0002A5B9121A975C
REG FFFFFFF8E0906D06
REG 00000000EDDA0035
REG FFB6FFFFEABB77ED
REG 0000000000005F00
REG FFFFFFFFFFFFFFFD
REG FFFFFFFFFFFFA0DA
REG 0000000000000035
REG 0000010000000000
REG 0000000000005F26
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000005F26
REG 0000000000000000
REG 0048FFFFFFFF8808
REG 0000000000000020
REG 0000000000000040
REG E3A0008CE810C566
REG FFFFFFFFEABAFFF6
REG FFFFFFDFFFFFA0FA
REG FFFFFFFFFFFFFFAA
REG 000000004891091D
GPR0 FFFFFFFE373DFFFF
GPR1 0000000000000000
GPR2 0000000000000001
GPR3 0000000000000000
GPR4 00000000E4610000
GPR5 FFFFFFFE373E0000
GPR6 0000000000000000
GPR7 0000000000000003
GPR8 80000000001DFFDF
GPR9 000000006FFFFFFE
GPR10 FFFFFFFFFFFFFFFE
GPR11 00000000E4610000
GPR12 0000000000000000
GPR13 E000000000000000
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 000000000001C020
GPR17 0000000000000020
GPR18 000000000000B002
GPR19 FFFFFFFFFFFFF803
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 FFFFFFFFFFFFFFFD
GPR24 0000000000000000
GPR25 00000000000001FF
GPR26 0000000000000000
GPR27 0000000000000005
GPR28 000000000000001D
GPR29 000000000001C020
GPR30 0000000000000000
GPR31
CR 000000003FC05854
LR 0000000000000380
CTR FFFFFFFFFFFF00BC
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG BFFFFC0A1FFFF7DB
REG 0000000000000000
REG 0000000000000000
REG 800009200003F000
REG 0000000000000040
REG 000000004F7FE848
REG FFFFFFFFE00098C3
REG FFFFFFFF80000000
REG 000000001FFF673D
REG 0000000000000000
REG FFFFFFFFE000FBAF
REG FFFFFFFFFFFFF172
REG 0000000000000000
REG 00000000000FFFFE
REG 0007FFFEFFF00002
REG 0000000000004E91
REG 0000000000000000
REG FFFFFFFFE0005799
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFC0000000
REG 000003FFE000082E
REG 00000000FFFF7EDC
REG FFFFFFFFFFFFFFFF
REG 000000001FFF5799
REG 0000000000000000
REG 0000000017FD0000
REG FFFFBF6EE007BF6E
REG 0000000000000000
REG FFFFFFFFFFFF0000
REG FFFFFFFF80000000
REG 000000005EFFD110
GPR0 000000000001BFDD
GPR1 FFFFFFFFC0AE366B
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 FFFFFFFFFFFF87CC
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 FFFFFFFFFFFFFFFE
GPR9 0000000000000000
GPR10 0000000000000001
GPR11 000000003F51C995
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 FFFFFFFFFFFFFFFF
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000062CA0000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 0000000000000001
GPR24 0000000000000000
GPR25 FFFFFFFFFF80007F
GPR26 0000000000000000
GPR27 FFFFBFFFFFFFC000
GPR28 FFFFFFFFFFFFFFFF
GPR29 0000000000000001
GPR30 0000000000000000
GPR31
CR 000000003F51C999
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000042
REG FFFFFFFFFE48FFFF
REG 00006F3900006F39
REG 0000000000000000
REG 0000000000000000
REG 0000000003FE0000
REG 100106B000000000
REG FFFFFFFFFFFFFE13
REG FFFFFFFFFFFF4985
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFC19D3E63
REG FFFFFFFFE8250000
REG 0000000000000040
REG FFFFFFFFFE48FFFF
REG 0000000000008A9E
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 00000000106B0000
REG E00000000100FFFF
REG 0000000040828478
REG 000000007B490000
REG 8000340000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFA8250000
REG FFFFFFFFFFFFFFFF
REG E800000000000000
REG 0000000000008A9D
REG 0000000117120811
GPR0 000000003550D795
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 000000000001489B
GPR9 0000000000000000
GPR10 000000007221EE1B
GPR11 FFFFE6EDF8000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000001
GPR15 0000000000000000
GPR16 FFFFFFFFFFFFEE1B
GPR17 FFFFFFFFFFFFEE1B
GPR18 FFFFFFFFFFFFFFFF
GPR19 0000000000000000
GPR20 0000000000000040
GPR21 0000000000000000
GPR22 0000000000000040
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000001
GPR28 000000000001C020
GPR29 0000000310930680
GPR30 0000000000000000
GPR31
CR 000000000550D995
LR FFFFFFFFFFFE3FDF
CTR 0000000000020305
XER 00000000C0080040


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000045061D
REG FFFFFFFFC0ACFFFF
REG 0000000000450600
REG FFFFFFFFFFBAF9DF
REG 0000000000000000
REG 0000000000000380
REG 0000000000450600
REG 0000000000000020
REG FFFFFEDB201FFB60
REG FFFFFFFFC0AD0000
REG 0000000000000000
REG FFFFFEDB201FFB60
REG 0000000020040001
REG FFFFFFFFFFFFFFFF
REG 0808080802040808
REG 0000000000000000
REG 0000000000000001
REG 0000000000000020
REG 0000000000450600
REG 0000000000000020
REG 000000000044A8A4
REG 0000000000000007
REG FFFFFFFFFE056800
REG 0000000000000000
REG 000000007F02AE4D
REG 0000000000000000
REG 0000000000F811B2
REG 0000000000000007
REG 0080000000000000
REG 0000000000000000
REG 00001EE90DC27CF6
REG 0000000040509010
GPR0 FFFFFFFFFFFE3FC0
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 FFFFFFFFFFFFFFFF
GPR4 FFFFFFFF0000FFFF
GPR5 0000000000000000
GPR6 0000000000000020
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFFFFF
GPR11 00000000D01A0000
GPR12 00000000EA7A0020
GPR13 00000FFFFF800001
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000001EA7400
GPR17 00000000EA7A0000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 000000007A9D0000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 000000000001C020
GPR24 0000000000000000
GPR25 FFFFFFFFFFFFFFFF
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 FFFFFFFFFE1F8BFF
GPR31
CR 0000000090150535
LR FFFFFFFFE663D71A
CTR FFFFFFFFFFFFFFFF
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000002F423F42
REG 0000000000000C00
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFF0001CA8
REG FFFFFFFFF0007B0A
REG 0000000000000091
REG 0000000000000003
REG 0FFFFFD071FABD00
REG 0000400000000001
REG 0000000007080603
REG 0000000037FFFFE7
REG 000000007A114210
REG 000000002F083F42
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFF0007B0A
REG 0000000000000000
REG FFFFBFFFFFFFFFFF
REG 00000F33111EAA2C
REG 0000000000000040
REG FFFFFFFFFC0000BD
REG 00000000D0BDD7BE
REG FFFFFFFF2F422441
REG 000000000FFF84F5
REG 0000000000000020
REG 0000000000000000
REG 0000400000000001
REG 000000002F422842
REG 00000000D0B22BBE
REG 000000000E0500F5
REG 000000009E107E84
GPR0 FFFFFFFF8FFF8D11
GPR1 0000000000000000
GPR2 000000000001C01C
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000006
GPR6 FFFFFFFFFFFF8D11
GPR7 000000150000000B
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 000000009000003F
GPR11 0000000090000001
GPR12 FFFFFFFFFFFFFFFF
GPR13 FFFFFFFF70007795
GPR14 0000000000009240
GPR15 0000000000000000
GPR16 0000000000000020
GPR17 0000000000000003
GPR18 0000000000000004
GPR19 0000000000000000
GPR20 FFFFFFFFFFFFFFBF
GPR21 0000000000000000
GPR22 0000001500000009
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 FFFFFFFFFFFE3FE4
GPR29 0000000000004980
GPR30 FFFFFFFFFFFFFFBF
GPR31
CR 0000000050017019
LR 0000000000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 01FFFFFFFFFFFFFD
REG 0000000000005802
REG 0000000000000200
REG FFFFFFFFFFFF9C43
REG FFFFFFE000FFEB94
REG 0000001FFF00146B
REG 0000000000000020
REG 0000000500000005
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFDFF
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG FFFFFFFC00000000
REG FFFFFF001E000000
REG FFFFFFFFFFFF693C
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0200001F0000001F
REG 0000000000000200
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG FE00000000000000
REG FFFFFFFFFFFFFFFF
REG 000000FFE200734A
REG FFFFFFFC00000000
REG FFFFFF8000000000
REG 00000000000096E3
REG 0000000000000000
REG 0000000000000000
REG 0000000108910888
GPR0 FFFFFFFFFF645740
GPR1 0000000000000010
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 FFFFFFFEFFFFFFFF
GPR5 00000000FFFFFFFF
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 00000000017A74B7
GPR9 0000000000038040
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000180
GPR14 FFFFFFFFFFFFFFFE
GPR15 000000000001C004
GPR16 0000000000000000
GPR17 0000000000000001
GPR18 0000000000000000
GPR19 FFFFFFFFFFFFC037
GPR20 000000000817A8C7
GPR21 0000000000000000
GPR22 FFFFFFFFFFFFFFC5
GPR23 0000000000000000
GPR24 FFFFFFFFFFFFFE3F
GPR25 FFFFFFFFFFFFFE3E
GPR26 0000000000000006
GPR27 00000000000058C0
GPR28 FFFFFFFFFFFFFFFF
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000092D10559
LR 0000000000000001
CTR FFFFFFFFFFFFFFFF
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 480000000002D4EC
REG 0000000000000000
REG 0000000000000000
REG 000FFFFFFFFFCAD8
REG 0000000000005469
REG EFBF28D8EFFFC305
REG 0000008FFFFFD7D8
REG 0000000000000020
REG FFFFFFFFFFFFFFC6
REG 0000009000000090
REG 0000000000000000
REG FFFD99E9FFFFFFEC
REG 00013B65A93C1F74
REG FFFD99E9FFFFFFEA
REG 0002661600026616
REG FFFFFFFFFFFFFFFF
REG 0000000000000041
REG 0000000000000006
REG FFFD99E9FFFFFFEB
REG 0000000000000005
REG 00000001FFFFF2B6
REG FFFFFFFF99CB0000
REG 0000000000000040
REG 000000000000006A
REG FFFFFFFFFFFFF2B6
REG FFFFFFFFFFFFFFFF
REG 0000000000000001
REG 0000000000000185
REG 0000000000000000
REG 0000000000000041
REG B7FD99E9FFFFFF95
REG 0000000104088088
GPR0 0000000000000000
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 FFFFFFFFFFFFFFE3
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFFFE5
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 FFFFFFFFFFFFFF1B
GPR16 0000000000000000
GPR17 FFFFFFFFFE6F1E02
GPR18 000000000000007E
GPR19 FFFFFFFFFFFFFFE4
GPR20 000000000000DF4D
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 FFFFFFFFFFFF20B3
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000035E59549
LR 0000000000000000
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000009F88
REG 0000000000000000
REG FFFFFC6CFFFFFC6C
REG 000779480007CC9B
REG 0000000000000000
REG FFFFFFFFEF290001
REG FFFFFFFFFFFF6077
REG FFFFFFFFBBFABFFF
REG 0000000010D6FFFF
REG 0000000000077948
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 000000000004F40C
REG 0000000000000000
REG 0007794800077948
REG 0000000000000000
REG 0000000000000000
REG 0000000000080000
REG FFFFFC6CFFFFFC6C
REG 0000000004000000
REG 0000000000000000
REG 0000000000000000
REG 00001C6CFFFFFC6C
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFC6CFFFFFC6C
REG 000000000004F58D
REG FF3FFFFFFFFFFFFF
REG 00000000480A8004
GPR0 000000000001C020
GPR1 0000000000000000
GPR2 FFFFFFFFFFD40000
GPR3 FFFFFFFFFFFFFFFF
GPR4 0000000000000000
GPR5 FFFFFFFFFFFFFFFF
GPR6 FFFFFFFFFFFFFFFE
GPR7 0000000000000000
GPR8 FFFFFFFFFFFE3FEC
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 0000000000000001
GPR16 0000000000000000
GPR17 FF80000000000001
GPR18 0000000000000000
GPR19 FFFFFFFFFFFFFFFF
GPR20 FFFFFFFFFFFFFFFE
GPR21 000000000000EB99
GPR22 0000000000000000
GPR23 000000000000EB99
GPR24 0000000000000001
GPR25 0000000000000000
GPR26 0080000030000000
GPR27 0000000000000000
GPR28 FFFFFFFFFFFFFFFF
GPR29 0000000000000001
GPR30 FFFFFFFFFFFFFFFF
GPR31
CR 0000000054C50990
LR FFFFFFFFFFFFFFFE
CTR FFFFFFFFFFFF003F
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 5CEFF00200008022
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 043BA020AF31A4FF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 00000000118007FF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000001
REG 0000000000FFB800
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFEE7FF800
REG 0000000000000000
REG 23100FFDFFFFD610
REG 0000000000000000
REG 00000000015B5000
REG 000000002E20A000
REG 000000000000001E
REG 0118007FF0000000
REG 0000000000000000
REG 0000000000000000
REG 000000000000000B
REG 0000000000000000
REG 0000000000000000
REG 00000000901E1000
GPR0 000000000000304C
GPR1 0000000000000040
GPR2 0000000000000000
GPR3 000000000000304B
GPR4 FFFFFFFFFFFFF7BC
GPR5 0000000000000000
GPR6 00000000FFFE29CD
GPR7 0000000000000000
GPR8 0000000000000001
GPR9 FFFFFFFFFFFFFFFF
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 FFFFFFFFFFFFFFFF
GPR14 1FFFC539A0000000
GPR15 0000000000000000
GPR16 0800000008000300
GPR17 0000000000000000
GPR18 F0BCAEE1F5FB3A18
GPR19 0000000000000000
GPR20 0000000000000040
GPR21 0000000040006D94
GPR22 0000000000000000
GPR23 000000000001C020
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 FFFFFFFFFFFFF7BC
GPR27 00000000000065E0
GPR28 1FFFC539A0000001
GPR29 F0BCAEE1F5FB31D5
GPR30 0000000000000000
GPR31
CR 0000000030002893
LR 0000000000000000
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFBFFBFFDC00001
REG FFFFFFFFFFFFFFFE
REG 0000000000000000
REG FFFFF9FFC92EFFFF
REG FFFFFFFFFF7FFFFF
REG 4000000000000000
REG FF85A9BEFCB8F7F7
REG 0000000000000000
REG 0000000000000000
REG FFFFF9FFE757FFFF
REG 17C49F006F000000
REG E481584E91000000
REG FFFFFFFFFF7FFFFF
REG 0000000000000040
REG 0000000094290000
REG E481584E91000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG C000000000000000
REG 0000000000000000
REG 007B564002400019
REG 0000000000000000
REG 0000000000000001
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFC0
REG 20FBF9C507C70809
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000020020011FFF
REG 0000000000000000
REG 00000000931C889F
GPR0 000000000001C020
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 FFFFFFFFFFFFFFFF
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000080000000
GPR7 FFFFFFFFFFFFFFFF
GPR8 FFFFFFFFFFFFFFFE
GPR9 000000000000C004
GPR10 0000000000000000
GPR11 0000000000000001
GPR12 FFFFFFFFFFFFFFFF
GPR13 0000000000000000
GPR14 000000000001C005
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000001
GPR18 0000000000000000
GPR19 FF000001FFFE3FB4
GPR20 0000000000000020
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 FFFFFFFFFFFFFFFF
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 00000000007FFFFF
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 000000009B93D554
LR FFFFFFFFFFFFFFFE
CTR FFFFFFFFFFFFFFFE
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFDFB7FFFFDFFFFF
REG 0000000000001F40
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 8880000000050900
REG 0000000000000000
REG 0000000000000040
REG 0000000004600000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000001F0000001F
REG 0000000000000000
REG FFEBF46398000001
REG 0000000000000000
REG 0000000000000003
REG 00000002BFF80035
REG 0000000000002048
REG 5A80000281738D1F
REG 00000002BFF80035
REG 0000000000000001
REG 0000000000000000
REG 0000000018B10000
REG 0000000000000000
REG 0020480000200000
REG A57FFFFD7E8C7300
REG 0000000000000000
REG 018B100000000000
REG 0000000000002048
REG 0000000000000000
REG 0000000000000000
REG 8880000000050900
REG 0000000091110889
GPR0 0000000000000000
GPR1 000000000001C01C
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 FFFFFFFFFFFFFFFF
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 FFFFFFFFFFFFFFFF
GPR13 FFFFFFFFFFFFFFFF
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000020
GPR19 0000000000000040
GPR20 FFFFFFFFFFFFFFFF
GPR21 0000000080000000
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000080000001
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 FFFFFFFFFFFFFFFF
GPR30 0000000000000000
GPR31
CR 0000000034603600
LR 0000000000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG C7EF27E4CFEF791D
REG 0000000000000032
REG 0000000000000000
REG 0000000000000004
REG FFFFFFFFFFFFFFFF
REG 000000000000C747
REG 000000000DFD0000
REG 0000000000000000
REG 0000000059A40004
REG 0000000000000000
REG 0000000008000001
REG 9880008C0000007F
REG FFFFFFFFFFE00000
REG 000000008F440888
REG 0000000000000005
REG 0000000000000000
REG 000000001F800000
REG FFFFFFFFFFFFAD55
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG D87FDC7F9880008C
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 006FE80000000000
REG 000000000800AE30
REG FFFFFFFFFFDFA9B6
REG 00000000001FFFDF
REG 0000000000000000
REG 000000009E881110
GPR0 0000000000002000
GPR1 0000000020040005
GPR2 0000000000000001
GPR3 0000000000000005
GPR4 FFFFFFFF7FFFFFFF
GPR5 0000000000000032
GPR6 0000000000000000
GPR7 000000007FFFFFFE
GPR8 0000000000000005
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 FFFFFFFFFFFFFFFF
GPR12 0000000000000000
GPR13 0000000080000000
GPR14 0000000000000004
GPR15 0000000080000000
GPR16 FFFFFFFFFFFFDFFF
GPR17 00000000000059A2
GPR18 0000000000000005
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 0000000000000001
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 000000000001C02C
GPR25 0000000000000000
GPR26 FFFFFFFF80000001
GPR27 0000000000D00000
GPR28 0000000000000300
GPR29 00000001C0202000
GPR30 0000000000000000
GPR31
CR 0000000059D49609
LR 0000000000000000
CTR 0000000000000000
XER 0000000020040005


Binary file not shown.

@ -1,32 +1,37 @@
REG 000007FFE6101D6F
REG 0000000000000000
REG 0000000028D41400
REG 0000000080000000
REG 0000000000007D6F
REG 000FFFFFD800969E
REG 0000000000003E00
REG FFFFFFFFFFFFE7E7
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 000000000000000F
REG 0000000000000000
REG FFFFFFFFFFFF969E
REG FFF0000127FF4881
REG 0000000028000000
REG 0000000000000000
REG 0000000028D41400
REG 0000000000048400
REG 0000000000007D6F
REG 000000000000001F
REG 000000000000000A
REG 0000000000000000
REG 0000000000000000
REG FC00000000007FFE
REG 0000000200000002
REG 0000000000003E00
REG 0000000000000004
REG 0000000000000005
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFB7BFF
REG 0000000051A82800
GPR0 FFFFFFFFFFFFFFC5
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 1000000000000000
GPR4 000000000001C020
GPR5 0000000032E5FFE5
GPR6 0000000000000000
GPR7 FFFFFFFEFFFE3FE0
GPR8 0000000000000000
GPR9 FFFFFFFFFFFFFFFF
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 FFFFFFFFFFFFFFFF
GPR15 0000000000000000
GPR16 0000000000013840
GPR17 0000000000000000
GPR18 FFFFFFFEFFFF7820
GPR19 0000000032E5FFE5
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000000
GPR23 0000000000000020
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000040
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000059010300
LR 0000000000000D00
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000071310008
REG FFFFFFFFFFFFFFFE
REG 0000000000000040
REG FFBAF110FFBA72BF
REG 0000000000000000
REG 00000000CC3D0000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 4000000040000000
REG 0000000000000000
REG FFFFFFFFB4FEFFFF
REG 00000000CC3DFC20
REG 80007FFF80007FFF
REG FFFFFFFFFFFFFFFF
REG 0000000000007DB5
REG FFFFFFFF0000FFFF
REG 00000000CC3D0000
REG 000000330F400000
REG 00000000CC3D0000
REG FFFFFFFFFFFE0000
REG 0000000000003031
REG 0000000004000000
REG FFBAF110FFBAF110
REG FFFFFFFFFFFFFFFF
REG 0000000000000040
REG 000000000001FC20
REG 0000000000000000
REG 0000000000000000
REG 00000000CC3D0177
REG FFFFFFFFFFC07FFF
REG 0000000080080000
GPR0 0000000000000000
GPR1 0000000000000000
GPR2 00000000FFFFCF3F
GPR3 000000000000003F
GPR4 000000000000001F
GPR5 FFFFFFFFFFFFFFF8
GPR6 0000000000000000
GPR7 003804000000005C
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000000060
GPR12 0000000000000001
GPR13 0000000000000000
GPR14 0023FEB6E72E99C3
GPR15 000000000000003F
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000001
GPR19 000000000001C010
GPR20 FFFFFFFFFFFE0F20
GPR21 0000000000000001
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 0000000000000020
GPR25 0000000080000000
GPR26 0000000000000001
GPR27 0000000000000001
GPR28 FFFE0EBCFFFE0EBC
GPR29 000000000001C010
GPR30 FFC7FBFFFFFFFF9C
GPR31
CR 000000009B945C0B
LR 0000000000000000
CTR 000000003FF80000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG BFFFFFFFFFFFFFFD
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 000000000000000D
REG 8000001F0000001E
REG 0000000000000000
REG DB21A5D3360FFFF8
REG FFFFFFFFFFFFFFA4
REG 0000000000000000
REG 000000000000003F
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000001133
REG FF800FC000FFFFE6
REG 0000000000000006
REG 0000000000000000
REG FFFFFFFFFFFFFFF9
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG FFFFFFFFF3C6FFFF
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 7FFFFFE0FFFFFFC1
REG E300000725FFFFFF
REG 7FC007E000000000
REG 0000000000000020
REG 8000001F3F59001E
REG DB21A5D3360FFFFF
REG 0000000000000000
REG 1CFFFFF8DA000000
REG 0000000050089048
GPR0 EA0BFFFFC00BFFFF
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000002FBE
GPR6 FFFFFFFFFFFFFFFF
GPR7 0000000000000000
GPR8 0000000000000001
GPR9 0000000000000000
GPR10 FFFFFFFFFFFFB4FE
GPR11 0000000000000000
GPR12 FFFFFFFFFFFFFFFF
GPR13 0000000000000000
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000020
GPR19 0000000000000001
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 000000000001C020
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000020
GPR29 0000000000000000
GPR30 0000000000000000
GPR31
CR 0000000030F55565
LR 4000000000008FF7
CTR 000000000001C020
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFF3F6FFFFB362
REG 0000000000000000
REG 0000000000003A6F
REG FFFFFFFF85D80020
REG 0000000000000000
REG FFFFFFFFCFDC0000
REG 0000000030240000
REG 0000000007FFFFC0
REG 0000000000000020
REG 0000000000000000
REG 0000000000000020
REG 0000000000000000
REG FFFFFFFFCFDBFFFE
REG FFFFFFFFFFFFFFFE
REG 0000000000000000
REG 0000000007FFFFC0
REG 0000000000000020
REG FFFFFFFFFFFFC59A
REG FFF0000000000000
REG 0000000030000000
REG 0000000030240000
REG 000000000000000A
REG 0000000000000000
REG 0000000000003A6F
REG 0000000000000000
REG FDA5E80007FFFFC0
REG 0000000000000001
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 5E7EB08E5E7EB08E
REG 0000000000000000
REG 0000000081001040
GPR0 FFFFFFFFFFFFFFFF
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 000000000001C020
GPR4 0000000000000000
GPR5 000011D100004744
GPR6 0000000000000020
GPR7 0000000001C00000
GPR8 0000000080000000
GPR9 FFFFFFFFFFFFEECE
GPR10 0000000000004744
GPR11 000000000001C054
GPR12 FFFFFFFFFFFFFFFE
GPR13 000000000000003F
GPR14 FFFFFFFC62E3FCC0
GPR15 FFFFFFFFFFFFEE2F
GPR16 0000000000000000
GPR17 00000000000011D1
GPR18 000000000000001F
GPR19 000000000001C020
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 0000000000000020
GPR23 000000001F339894
GPR24 0000000000000020
GPR25 000000008001C020
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000000000020
GPR30 0000000000000000
GPR31
CR 0000000050039979
LR 0000000000000020
CTR FFFFFFFFFFFFFFFE
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000000000609F
REG 000000000341BF00
REG 000000000000002E
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFF8
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 0000000040040042
REG E000000000000040
REG 0000000000000000
REG 0000000000000000
REG 000000000341BEFF
REG 0000C17600000000
REG 00000000001FC5F2
REG 0000000000000000
REG FFFFFFFFE67F8000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG FFFFFFFFFFE23A0D
REG 0000000000003A0D
REG 0000000020848048
REG 0003600000000000
REG 0000000000000000
REG 0007F1FB0007F1FB
REG E000000000000040
REG 0000000000000000
REG 0000000000000000
REG 0000000000000002
REG 0000000000000000
REG 00036000CCFF0000
REG FFFFFFFFFFFFFFD2
REG 0000000081090090
GPR0 FFFFFFFFFFFE3FF1
GPR1 0000000000000000
GPR2 0000000000000020
GPR3 000000003271D994
GPR4 000000000000001F
GPR5 0000000000000000
GPR6 0000000000000001
GPR7 0000000000000020
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 000000000001C000
GPR12 0000000000000000
GPR13 0000000000000000
GPR14 000000000000003F
GPR15 0000000000000000
GPR16 0000000000000040
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 FFFFFFFFFFFFFFFE
GPR21 0000000000000D93
GPR22 FFFFFFFFFFFFFFFF
GPR23 0000000000000000
GPR24 000000000001C00A
GPR25 0000000000000000
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 000000000000000E
GPR29 0000000000000000
GPR30 000000000001F64B
GPR31
CR 000000003A71D994
LR 000000000001C020
CTR 0000000000000000
XER 00000000A007FFFE


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFF355D
REG 0000000000000000
REG 000000000000137E
REG 0000000000000000
REG 0000000000000000
REG FF006872FF006872
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000004A3D
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFB5E3
REG FFFFFFFFFFFF9A48
REG FFFFFFFF9A91D8BF
REG 0280B00000000003
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFF137E
REG 0000000000000019
REG 0000000000000000
REG 0000000000000000
REG 0000000000000040
REG 0000000000000000
REG FFFFFFFFD1DCB5C2
REG 000000002E234A3D
REG 0000000000000000
REG 0000000000001A56
REG 0000000050109905
GPR0 0000000000000000
GPR1 0000000000000001
GPR2 0000000000000000
GPR3 00000000A00585B4
GPR4 0000001F1FFA7A53
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 FFFFFFFFFFFF0000
GPR8 0000000000000005
GPR9 FFFFFFE03FFFFFF9
GPR10 0000000000000000
GPR11 00000000A00585B4
GPR12 0000000000000000
GPR13 00000000A00585AE
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 FFFFFFE03FFFFF58
GPR17 FFFFFFFF0EFDFFFF
GPR18 0000000030891F59
GPR19 0000000000000020
GPR20 00000000A00585B4
GPR21 0000000000000000
GPR22 0000000000000018
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 00000000400B0B68
GPR26 FFFFFFFFDC2E0000
GPR27 0000000000000000
GPR28 00000000000000A0
GPR29 FFFFFFFFFFFFFFFE
GPR30 0000000000000000
GPR31
CR 0000000059891F59
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000A00585AE


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000
REG 0000000000FFFFC0
REG 07FF861907CF8619
REG 0000000000000000
REG 07FFF6D2FFFFF6D2
REG 0000000000000138
REG 0000000000000000
REG 0000000000000000
REG FFFFF6D2FFFFF6D2
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 0000001700000011
REG 000000001C910000
REG 0000000000000000
REG FFFFFFFFE2300000
REG 0000000000000022
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000138
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFF6D3
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 0000000040100910
GPR0 0000000000010000
GPR1 FFFFFFFFFFFFFFFF
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 00000000A80E1803
GPR9 FFFFFFFFFF9885A8
GPR10 FFF7FC15DFF7FC15
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 0000000000677A57
GPR14 0000000000000000
GPR15 0000000000007A57
GPR16 0000000000000000
GPR17 00000000A80DFFFF
GPR18 00000000555855F4
GPR19 0000000000000000
GPR20 FFFFFFFFFFFE3FDE
GPR21 FFFFFFFF57F20000
GPR22 0000000000080000
GPR23 0000000000000000
GPR24 00000000A80DFFFF
GPR25 FFFFFFFFFFFF8E5A
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 000000000001C020
GPR30 FFFFFFFF57F3FFFF
GPR31
CR 00000000351855F4
LR 0000000000000000
CTR 0000000000000000
XER 00000000A0040000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFA80CFFFA
REG FF80000000000000
REG 0000000000000020
REG 0000000057F30000
REG FFFFFFFFFFFFFFFF
REG 000015FCC0000000
REG FFFFFFBFA80CFFFE
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000040
REG FFFFFFF5019FFFC0
REG 00000000FFFF7FE6
REG 0000000000000005
REG FFFFFFFFA80CFFFE
REG 0000000000000000
REG 0000000000000026
REG 0000000000000000
REG 0000000000000000
REG C8397FDFFFFFFFFF
REG FF80004000000000
REG 0000000057F30005
REG 0000000057F30000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000002000000
REG 5600000000000000
REG 0000004000000000
REG 0000000000000020
REG 0000000000000000
REG 0000000100004090
GPR0 0000000000000000
GPR1 0000000000000000
GPR2 00000000FFFFFFFF
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 FFFFFFFFFFFFFFFC
GPR6 FFFFFFFFFFFE3FDF
GPR7 006000000002C01E
GPR8 FFFFFFFFFFFFFFFE
GPR9 0000000B00780000
GPR10 0000000000100000
GPR11 0001C01B0001C01B
GPR12 000000000001C01F
GPR13 0000000000000000
GPR14 0000180000001800
GPR15 0000000000000000
GPR16 000000000001C01E
GPR17 000000000001C020
GPR18 0000000000000000
GPR19 000000000000FF01
GPR20 0000000000000000
GPR21 0000000000000004
GPR22 000221E80002318B
GPR23 0000000100000003
GPR24 0000000000000000
GPR25 0000009000000090
GPR26 0000000000000000
GPR27 0000000000000040
GPR28 0060000000000000
GPR29 FFFFFFFFFFFFC020
GPR30 0000000000000000
GPR31
CR 000000003040C053
LR 0000000000040000
CTR FFFFFFFFFFFFFFFF
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG 176888886EEAD956
REG 0000000000000000
REG 0000000079AA995B
REG 000000000000003B
REG FFFFFFFFFFFFFFFF
REG FFFFFFFF867DFEBD
REG 0000000000000000
REG 0000000000000019
REG 402421D7402421D7
REG E8977777E8977777
REG 865576A486A48664
REG FFFFFFFF86F5E6E4
REG FFFFFFFFF01FFFFF
REG 0000000000000002
REG 146A6EC7FA7AA081
REG 00000000000BDCE3
REG 0000000000000000
REG 0000000000000000
REG 00000000284F0841
REG 00000000484B4841
REG 0000000000000400
REG E6AA257000000001
REG FFFFFFFFFFFFFFFF
REG 0707070707070706
REG F7F7F7F7F7F7F7FA
REG 146A6EC7FA7AA081
REG 0000000000000002
REG 0000000000000000
REG 0000000000000001
REG 0000000004000000
REG 0000000000000000
REG 0000000110969083
GPR0 F800000000000000
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000040
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 C0FFFFFFC0E00003
GPR10 0000000000000005
GPR11 FFFFFFFFFFFFFFC0
GPR12 FFFFFFFFFFFFFFFA
GPR13 0000000000000000
GPR14 FFFFFFFFFFFFFFFF
GPR15 0000000000000000
GPR16 0000000000000006
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 000000000001C020
GPR22 0000000000000005
GPR23 0000000000000000
GPR24 FFFFFFFFFFFFFFFF
GPR25 0410410410410410
GPR26 FFFFFFFFFFEAC73C
GPR27 FFFFFFFFFFFFFFFF
GPR28 0000000000000000
GPR29 0000000000000020
GPR30 0000000000000000
GPR31
CR 0000000095540595
LR 0000000000000000
CTR 00000000092C0005
XER 000000008003FFF8


Binary file not shown.

@ -1,32 +1,37 @@
REG BC75179D68810865
REG 0000000000000000
REG 0000000000000010
REG 0000000000000002
REG 0000000100000001
REG 0000000000000007
REG FFFFFFFF3FFEFFFF
REG FFF53FFFFFFEFFFE
REG 001BD799001BD799
REG 0000007B889AD280
REG FFFFFFFFC001FFFF
REG 000000000000001E
REG 0000000000000019
REG 000000000000001D
REG 0000000000000007
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFC44D6F
REG 0000000002000000
REG 0000000000000304
REG 00000000000064AA
REG 43913FFB8CDADFFC
REG 0000000000000000
REG 0000000000000000
REG 43913FFB889AE015
REG BC6EC00477652003
REG 0000000000000006
REG 0000000000000000
REG 0000000000000040
REG 000000003FFF0000
REG 000000003FFF0000
REG 0000000044000888
GPR0 FFFF800000000000
GPR1 0000000000003346
GPR2 FFFFFFFFFF000021
GPR3 FFFFFFFFFFFE3FDF
GPR4 0000000000000004
GPR5 F000000000000000
GPR6 F7F7F7F7F7F7F34D
GPR7 FFFFFFFFFFFFFFFE
GPR8 FFFFFFFFFFFFFB4A
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 0000000000005BC0
GPR12 0000000000000000
GPR13 F7F7F7F7F7F7F34D
GPR14 0000000000000000
GPR15 FFFFFFFFFFFFFB4A
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 000000000001C020
GPR19 00000000FFF52559
GPR20 00007FFFFFFFFFFF
GPR21 0000000000000000
GPR22 0238DA4000000000
GPR23 FFFFFFFFFF000000
GPR24 FFFFFFFFFFFFFFFF
GPR25 FFFF8000A3EE0000
GPR26 FFFFFFFFFFFFFFDE
GPR27 0808080808080807
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 FFFFFFFFFFFFA440
GPR31
CR 0000000090059000
LR FFFF800000000000
CTR 0000000000000000
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000003C68
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000048
REG 0000136180000000
REG 1FFFE1249C620001
REG 0000000000009B52
REG 0000000000001B69
REG 000000000000FB60
REG FFFFFFFFFFFF9FF1
REG 0000000000000000
REG 0000000000000000
REG 0000000000000001
REG 0000000000000000
REG 0000000000000020
REG 0000000000000000
REG 0000000044088008
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFF64AD
REG 0000000000000020
REG 0000000000000001
REG 00000000F7BE0020
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000020
REG 0000000000000000
REG 1FFFE1249C620001
REG FFFFFFF600009B43
REG 0000000080900000
GPR0 0000000000000040
GPR1 000000000001C039
GPR2 0000000000000001
GPR3 0000000000000000
GPR4 0000000000000001
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 000000000001C000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000000
GPR11 000000B680000000
GPR12 0000000000008004
GPR13 FFFFFFFFFFFFFFFF
GPR14 0000000000000000
GPR15 0001C01F0001C01F
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0001C01F0001C01F
GPR19 0000000000000000
GPR20 FFFFFFFFFFFFFFFF
GPR21 000000000001C000
GPR22 0000000000000001
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 FFFFFFFFFFFFFFFE
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 0000924924924924
GPR29 0000000000000040
GPR30 0000000000000000
GPR31
CR 00000000300DE5AC
LR FFFFFFFFFFFFFFFF
CTR 0000000000000000
XER 00000000C0080000


Binary file not shown.

@ -1,32 +1,37 @@
REG 0000000000000000
REG 0000000040004D3E
REG 0000003000000030
REG 000000000000003E
REG 000000000000000A
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFBB80
REG 0000000000000040
REG FFFFFFFFFFFFFFFB
REG 0000001000000010
REG FFFFFFFFEC8D0000
REG 0000000000000000
REG F7F7F7F7F7F7F6E8
REG 000000000000447F
REG 0000000040004D3E
REG FFFFFFFFFFFFFFFB
REG FFFFFFFFFFFFFFFF
REG 0000000000000010
REG 0000000000000004
REG FFFFFFFFC0001FFF
REG 0000000000000011
REG 0000000000000000
REG 000000000000001C
REG 0000000000000000
REG 0000000000000000
REG 0000000000000200
REG FFFFFFFFFFFFFFFF
REG 0000000000200000
REG 0000000000000001
REG 0000000051009E9F
GPR0 00000000FFFFFFE0
GPR1 0000000000000000
GPR2 0000000000000000
GPR3 000000000001C020
GPR4 0000000000003804
GPR5 0000000000000000
GPR6 000000004D2A1BD0
GPR7 0000000000021F06
GPR8 000700C01FFC00C1
GPR9 0000000000006A25
GPR10 0000000000000000
GPR11 FFFFFFFFFFFFFFFF
GPR12 000000000001C020
GPR13 FFFFFFFFE005BF5F
GPR14 FFFFFFFFFFFFFFFF
GPR15 0000000000000000
GPR16 0000000000000020
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 0000000000000001
GPR20 FFFFFFFFFFFFFFFF
GPR21 000700C01FFC00C1
GPR22 0000000000000000
GPR23 0000000000000000
GPR24 FFF8FF3FE003FF1E
GPR25 0000000000000000
GPR26 FFFFFFFFFFFFFFE0
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 000700C01FFC00C1
GPR30 FFFFFFFFFFFFFFFF
GPR31
CR 0000000090955309
LR 0000000000006A25
CTR FFFFFFFFFFFFFFC7
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFF21B0000
REG 00000000D663D815
REG FFFFFFFFFFFFAEB7
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFC0
REG 00000000FB920000
REG 043AF000532FFFBE
REG 0000000041050000
REG 0000000000000040
REG 0000000000000000
REG 07EBADFFFFFFFFFF
REG 00000000D9FE0000
REG FBC50FFFBAB50000
REG FFFFFFFFC02DFFFF
REG 0000000000000000
REG 0000000000000000
REG FBC50FFFF803FFFF
REG 0000000000000006
REG 0000006C00000020
REG 0000000000000040
REG 0000000000000040
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFFFFF
REG 043AF000454AFFFF
REG 0000000000000000
REG FFFFFFFF78A1FFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 000000000000001F
REG FFFFFFFEFFFFFFFE
REG 0000000085040811
GPR0 0000000000000000
GPR1 000000000000E88B
GPR2 0000000000000000
GPR3 0000000000000020
GPR4 0000000000000000
GPR5 FFFFFFFFFFFFE8EA
GPR6 FFFFFFFFFFFFFFFF
GPR7 80003FE480003FE0
GPR8 0000000000006077
GPR9 000000000000FF92
GPR10 0000000000000000
GPR11 FFFFFFFFFFFFFFFF
GPR12 FFFFFFFFFFFE3FDF
GPR13 00000000FB0AE8EA
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000020
GPR19 0000000000000000
GPR20 0000000000000004
GPR21 0000000000000010
GPR22 000000000000DF1A
GPR23 FFFFFFFFFFFF9F99
GPR24 0000000000000001
GPR25 FFFFFFFFFFFFFFFF
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 007FC90000600000
GPR29 000000000001C006
GPR30 FFFFFFFFFFFFFFFB
GPR31
CR 0000000050800019
LR FFFFFFFFFFFFFFFF
CTR 000000008B960010
XER 00000000C00BFFFF


Binary file not shown.

@ -1,32 +1,37 @@
REG 000800008000040E
REG FF2501C97F257EC6
REG FFFFFFFF8000000D
REG 6BC863686BC7F63E
REG FFFFB807FFFFFFC0
REG 000000000000003F
REG 0000000000000000
REG 94379C97943809C1
REG 0207400000000040
REG 0000000000000000
REG 0008000011FF6BC8
REG 0008000011FFDFC2
REG FFFFFFFFFFFFFFFF
REG FFFFFFFFFFFFC219
REG 00DAFE3680DA8139
REG 000000008000040E
REG 0008000000000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000004
REG FFFFFFFFFFFFFFC0
REG FFFFFFFFFFFFB30D
REG FFFFF80000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFC218
REG 0000000000000005
REG 0000000000000000
REG 0000007FFFF03FFF
REG 6BC863686BC7F600
REG 0000000000000001
REG 0000000051F40393
GPR0 FFFFFFFFFFFFFFE8
GPR1 0000000000000000
GPR2 00000000002AF4FF
GPR3 FFFFFFF7FFFFFFF8
GPR4 08180719DBEC0000
GPR5 FFFFFFFFFFFFFFFF
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 FFFFFF000000FFFF
GPR10 0000000000000000
GPR11 0000000800000007
GPR12 00000000000000C0
GPR13 00000000002AF4FF
GPR14 0000000000000000
GPR15 0000000000000000
GPR16 0000000000000000
GPR17 0000000000000000
GPR18 0000000000000000
GPR19 000000000003C000
GPR20 0000000000000000
GPR21 0000000000000000
GPR22 000000000001C01F
GPR23 0002598F9D580000
GPR24 0000000000000000
GPR25 FFFFFFFFFFFFFFFF
GPR26 00000000002AF4FF
GPR27 0000000000000000
GPR28 FFFFFFFFFFFFFFFF
GPR29 0818071900000000
GPR30 000000002D850000
GPR31
CR 0000000035194540
LR 0000000000000000
CTR 000000000001C01F
XER 00000000E00C0000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFF7FFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000020
REG 0000000000000000
REG 0000000000002E95
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFC1
REG 000000000000003E
REG 0000000000000000
REG 0000000000002E95
REG 0000000000210341
REG 0000000000000000
REG 000000000021037F
REG 0000000000200040
REG 0000000000010300
REG FFFFFBE80307BA24
REG 0000000000210341
REG 0000000000000000
REG 0000000000000000
REG 0000000000210341
REG 0000000000000000
REG FFFFFFFFFFDEFC80
REG FFFFFFFFFFFEFCDF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFDEFCBE
REG 0000000000000001
REG 0000000100001040
GPR0 0000000200000002
GPR1 0000000000000000
GPR2 0000000000000021
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000005000000
GPR6 0000000000000000
GPR7 0000000000000200
GPR8 0000000000000030
GPR9 000000000001C020
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000000000000
GPR13 000000000001C020
GPR14 0000000000000000
GPR15 0808080808080804
GPR16 0000000000000000
GPR17 000000000001C020
GPR18 FFFFFFFFFFFFA7E3
GPR19 0000000000000020
GPR20 0000000000000001
GPR21 0000000005000000
GPR22 000000000001C021
GPR23 000000000001C021
GPR24 0000000000000000
GPR25 0000000000000000
GPR26 0001C71C71C71C71
GPR27 0000000000009000
GPR28 0000000000000000
GPR29 0000000000000002
GPR30 0000000000000017
GPR31
CR 0000000051839083
LR 0007FFFFFFFFFFFF
CTR 000000000001C020
XER 0000000080000040


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFFFFFFFFFFFFF
REG 0000000000000040
REG 0000000000000001
REG FFFFFFFFFFF364E0
REG 0000000000000000
REG FFFFFFFFFFFFFFFB
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG FFFFFFFFFFFFFFFF
REG 000000000000FE28
REG FFFFFFFF0B3F0003
REG 0000000000000003
REG 0000010031ED0100
REG FFFFFFFF0B3EFFFF
REG 00000000F4C117CD
REG 000000001FE00004
REG 0000000048AC0000
REG 000000000003BFDF
REG 00000000F4C10000
REG 0000000000000020
REG 0000000000000000
REG FFFFFFFFFFFF9F89
REG 0000000000000000
REG 0000000000000000
REG 00000000F4C10000
REG 0000000000000000
REG 0007FFFFFFF00000
REG FFFFFFFFFFFFFFFE
REG FFFFFFFFFFFFFFE0
REG 0000000000000000
REG 0000000100800080
GPR0 00D9000000000002
GPR1 0000000000000001
GPR2 FFFFFFFFFFFFB293
GPR3 0000000000000000
GPR4 0000000000000000
GPR5 0000000000000000
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000000
GPR9 0000000000000000
GPR10 0000000000000302
GPR11 FFFFFFFFFFFFFCFD
GPR12 000000000001C03C
GPR13 FFFFFFFFFFFFFFE8
GPR14 0000000000000000
GPR15 000000000001C03C
GPR16 FFFFFFFFFFFE3FE0
GPR17 0000000038280002
GPR18 0000000000000020
GPR19 0000002000000000
GPR20 000000000000003F
GPR21 0000000000040000
GPR22 0000000000000000
GPR23 0000000000000020
GPR24 FFFFFFFFFFFFFFE8
GPR25 0000000000000000
GPR26 0000000008000000
GPR27 0000000000000000
GPR28 0000000000000000
GPR29 0000000000000000
GPR30 FFFFFFFFFFFE003C
GPR31
CR 0000000038280002
LR 0000000000000000
CTR FFFFFFFFFFFFBD08
XER 0000000080000000


Binary file not shown.

@ -1,32 +1,37 @@
REG FFFFBFFF3326BA1C
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000400000000000
REG 0000000000800000
REG 0000000000000000
REG 0000000000000000
REG 0000000000800000
REG 0000000000000000
REG 0000400000000000
REG 0000400000000000
REG 0000000000006490
REG FFFFFFFFC0800000
REG 0000000000000000
REG 000000000000C3A3
REG 000000000000064D
REG 0000000100000000
REG 0000000000800000
REG 0000000000000001
REG 0000000000001E80
REG FFFFFFFFFFFFFFFF
REG 0000000039110000
REG 0000000000000001
REG 0000000039110000
REG 00000000CCD90000
REG 0000000000001E80
REG FFFFFFFFFFFFFFFF
REG FFFF8000007FFFFF
REG 0001FFFFFFFFFFFF
REG 0000000080000040
GPR0 0000000000000003
GPR1 0000000000000000
GPR2 00000000FFFFFFE0
GPR3 000000000000002F
GPR4 0006EA63F9B8A4B1
GPR5 000000000000001F
GPR6 0000000000000000
GPR7 0000000000000000
GPR8 0000000000000001
GPR9 000000000000001F
GPR10 0000000000000000
GPR11 000000000001C020
GPR12 0047FC0000000014
GPR13 FFF9159C06475B4F
GPR14 0000000000000000
GPR15 FFFFFFFFFFFF9B8A
GPR16 912B260F912B260F
GPR17 0000000000000000
GPR18 0000000000000015
GPR19 0000923EB260F912
GPR20 FFFF6DC14D9F06ED
GPR21 0000000000000000
GPR22 FFFFFFFF5FFBFFFF
GPR23 0000000000000000
GPR24 0000000000000000
GPR25 FFFFFFFFFFFFFFE0
GPR26 0000000000000000
GPR27 FFFFFFFFB4C00000
GPR28 00000000A0023FE0
GPR29 0000000000000001
GPR30 0000000000000000
GPR31
CR 000000004529BD35
LR 0000000000000000
CTR 0006E8900000FD3C
XER 0000000000000000


Binary file not shown.

@ -1,32 +1,37 @@
REG 000000007FFFFFFE
REG 0000000000000000
REG 0000000020000000
REG 0000007FE0000001
REG 000000001193839D
REG 0000000008000000
REG FFFFFFFFFFFFFFFF
REG 0000000000000000
REG F80000004000001F
REG 0000000000000000
REG FFFFFFFF03FF0A25
REG 0000000000000000
REG 0000000011930001
REG 00000000FDF3B5DA
REG FFFFFFFF03C10A25
REG 0000000000000002
REG 00000104FFFF959F
REG 1000000000000000
REG 0000000000000000
REG 0000010500000100
REG 0000000000000100
REG FFFFFFFF00FFFF00
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 0000000000000000
REG 003F7CED76800006
REG 0000000000200000
REG FFFFFFFFFFFFFFFF
REG 0000000001F34000
REG 00000000880085E8
GPR0 9B7FFFF89BFFFFE7
GPR1 17FDBBF7FFFFFBFF
GPR2 9B7FFFF89BFFFFF9
GPR3 0000000000000000
GPR4 FFFFFFFFC5FF6EFD
GPR5 0000000000000000
GPR6 FFFFFFFFFFFFFFFF
GPR7 FFFFFFFFFFFFFFFF
GPR8 FFFFFFFFFFFFEBCA
GPR9 9B7FFFF89BFFFFF9
GPR10 0000000000000000
GPR11 0000000000000000
GPR12 0000000030000000
GPR13 0000000001FFFFB6
GPR14 0000000000009BB8
GPR15 FFFFFFFFC5FF6EFD
GPR16 FFFFFFFFFE00004A
GPR17 0000000000000000
GPR18 FFFFFFFFA3580000
GPR19 0000000000000000
GPR20 0000000000000000
GPR21 C5FF7382C5FF7381
GPR22 17FDBBF7FFFFFC00
GPR23 0000000000000000
GPR24 FFFFFFFFFFFE3FFC
GPR25 6480000764000007
GPR26 0000000000000000
GPR27 0000000000000000
GPR28 FFFFFFFFC5FC0000
GPR29 000000000001C004
GPR30 0000000000000000
GPR31
CR 000000003A009102
LR 0000000000015BD7
CTR 0000000030000000
XER 00000000A0042143


Binary file not shown.

Some files were not shown because too many files have changed in this diff Show More

Loading…
Cancel
Save