You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
Michael Neuling 69a1440204
Merge pull request #309 from antonblanchard/clk-cleanup
Small cleanups to clock definitions
3 years ago
..
LICENSE
acorn-cle-215.xdc
arty_a7.xdc Remove -add from xdc files 3 years ago
clk_gen_bypass.vhd
clk_gen_ecp5.vhd Fix some whitespace issues 3 years ago
clk_gen_mcmm.vhd Fix some whitespace issues 3 years ago
clk_gen_plle2.vhd Fix some whitespace issues 3 years ago
cmod_a7-35.xdc Remove -add from xdc files 3 years ago
firmware.hex
fpga-random.vhdl
fpga-random.xdc
genesys2.xdc Remove -waveform from xdc files 3 years ago
hello_world.hex
main_bram.vhdl Fix some whitespace issues 3 years ago
nexys-video.xdc Remove -add from xdc files 3 years ago
nexys_a7.xdc Remove -add from xdc files 3 years ago
pp_fifo.vhd
pp_soc_uart.vhd
pp_utilities.vhd
soc_reset.vhdl
soc_reset_tb.vhdl
top-acorn-cle-215.vhdl
top-arty.vhdl
top-generic.vhdl Reduce the size of icache to help yosys ECP5 builds (#303) 3 years ago
top-genesys2.vhdl
top-nexys-video.vhdl