Exit cleanly from testbench on success

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/180/head
Anton Blanchard 4 years ago committed by Anton Blanchard
parent a9e7194de5
commit ab86b58d95

@ -109,7 +109,6 @@ begin
end loop;
end loop;

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end behave;

@ -133,8 +133,6 @@ begin
wait until rising_edge(clk);
wait until rising_edge(clk);

assert false report "end of test" severity failure;
wait;

std.env.finish;
end process;
end;

@ -547,7 +547,6 @@ begin
end loop;
end loop;

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end behave;

@ -124,7 +124,6 @@ begin

wait for clk_period;

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end behave;

@ -147,8 +147,6 @@ begin

i_out.req <= '0';

assert false report "end of test" severity failure;
wait;

std.env.finish;
end process;
end;

@ -247,7 +247,7 @@ begin
report "bad mulli expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_reg_data);
end loop;

assert false report "end of test" severity failure;
std.env.finish;
wait;
end process;
end behave;

@ -103,7 +103,6 @@ begin
wait for clk_period;
report "lru:" & to_hstring(lru);

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end;

@ -291,7 +291,6 @@ begin
report "bad extswsli expected " & to_hstring(behave_ra) & " got " & to_hstring(result);
end loop;

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end behave;

@ -169,7 +169,6 @@ begin
wait until rising_edge(clk);
assert w_in.ack = '0';

assert false report "end of test" severity failure;
wait;
std.env.finish;
end process;
end behave;

Loading…
Cancel
Save