You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/scripts
Anton Blanchard efb387b0d2 makefile: Add some verilator micropython tests
These are the same micropython tests we use against the ghdl
simulation.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
3 years ago
..
fmt_log decode: Add a facility field to the instruction decode tables 4 years ago
mw_debug core_debug: Add an address trigger to stop logging at a given address 4 years ago
bin2hex.py
dependencies.py
gen_icache_tb.py
run_test.sh
run_test_console.sh
test_micropython.py
test_micropython_long.py
test_micropython_verilator.py makefile: Add some verilator micropython tests 3 years ago
test_micropython_verilator_long.py makefile: Add some verilator micropython tests 3 years ago
vhdltags