You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
Anton Blanchard 1fa0b332ca micropython only requires 512kB of BRAM
Mikey points out that our stack grows down from 512kB and our
heap is below that too, so we can reduce our BRAM requirements,
which allowing some smaller FPGA boards to work. Not sure why
I thought we were using memory between 512kB and 1MB.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
5 years ago
..
LICENSE Initial import of microwatt 5 years ago
clk_gen_bypass.vhd Add dummy clock generator 5 years ago
clk_gen_plle2.vhd Add and use plle2 primitive for nexys boards 5 years ago
firmware.hex Add a few more FPGA related files 5 years ago
hello_world.hex Rebuild hello world assuming a 50MHz clock 5 years ago
nexys-video.xdc Initial import of microwatt 5 years ago
nexys_a7.xdc Add constraint file for Nexys A7 5 years ago
nodivide.patch Add a few more FPGA related files 5 years ago
pp_fifo.vhd Initial import of microwatt 5 years ago
pp_soc_memory.vhd Expose ram init file and memory size through toplevel 5 years ago
pp_soc_reset.vhd Initial import of microwatt 5 years ago
pp_soc_uart.vhd Initial import of microwatt 5 years ago
pp_utilities.vhd Initial import of microwatt 5 years ago
toplevel.vhd micropython only requires 512kB of BRAM 5 years ago