A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Lars Asplund 08c0c4c1b4 Make core testbenches recognized by VUnit
This commit also removes the dependencies these testbenches have on VHPIDIRECT.
The use of VHPIDIRECT limits the number of available simulators for the project. Rather than using
foreign functions the testbenches can be implemented entirely in VHDL where equivalent functionality exists.
For these testbenches the VHPIDIRECT-based randomization functions were replaced with VHDL-based functions.

The testbenches recognized by VUnit can be executed in parallel threads for better simulation performance using
the -p option to the run.py script

Signed-off-by: Lars Asplund <lars.anders.asplund@gmail.com>
3 years ago
.github/workflows Make core testbenches recognized by VUnit 3 years ago
constraints
fpga Merge pull request #277 from paulus/gpio 3 years ago
hello_world
include arty_a7: Add litesdcard interface 3 years ago
lib
litedram
liteeth
litesdcard arty_a7: Add litesdcard interface 3 years ago
media
micropython
openocd openocd: Fix verify command for v0.10 3 years ago
rust_lib_demo
scripts
sim-unisim
tests Add a test to read from all SPRs 4 years ago
uart16550
verilator
.gitignore
LICENSE
Makefile Make core testbenches recognized by VUnit 3 years ago
README.md Update documentation. (#280) 3 years ago
cache_ram.vhdl Reformat cache_ram 3 years ago
common.vhdl
control.vhdl Reformat control 3 years ago
core.vhdl icache: Snoop writes to memory by other agents 3 years ago
core_debug.vhdl
core_dram_tb.vhdl Reformat testbenches 3 years ago
core_flash_tb.vhdl Reformat testbenches 3 years ago
core_tb.vhdl Reformat testbenches 3 years ago
countzero.vhdl Reformat countzero 3 years ago
countzero_tb.vhdl Make core testbenches recognized by VUnit 3 years ago
cr_file.vhdl Reformat cr_file 3 years ago
crhelpers.vhdl
dcache.vhdl dcache: Simplify logic in RELOAD_WAIT_ACK state 3 years ago
dcache_tb.vhdl Reformat testbenches 3 years ago
decode1.vhdl
decode2.vhdl
decode_types.vhdl
divider.vhdl Reformat divider 3 years ago
divider_tb.vhdl Make core testbenches recognized by VUnit 3 years ago
dmi_dtm_dummy.vhdl
dmi_dtm_tb.vhdl Reformat testbenches 3 years ago
dmi_dtm_xilinx.vhdl
dram_tb.vhdl Reformat testbenches 3 years ago
execute1.vhdl
fetch1.vhdl
foreign_random.vhdl Make core testbenches recognized by VUnit 3 years ago
fpu.vhdl
glibc_random.vhdl
glibc_random_helpers.vhdl
gpio.vhdl Add a GPIO controller and use it to drive the shield I/O pins on the Arty 3 years ago
helpers.vhdl
icache.vhdl icache: Snoop writes to memory by other agents 3 years ago
icache_tb.vhdl Reformat testbenches 3 years ago
icache_test.bin
insn_helpers.vhdl
loadstore1.vhdl Fix DAR/DSISR reading before they are written 4 years ago
logical.vhdl
microwatt.core Merge pull request #277 from paulus/gpio 3 years ago
mmu.vhdl
multiply.vhdl
multiply_tb.vhdl Make core testbenches recognized by VUnit 3 years ago
nonrandom.vhdl
plru.vhdl Reformat plru 3 years ago
plru_tb.vhdl Make core testbenches recognized by VUnit 3 years ago
ppc_fx_insns.vhdl
random.vhdl Make core testbenches recognized by VUnit 3 years ago
register_file.vhdl Reformat register_file 3 years ago
rotator.vhdl Reformat rotator 3 years ago
rotator_tb.vhdl Make core testbenches recognized by VUnit 3 years ago
run.py Make core testbenches recognized by VUnit 3 years ago
sim_16550_uart.vhdl
sim_bram.vhdl
sim_bram_helpers.vhdl
sim_bram_helpers_c.c
sim_console.vhdl
sim_console_c.c
sim_jtag.vhdl
sim_jtag_socket.vhdl
sim_jtag_socket_c.c
sim_no_flash.vhdl
sim_pp_uart.vhdl
sim_vhpi_c.c
sim_vhpi_c.h
soc.vhdl Merge pull request #277 from paulus/gpio 3 years ago
spi_flash_ctrl.vhdl Reformat spi_flash_ctrl 3 years ago
spi_rxtx.vhdl
sync_fifo.vhdl
syscon.vhdl arty_a7: Add litesdcard interface 3 years ago
utils.vhdl
wishbone_arbiter.vhdl
wishbone_bram_tb.bin
wishbone_bram_tb.vhdl Reformat testbenches 3 years ago
wishbone_bram_wrapper.vhdl
wishbone_debug_master.vhdl
wishbone_types.vhdl arty_a7: Add litesdcard interface 3 years ago
writeback.vhdl Reformat writeback 3 years ago
xics.vhdl
xilinx-mult.vhdl

README.md

Microwatt

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com. You may need to set the CROSS_COMPILE environment variable to the prefix used for your cross compilers. The default is powerpc64le-linux-gnu-.
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../

A prebuilt micropython image is also available in the micropython/ directory.

  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Microwatt requires ghdl to be built with the LLVM or gcc backend, which not all distros do (Fedora does, Debian/Ubuntu appears not to). ghdl with the LLVM backend is likely easier to build.

    If building ghdl from scratch is too much for you, the microwatt Makefile supports using Docker or Podman.

  • Next build microwatt:

git clone https://github.com/antonblanchard/microwatt
cd microwatt
make

To build using Docker:

make DOCKER=1

and to build using Podman:

make PODMAN=1
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin

Or if you were using the pre-built image:

ln -s micropython/firmware.bin main_ram.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc

Fedora users can get FuseSoC package via

sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
  • If this is your first time using fusesoc, initialize fusesoc. This is needed to be able to pull down fussoc library components referenced by microwatt. Run
fusesoc init
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100): You may wish to ensure you have installed Digilent Board files or appropriate files for your board first.
fusesoc run --target=nexys_video microwatt --memory_size=16384 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex

You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button) on your board if you don't see anything.

  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)