Reformat writeback.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/51/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 50a361a5dc
commit e69e79d8af
Loading…
Cancel
Save