Reformat execute2.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/52/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent edb8999300
commit c0f1483a11
Loading…
Cancel
Save