Reformat loadstore1.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/52/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 7cb65bd102
commit 550cf1746a
Loading…
Cancel
Save