Reformat simple_ram_behavioural.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/52/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 2ec5de7bdd
commit 515481e116
Loading…
Cancel
Save