|
|
|
library vunit_lib;
|
|
|
|
context vunit_lib.vunit_context;
|
|
|
|
|
|
|
|
library ieee;
|
|
|
|
use ieee.std_logic_1164.all;
|
|
|
|
use ieee.numeric_std.all;
|
|
|
|
|
|
|
|
library work;
|
|
|
|
use work.common.all;
|
|
|
|
|
|
|
|
library osvvm;
|
|
|
|
use osvvm.RandomPkg.all;
|
|
|
|
|
|
|
|
entity countbits_tb is
|
|
|
|
generic (runner_cfg : string := runner_cfg_default);
|
|
|
|
end countbits_tb;
|
|
|
|
|
|
|
|
architecture behave of countbits_tb is
|
|
|
|
constant clk_period: time := 10 ns;
|
|
|
|
signal rs: std_ulogic_vector(63 downto 0);
|
|
|
|
signal is_32bit, count_right: std_ulogic := '0';
|
|
|
|
signal res: std_ulogic_vector(63 downto 0);
|
|
|
|
signal clk: std_ulogic;
|
|
|
|
|
|
|
|
begin
|
|
|
|
bitcounter_0: entity work.bit_counter
|
|
|
|
port map (
|
|
|
|
clk => clk,
|
Add a second execute stage to the pipeline
This adds a second execute stage to the pipeline, in order to match up
the length of the pipeline through loadstore and dcache with the
length through execute1. This will ultimately enable us to get rid of
the 1-cycle bubble that we currently have when issuing ALU
instructions after one or more LSU instructions.
Most ALU instructions execute in the first stage, except for
count-zeroes and popcount instructions (which take two cycles and do
some of their work in the second stage) and mfspr/mtspr to "slow" SPRs
(TB, DEC, PVR, LOGA/LOGD, CFAR). Multiply and divide/mod instructions
take several cycles but the instruction stays in the first stage (ex1)
and ex1.busy is asserted until the operation is complete.
There is currently a bypass from the first stage but not the second
stage. Performance is down somewhat because of that and because this
doesn't yet eliminate the bubble between LSU and ALU instructions.
The forwarding of XER common bits has been changed somewhat because
now there is another pipeline stage between ex1 and the committed
state in cr_file. The simplest thing for now is to record the last
value written and use that, unless there has been a flush, in which
case the committed state (obtained via e_in.xerc) is used.
Note that this fixes what was previously a benign bug in control.vhdl,
where it was possible for control to forget an instructions dependency
on a value from a previous instruction (a GPR or the CR) if this
instruction writes the value and the instruction gets to the point
where it could issue but is blocked by the busy signal from execute1.
In that situation, control may incorrectly not indicate that a bypass
should be used. That didn't matter previously because, for ALU and
FPU instructions, there was only one previous instruction in flight
and once the current instruction could issue, the previous instruction
was completing and the correct value would be obtained from
register_file or cr_file. For loadstore instructions there could be
two being executed, but because there are no bypass paths, failing to
indicate use of a bypass path is fine.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
2 years ago
|
|
|
stall => '0',
|
|
|
|
rs => rs,
|
|
|
|
result => res,
|
|
|
|
count_right => count_right,
|
|
|
|
is_32bit => is_32bit,
|
|
|
|
do_popcnt => '0',
|
|
|
|
datalen => "0000"
|
|
|
|
);
|
|
|
|
|
|
|
|
clk_process: process
|
|
|
|
begin
|
|
|
|
clk <= '0';
|
|
|
|
wait for clk_period/2;
|
|
|
|
clk <= '1';
|
|
|
|
wait for clk_period/2;
|
|
|
|
end process;
|
|
|
|
|
|
|
|
stim_process: process
|
|
|
|
variable r: std_ulogic_vector(63 downto 0);
|
|
|
|
variable rnd : RandomPType;
|
|
|
|
begin
|
|
|
|
rnd.InitSeed(stim_process'path_name);
|
|
|
|
|
|
|
|
test_runner_setup(runner, runner_cfg);
|
|
|
|
|
|
|
|
while test_suite loop
|
|
|
|
if run("Test with input = 0") then
|
|
|
|
rs <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
count_right <= '0';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, 16#40#, result("for cntlzd"));
|
|
|
|
count_right <= '1';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, 16#40#, result("for cnttzd"));
|
|
|
|
is_32bit <= '1';
|
|
|
|
count_right <= '0';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, 16#20#, result("for cntlzw"));
|
|
|
|
count_right <= '1';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, 16#20#, result("for cnttzw"));
|
|
|
|
|
|
|
|
elsif run("Test cntlzd/w") then
|
|
|
|
count_right <= '0';
|
|
|
|
for j in 0 to 100 loop
|
|
|
|
r := rnd.RandSlv(64);
|
|
|
|
r(63) := '1';
|
|
|
|
for i in 0 to 63 loop
|
|
|
|
rs <= r;
|
|
|
|
is_32bit <= '0';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, i, result("for cntlzd " & to_hstring(rs)));
|
|
|
|
rs <= r(31 downto 0) & r(63 downto 32);
|
|
|
|
is_32bit <= '1';
|
|
|
|
wait for clk_period;
|
|
|
|
if i < 32 then
|
|
|
|
check_equal(res, i, result("for cntlzw " & to_hstring(rs)));
|
|
|
|
else
|
|
|
|
check_equal(res, 32, result("for cntlzw " & to_hstring(rs)));
|
|
|
|
end if;
|
|
|
|
r := '0' & r(63 downto 1);
|
|
|
|
end loop;
|
|
|
|
end loop;
|
|
|
|
|
|
|
|
elsif run("Test cnttzd/w") then
|
|
|
|
count_right <= '1';
|
|
|
|
for j in 0 to 100 loop
|
|
|
|
r := rnd.RandSlv(64);
|
|
|
|
r(0) := '1';
|
|
|
|
for i in 0 to 63 loop
|
|
|
|
rs <= r;
|
|
|
|
is_32bit <= '0';
|
|
|
|
wait for clk_period;
|
|
|
|
check_equal(res, i, result("for cnttzd " & to_hstring(rs)));
|
|
|
|
is_32bit <= '1';
|
|
|
|
wait for clk_period;
|
|
|
|
if i < 32 then
|
|
|
|
check_equal(res, i, result("for cnttzw " & to_hstring(rs)));
|
|
|
|
else
|
|
|
|
check_equal(res, 32, result("for cnttzw " & to_hstring(rs)));
|
|
|
|
end if;
|
|
|
|
r := r(62 downto 0) & '0';
|
|
|
|
end loop;
|
|
|
|
end loop;
|
|
|
|
end if;
|
|
|
|
end loop;
|
|
|
|
|
|
|
|
test_runner_cleanup(runner);
|
|
|
|
end process;
|
|
|
|
end behave;
|