From 336095abe54d981ee783d15f9e7bc20befb98905 Mon Sep 17 00:00:00 2001 From: Bill Schmidt Date: Wed, 16 Nov 2016 18:04:53 -0600 Subject: [PATCH] Fix issue #46, Sub-bullets misformatted for VEC_BPERM. Signed-off-by: Bill Schmidt --- specification/app_a.xml | 36 +++++++++++++++++++----------------- 1 file changed, 19 insertions(+), 17 deletions(-) diff --git a/specification/app_a.xml b/specification/app_a.xml index a086b25..5712999 100644 --- a/specification/app_a.xml +++ b/specification/app_a.xml @@ -1130,23 +1130,25 @@ xml:id="dbdoclet.50655245_pgfId-1138128"> For each doubleword element i (0 ≤ i < 2) of ARG1, regardless of the input operand type specified for ARG1: - - - - For each j (0 ≤ j < 8), let bit index k denote the - byte value of the j-th element of ARG2. - - - - If bit index k is greater than or equal to 64, bit j - of element i is set to 0. - - - - If bit index k is less than 64, bit j of element i is - set to the value of the k-th bit of element i of input - ARG1. - - - - All other bits are zeroed. - + + + For each j (0 ≤ j < 8), let bit index k denote the + byte value of the j-th element of ARG2. + + + If bit index k is greater than or equal to 64, bit j + of element i is set to 0. + + + If bit index k is less than 64, bit j of element i is + set to the value of the k-th bit of element i of input + ARG1. + + + All other bits are zeroed. + + +