You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
Benjamin Herrenschmidt 13e84b0bbb pp_soc_uart: Fix rx synchronizers and ensure stable tx init state
The rx synchronizers were ... non existent. Someone forgot to add
a if rising_edge(clk) to the process.

For tx, ensure that we have a default value so that TX stays high
from TPGA configuration to the reset being sampled on the first clock
cycle.

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
4 years ago
..
LICENSE
arty_a7.xdc
clk_gen_bypass.vhd
clk_gen_mcmm.vhd
clk_gen_plle2.vhd
cmod_a7-35.xdc
firmware.hex
hello_world.hex hello_world: Use new headers and frequency from syscon 4 years ago
main_bram.vhdl
nexys-video.xdc
nexys_a7.xdc
pp_fifo.vhd pp_fifo: Fix full fifo losing all data on simultaneous push & pop 4 years ago
pp_soc_uart.vhd pp_soc_uart: Fix rx synchronizers and ensure stable tx init state 4 years ago
pp_utilities.vhd
soc_reset.vhdl
soc_reset_tb.vhdl
top-arty.vhdl syscon: Add syscon registers 4 years ago
top-generic.vhdl
top-nexys-video.vhdl syscon: Add syscon registers 4 years ago