You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

20340 lines
1.2 MiB

make -f Makefile_sdr_32x32 results.xml
make[1]: Entering directory '/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco'
MODULE=tb TESTCASE=tb_32x32 TOPLEVEL=test_ra_sdr_32x32 TOPLEVEL_LANG=verilog \
/usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_32x32/sim.vvp
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered
0.00ns INFO Running on Icarus Verilog version 12.0 (devel)
0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb
0.00ns INFO Seeding Python random module with 1654704020
0.00ns INFO Found test tb.tb_32x32
0.00ns INFO running tb_32x32 (1/0)
ToySRAM 32x32 array test
0.00ns INFO [00000001] [00000001] Resetting...
9.00ns INFO [00000010] [00000010] Releasing reset.
25.00ns INFO [00000027] Initializing array...
25.00ns INFO [00000027] Port=0 WR @00=00555500
26.00ns INFO [00000028] Port=0 WR @01=01555501
27.00ns INFO [00000029] Port=0 WR @02=02555502
28.00ns INFO [00000030] Port=0 WR @03=03555503
29.00ns INFO [00000031] Port=0 WR @04=04555504
30.00ns INFO [00000032] Port=0 WR @05=05555505
31.00ns INFO [00000033] Port=0 WR @06=06555506
32.00ns INFO [00000034] Port=0 WR @07=07555507
33.00ns INFO [00000035] Port=0 WR @08=08555508
34.00ns INFO [00000036] Port=0 WR @09=09555509
35.00ns INFO [00000037] Port=0 WR @0A=0A55550A
36.00ns INFO [00000038] Port=0 WR @0B=0B55550B
37.00ns INFO [00000039] Port=0 WR @0C=0C55550C
38.00ns INFO [00000040] Port=0 WR @0D=0D55550D
39.00ns INFO [00000041] Port=0 WR @0E=0E55550E
40.00ns INFO [00000042] Port=0 WR @0F=0F55550F
41.00ns INFO [00000043] Port=0 WR @10=10555510
42.00ns INFO [00000044] Port=0 WR @11=11555511
43.00ns INFO [00000045] Port=0 WR @12=12555512
44.00ns INFO [00000046] Port=0 WR @13=13555513
45.00ns INFO [00000047] Port=0 WR @14=14555514
46.00ns INFO [00000048] Port=0 WR @15=15555515
47.00ns INFO [00000049] Port=0 WR @16=16555516
48.00ns INFO [00000050] Port=0 WR @17=17555517
49.00ns INFO [00000051] Port=0 WR @18=18555518
50.00ns INFO [00000052] Port=0 WR @19=19555519
51.00ns INFO [00000053] Port=0 WR @1A=1A55551A
52.00ns INFO [00000054] Port=0 WR @1B=1B55551B
53.00ns INFO [00000055] Port=0 WR @1C=1C55551C
54.00ns INFO [00000056] Port=0 WR @1D=1D55551D
55.00ns INFO [00000057] Port=0 WR @1E=1E55551E
56.00ns INFO [00000058] Port=0 WR @1F=1F55551F
57.00ns INFO [00000059] Running random commands...
57.50ns INFO [00000059] Port=0 RD @0C
58.50ns INFO [00000060] Port=0 WR @10=0F827873
58.50ns INFO [00000060] Port=0 RD @04
58.50ns INFO [00000060] Port=1 RD @01
59.50ns INFO [00000061] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C
59.50ns INFO [00000061] Port=1 RD @0E
60.50ns INFO [00000062] * RD COMPARE * port=0 adr=04 act=04555504 exp=04555504
60.50ns INFO [00000062] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
60.50ns INFO [00000062] Port=0 WR @17=CB4DA89F
60.50ns INFO [00000062] Port=0 RD @13
61.50ns INFO [00000063] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E
61.50ns INFO [00000063] Port=0 RD @1D
62.50ns INFO [00000064] * RD COMPARE * port=0 adr=13 act=13555513 exp=13555513
62.50ns INFO [00000064] Port=1 RD @0C
63.50ns INFO [00000065] * RD COMPARE * port=0 adr=1D act=1D55551D exp=1D55551D
63.50ns INFO [00000065] Port=0 RD @17
63.50ns INFO [00000065] Port=1 RD @12
64.50ns INFO [00000066] * RD COMPARE * port=1 adr=0C act=0C55550C exp=0C55550C
64.50ns INFO [00000066] Port=0 WR @0B=35B8D525
64.50ns INFO [00000066] Port=0 RD @0D
65.50ns INFO [00000067] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F
65.50ns INFO [00000067] * RD COMPARE * port=1 adr=12 act=12555512 exp=12555512
65.50ns INFO [00000067] Port=0 WR @07=C4E47199
65.50ns INFO [00000067] Port=0 RD @11
65.50ns INFO [00000067] Port=1 RD @11
66.50ns INFO [00000068] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D
66.50ns INFO [00000068] Port=0 RD @1F
66.50ns INFO [00000068] Port=1 RD @05
67.50ns INFO [00000069] * RD COMPARE * port=0 adr=11 act=11555511 exp=11555511
67.50ns INFO [00000069] * RD COMPARE * port=1 adr=11 act=11555511 exp=11555511
67.50ns INFO [00000069] Port=0 RD @16
67.50ns INFO [00000069] Port=1 RD @1B
68.50ns INFO [00000070] * RD COMPARE * port=0 adr=1F act=1F55551F exp=1F55551F
68.50ns INFO [00000070] * RD COMPARE * port=1 adr=05 act=05555505 exp=05555505
68.50ns INFO [00000070] Port=0 WR @1A=9F6920AD
69.50ns INFO [00000071] * RD COMPARE * port=0 adr=16 act=16555516 exp=16555516
69.50ns INFO [00000071] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B
69.50ns INFO [00000071] Port=0 WR @16=DBC267DD
69.50ns INFO [00000071] Port=0 RD @01
69.50ns INFO [00000071] Port=1 RD @18
70.50ns INFO [00000072] Port=0 WR @09=D1F5CD8F
70.50ns INFO [00000072] Port=0 RD @0C
71.50ns INFO [00000073] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
71.50ns INFO [00000073] * RD COMPARE * port=1 adr=18 act=18555518 exp=18555518
71.50ns INFO [00000073] Port=1 RD @17
72.50ns INFO [00000074] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C
72.50ns INFO [00000074] Port=0 RD @0C
72.50ns INFO [00000074] Port=1 RD @0D
73.50ns INFO [00000075] * RD COMPARE * port=1 adr=17 act=CB4DA89F exp=CB4DA89F
73.50ns INFO [00000075] Port=0 RD @14
74.50ns INFO [00000076] * RD COMPARE * port=0 adr=0C act=0C55550C exp=0C55550C
74.50ns INFO [00000076] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D
74.50ns INFO [00000076] Port=1 RD @0E
75.50ns INFO [00000077] * RD COMPARE * port=0 adr=14 act=14555514 exp=14555514
76.50ns INFO [00000078] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E
77.50ns INFO [00000079] Port=0 WR @00=4F5DE6D2
77.50ns INFO [00000079] Port=0 RD @1C
78.50ns INFO [00000080] Port=0 WR @16=408143EA
78.50ns INFO [00000080] Port=1 RD @0D
79.50ns INFO [00000081] * RD COMPARE * port=0 adr=1C act=1C55551C exp=1C55551C
79.50ns INFO [00000081] Port=1 RD @1C
80.50ns INFO [00000082] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D
81.50ns INFO [00000083] * RD COMPARE * port=1 adr=1C act=1C55551C exp=1C55551C
83.50ns INFO [00000085] Port=0 WR @08=82EC8258
83.50ns INFO [00000085] Port=0 RD @12
83.50ns INFO [00000085] Port=1 RD @11
84.50ns INFO [00000086] Port=0 RD @15
85.50ns INFO [00000087] * RD COMPARE * port=0 adr=12 act=12555512 exp=12555512
85.50ns INFO [00000087] * RD COMPARE * port=1 adr=11 act=11555511 exp=11555511
86.50ns INFO [00000088] * RD COMPARE * port=0 adr=15 act=15555515 exp=15555515
87.50ns INFO [00000089] Port=0 WR @07=EC459E20
87.50ns INFO [00000089] Port=0 RD @13
88.50ns INFO [00000090] Port=0 RD @0E
89.50ns INFO [00000091] * RD COMPARE * port=0 adr=13 act=13555513 exp=13555513
89.50ns INFO [00000091] Port=0 WR @12=2B38ED3E
89.50ns INFO [00000091] Port=0 RD @07
90.50ns INFO [00000092] * RD COMPARE * port=0 adr=0E act=0E55550E exp=0E55550E
90.50ns INFO [00000092] Port=0 WR @08=92AC2E7B
90.50ns INFO [00000092] Port=0 RD @12
91.50ns INFO [00000093] * RD COMPARE * port=0 adr=07 act=EC459E20 exp=EC459E20
91.50ns INFO [00000093] Port=1 RD @01
92.50ns INFO [00000094] * RD COMPARE * port=0 adr=12 act=2B38ED3E exp=2B38ED3E
92.50ns INFO [00000094] Port=0 WR @04=75765FF7
93.50ns INFO [00000095] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
93.50ns INFO [00000095] Port=1 RD @0E
94.50ns INFO [00000096] Port=1 RD @09
95.50ns INFO [00000097] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E
95.50ns INFO [00000097] Port=0 WR @08=8887094F
96.50ns INFO [00000098] * RD COMPARE * port=1 adr=09 act=D1F5CD8F exp=D1F5CD8F
96.50ns INFO [00000098] Port=0 WR @1A=28069984
96.50ns INFO [00000098] Port=0 RD @0F
97.50ns INFO [00000099] Port=0 RD @1B
97.50ns INFO [00000099] Port=1 RD @15
98.00ns INFO [00000100] [00000100] ...tick...
98.50ns INFO [00000100] * RD COMPARE * port=0 adr=0F act=0F55550F exp=0F55550F
98.50ns INFO [00000100] Port=0 WR @1F=3A23800B
98.50ns INFO [00000100] Port=1 RD @09
99.50ns INFO [00000101] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B
99.50ns INFO [00000101] * RD COMPARE * port=1 adr=15 act=15555515 exp=15555515
99.50ns INFO [00000101] Port=0 RD @01
99.50ns INFO [00000101] Port=1 RD @01
100.50ns INFO [00000102] * RD COMPARE * port=1 adr=09 act=D1F5CD8F exp=D1F5CD8F
100.50ns INFO [00000102] Port=1 RD @02
101.50ns INFO [00000103] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
101.50ns INFO [00000103] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
101.50ns INFO [00000103] Port=0 WR @0C=3BF09D98
101.50ns INFO [00000103] Port=0 RD @0E
102.50ns INFO [00000104] * RD COMPARE * port=1 adr=02 act=02555502 exp=02555502
102.50ns INFO [00000104] Port=0 WR @09=EC6AEB67
102.50ns INFO [00000104] Port=1 RD @1A
103.50ns INFO [00000105] * RD COMPARE * port=0 adr=0E act=0E55550E exp=0E55550E
103.50ns INFO [00000105] Port=0 WR @09=C21580B6
103.50ns INFO [00000105] Port=1 RD @1E
104.50ns INFO [00000106] * RD COMPARE * port=1 adr=1A act=28069984 exp=28069984
104.50ns INFO [00000106] Port=0 WR @18=B793C357
105.50ns INFO [00000107] * RD COMPARE * port=1 adr=1E act=1E55551E exp=1E55551E
105.50ns INFO [00000107] Port=0 RD @19
105.50ns INFO [00000107] Port=1 RD @13
106.50ns INFO [00000108] Port=1 RD @06
107.50ns INFO [00000109] * RD COMPARE * port=0 adr=19 act=19555519 exp=19555519
107.50ns INFO [00000109] * RD COMPARE * port=1 adr=13 act=13555513 exp=13555513
107.50ns INFO [00000109] Port=1 RD @09
108.50ns INFO [00000110] * RD COMPARE * port=1 adr=06 act=06555506 exp=06555506
109.50ns INFO [00000111] * RD COMPARE * port=1 adr=09 act=C21580B6 exp=C21580B6
109.50ns INFO [00000111] Port=1 RD @1A
111.50ns INFO [00000113] * RD COMPARE * port=1 adr=1A act=28069984 exp=28069984
114.50ns INFO [00000116] Port=1 RD @19
115.50ns INFO [00000117] Port=0 RD @12
115.50ns INFO [00000117] Port=1 RD @0F
116.50ns INFO [00000118] * RD COMPARE * port=1 adr=19 act=19555519 exp=19555519
116.50ns INFO [00000118] Port=0 RD @10
117.50ns INFO [00000119] * RD COMPARE * port=0 adr=12 act=2B38ED3E exp=2B38ED3E
117.50ns INFO [00000119] * RD COMPARE * port=1 adr=0F act=0F55550F exp=0F55550F
117.50ns INFO [00000119] Port=1 RD @12
118.50ns INFO [00000120] * RD COMPARE * port=0 adr=10 act=0F827873 exp=0F827873
118.50ns INFO [00000120] Port=0 RD @0F
118.50ns INFO [00000120] Port=1 RD @08
119.50ns INFO [00000121] * RD COMPARE * port=1 adr=12 act=2B38ED3E exp=2B38ED3E
119.50ns INFO [00000121] Port=0 WR @11=2078089B
119.50ns INFO [00000121] Port=1 RD @13
120.50ns INFO [00000122] * RD COMPARE * port=0 adr=0F act=0F55550F exp=0F55550F
120.50ns INFO [00000122] * RD COMPARE * port=1 adr=08 act=8887094F exp=8887094F
120.50ns INFO [00000122] Port=1 RD @0E
121.50ns INFO [00000123] * RD COMPARE * port=1 adr=13 act=13555513 exp=13555513
121.50ns INFO [00000123] Port=0 WR @16=F5CE5A59
121.50ns INFO [00000123] Port=1 RD @07
122.50ns INFO [00000124] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E
122.50ns INFO [00000124] Port=0 RD @01
123.50ns INFO [00000125] * RD COMPARE * port=1 adr=07 act=EC459E20 exp=EC459E20
123.50ns INFO [00000125] Port=0 RD @09
124.50ns INFO [00000126] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
124.50ns INFO [00000126] Port=1 RD @0E
125.50ns INFO [00000127] * RD COMPARE * port=0 adr=09 act=C21580B6 exp=C21580B6
125.50ns INFO [00000127] Port=0 WR @12=3872D36A
125.50ns INFO [00000127] Port=1 RD @18
126.50ns INFO [00000128] * RD COMPARE * port=1 adr=0E act=0E55550E exp=0E55550E
126.50ns INFO [00000128] Port=0 RD @0D
127.50ns INFO [00000129] * RD COMPARE * port=1 adr=18 act=B793C357 exp=B793C357
128.50ns INFO [00000130] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D
129.50ns INFO [00000131] Port=0 WR @0F=CB237B9A
130.50ns INFO [00000132] Port=0 WR @0C=478B82D0
131.50ns INFO [00000133] Port=0 WR @1C=44556E47
131.50ns INFO [00000133] Port=0 RD @16
132.50ns INFO [00000134] Port=1 RD @10
133.50ns INFO [00000135] * RD COMPARE * port=0 adr=16 act=F5CE5A59 exp=F5CE5A59
133.50ns INFO [00000135] Port=0 RD @15
134.50ns INFO [00000136] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873
134.50ns INFO [00000136] Port=0 WR @14=A0BD8E94
134.50ns INFO [00000136] Port=1 RD @1F
135.50ns INFO [00000137] * RD COMPARE * port=0 adr=15 act=15555515 exp=15555515
135.50ns INFO [00000137] Port=0 WR @03=3C680566
135.50ns INFO [00000137] Port=0 RD @0A
136.50ns INFO [00000138] * RD COMPARE * port=1 adr=1F act=3A23800B exp=3A23800B
136.50ns INFO [00000138] Port=0 WR @1F=4C1BEFA9
137.50ns INFO [00000139] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A
137.50ns INFO [00000139] Port=0 RD @02
137.50ns INFO [00000139] Port=1 RD @0D
139.50ns INFO [00000141] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502
139.50ns INFO [00000141] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D
139.50ns INFO [00000141] Port=0 WR @18=799F091B
140.50ns INFO [00000142] Port=1 RD @05
141.50ns INFO [00000143] Port=0 WR @07=085706CD
142.50ns INFO [00000144] * RD COMPARE * port=1 adr=05 act=05555505 exp=05555505
142.50ns INFO [00000144] Port=0 WR @13=3A9F77F0
143.50ns INFO [00000145] Port=0 RD @03
144.50ns INFO [00000146] Port=0 RD @0A
144.50ns INFO [00000146] Port=1 RD @11
145.50ns INFO [00000147] * RD COMPARE * port=0 adr=03 act=3C680566 exp=3C680566
145.50ns INFO [00000147] Port=1 RD @10
146.50ns INFO [00000148] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A
146.50ns INFO [00000148] * RD COMPARE * port=1 adr=11 act=2078089B exp=2078089B
146.50ns INFO [00000148] Port=1 RD @07
147.50ns INFO [00000149] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873
147.50ns INFO [00000149] Port=0 WR @09=13ED67FF
147.50ns INFO [00000149] Port=0 RD @0A
148.50ns INFO [00000150] * RD COMPARE * port=1 adr=07 act=085706CD exp=085706CD
148.50ns INFO [00000150] Port=0 RD @1D
149.50ns INFO [00000151] * RD COMPARE * port=0 adr=0A act=0A55550A exp=0A55550A
149.50ns INFO [00000151] Port=0 RD @19
150.50ns INFO [00000152] * RD COMPARE * port=0 adr=1D act=1D55551D exp=1D55551D
150.50ns INFO [00000152] Port=0 RD @04
150.50ns INFO [00000152] Port=1 RD @00
151.50ns INFO [00000153] * RD COMPARE * port=0 adr=19 act=19555519 exp=19555519
151.50ns INFO [00000153] Port=0 RD @18
151.50ns INFO [00000153] Port=1 RD @01
152.50ns INFO [00000154] * RD COMPARE * port=0 adr=04 act=75765FF7 exp=75765FF7
152.50ns INFO [00000154] * RD COMPARE * port=1 adr=00 act=4F5DE6D2 exp=4F5DE6D2
152.50ns INFO [00000154] Port=0 WR @0B=CA8D5E0E
153.50ns INFO [00000155] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B
153.50ns INFO [00000155] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
154.50ns INFO [00000156] Port=0 RD @06
156.50ns INFO [00000158] * RD COMPARE * port=0 adr=06 act=06555506 exp=06555506
156.50ns INFO [00000158] Port=0 WR @0C=4229CD83
157.50ns INFO [00000159] Port=0 RD @1B
158.50ns INFO [00000160] Port=0 WR @08=CBC7A070
158.50ns INFO [00000160] Port=1 RD @1E
159.50ns INFO [00000161] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B
160.50ns INFO [00000162] * RD COMPARE * port=1 adr=1E act=1E55551E exp=1E55551E
160.50ns INFO [00000162] Port=0 WR @0C=07F7889E
161.50ns INFO [00000163] Port=0 WR @0F=642AF523
162.50ns INFO [00000164] Port=0 WR @0E=E8C32A84
162.50ns INFO [00000164] Port=0 RD @04
163.50ns INFO [00000165] Port=0 WR @04=CCF4521A
163.50ns INFO [00000165] Port=1 RD @1C
164.50ns INFO [00000166] * RD COMPARE * port=0 adr=04 act=75765FF7 exp=75765FF7
164.50ns INFO [00000166] Port=0 RD @1B
164.50ns INFO [00000166] Port=1 RD @14
165.50ns INFO [00000167] * RD COMPARE * port=1 adr=1C act=44556E47 exp=44556E47
165.50ns INFO [00000167] Port=0 RD @0D
165.50ns INFO [00000167] Port=1 RD @0E
166.50ns INFO [00000168] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B
166.50ns INFO [00000168] * RD COMPARE * port=1 adr=14 act=A0BD8E94 exp=A0BD8E94
167.50ns INFO [00000169] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D
167.50ns INFO [00000169] * RD COMPARE * port=1 adr=0E act=E8C32A84 exp=E8C32A84
167.50ns INFO [00000169] Port=0 RD @04
168.50ns INFO [00000170] Port=0 WR @1E=FF5BA429
169.50ns INFO [00000171] * RD COMPARE * port=0 adr=04 act=CCF4521A exp=CCF4521A
170.50ns INFO [00000172] Port=0 WR @05=CFB6CBE5
171.50ns INFO [00000173] Port=1 RD @0D
172.50ns INFO [00000174] Port=0 WR @0A=6D9C69F7
172.50ns INFO [00000174] Port=1 RD @1B
173.50ns INFO [00000175] * RD COMPARE * port=1 adr=0D act=0D55550D exp=0D55550D
173.50ns INFO [00000175] Port=0 WR @06=455023E0
174.50ns INFO [00000176] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B
174.50ns INFO [00000176] Port=0 WR @19=DEB9A509
176.50ns INFO [00000178] Port=0 RD @17
177.50ns INFO [00000179] Port=0 RD @10
177.50ns INFO [00000179] Port=1 RD @18
178.50ns INFO [00000180] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F
179.50ns INFO [00000181] * RD COMPARE * port=0 adr=10 act=0F827873 exp=0F827873
179.50ns INFO [00000181] * RD COMPARE * port=1 adr=18 act=799F091B exp=799F091B
179.50ns INFO [00000181] Port=0 WR @1A=4EC1A63C
179.50ns INFO [00000181] Port=1 RD @0B
180.50ns INFO [00000182] Port=0 WR @1A=1333A35B
180.50ns INFO [00000182] Port=0 RD @0D
180.50ns INFO [00000182] Port=1 RD @19
181.50ns INFO [00000183] * RD COMPARE * port=1 adr=0B act=CA8D5E0E exp=CA8D5E0E
182.50ns INFO [00000184] * RD COMPARE * port=0 adr=0D act=0D55550D exp=0D55550D
182.50ns INFO [00000184] * RD COMPARE * port=1 adr=19 act=DEB9A509 exp=DEB9A509
182.50ns INFO [00000184] Port=0 WR @04=4410F95F
182.50ns INFO [00000184] Port=1 RD @0F
183.50ns INFO [00000185] Port=0 RD @17
183.50ns INFO [00000185] Port=1 RD @0F
184.50ns INFO [00000186] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523
184.50ns INFO [00000186] Port=0 WR @1D=1AD7E3DF
184.50ns INFO [00000186] Port=0 RD @1C
185.50ns INFO [00000187] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F
185.50ns INFO [00000187] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523
185.50ns INFO [00000187] Port=0 WR @06=E5D893AD
185.50ns INFO [00000187] Port=0 RD @18
186.50ns INFO [00000188] * RD COMPARE * port=0 adr=1C act=44556E47 exp=44556E47
186.50ns INFO [00000188] Port=0 WR @1F=A72A3660
186.50ns INFO [00000188] Port=1 RD @0F
187.50ns INFO [00000189] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B
187.50ns INFO [00000189] Port=0 WR @19=9A684AC2
188.50ns INFO [00000190] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523
188.50ns INFO [00000190] Port=0 RD @18
188.50ns INFO [00000190] Port=1 RD @15
189.50ns INFO [00000191] Port=0 WR @1F=E12AD822
189.50ns INFO [00000191] Port=0 RD @17
190.50ns INFO [00000192] * RD COMPARE * port=0 adr=18 act=799F091B exp=799F091B
190.50ns INFO [00000192] * RD COMPARE * port=1 adr=15 act=15555515 exp=15555515
191.50ns INFO [00000193] * RD COMPARE * port=0 adr=17 act=CB4DA89F exp=CB4DA89F
191.50ns INFO [00000193] Port=0 WR @1A=F9795629
191.50ns INFO [00000193] Port=1 RD @1E
193.50ns INFO [00000195] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429
193.50ns INFO [00000195] Port=0 WR @17=F6196FBA
194.50ns INFO [00000196] Port=0 WR @11=D7780140
194.50ns INFO [00000196] Port=0 RD @02
195.50ns INFO [00000197] Port=0 WR @18=F69DD6D2
195.50ns INFO [00000197] Port=0 RD @1B
195.50ns INFO [00000197] Port=1 RD @13
196.50ns INFO [00000198] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502
196.50ns INFO [00000198] Port=0 RD @02
197.50ns INFO [00000199] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B
197.50ns INFO [00000199] * RD COMPARE * port=1 adr=13 act=3A9F77F0 exp=3A9F77F0
197.50ns INFO [00000199] Port=0 WR @1A=2DD9C053
198.00ns INFO [00000200] [00000200] ...tick...
198.50ns INFO [00000200] * RD COMPARE * port=0 adr=02 act=02555502 exp=02555502
198.50ns INFO [00000200] Port=1 RD @10
199.50ns INFO [00000201] Port=0 WR @1C=7CC4BB2E
199.50ns INFO [00000201] Port=0 RD @04
200.50ns INFO [00000202] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873
200.50ns INFO [00000202] Port=0 WR @13=8A26B269
200.50ns INFO [00000202] Port=1 RD @10
201.50ns INFO [00000203] * RD COMPARE * port=0 adr=04 act=4410F95F exp=4410F95F
201.50ns INFO [00000203] Port=0 WR @1F=16411FF0
202.50ns INFO [00000204] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873
202.50ns INFO [00000204] Port=0 WR @1D=CBB90BBA
202.50ns INFO [00000204] Port=1 RD @07
203.50ns INFO [00000205] Port=0 WR @06=33E19AAF
203.50ns INFO [00000205] Port=1 RD @0A
204.50ns INFO [00000206] * RD COMPARE * port=1 adr=07 act=085706CD exp=085706CD
204.50ns INFO [00000206] Port=0 WR @1F=81BE79CD
205.50ns INFO [00000207] * RD COMPARE * port=1 adr=0A act=6D9C69F7 exp=6D9C69F7
205.50ns INFO [00000207] Port=0 WR @15=1329E3B8
206.50ns INFO [00000208] Port=0 WR @0A=1B159C15
206.50ns INFO [00000208] Port=0 RD @0E
208.50ns INFO [00000210] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84
208.50ns INFO [00000210] Port=0 WR @19=7DDC4AD4
210.50ns INFO [00000212] Port=0 RD @1D
210.50ns INFO [00000212] Port=1 RD @13
211.50ns INFO [00000213] Port=1 RD @10
212.50ns INFO [00000214] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA
212.50ns INFO [00000214] * RD COMPARE * port=1 adr=13 act=8A26B269 exp=8A26B269
212.50ns INFO [00000214] Port=1 RD @05
213.50ns INFO [00000215] * RD COMPARE * port=1 adr=10 act=0F827873 exp=0F827873
213.50ns INFO [00000215] Port=0 WR @07=1791F6EE
213.50ns INFO [00000215] Port=0 RD @1B
214.50ns INFO [00000216] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
214.50ns INFO [00000216] Port=0 WR @10=0F241BA9
215.50ns INFO [00000217] * RD COMPARE * port=0 adr=1B act=1B55551B exp=1B55551B
215.50ns INFO [00000217] Port=1 RD @06
217.50ns INFO [00000219] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF
217.50ns INFO [00000219] Port=0 RD @09
217.50ns INFO [00000219] Port=1 RD @12
218.50ns INFO [00000220] Port=0 RD @1A
218.50ns INFO [00000220] Port=1 RD @05
219.50ns INFO [00000221] * RD COMPARE * port=0 adr=09 act=13ED67FF exp=13ED67FF
219.50ns INFO [00000221] * RD COMPARE * port=1 adr=12 act=3872D36A exp=3872D36A
219.50ns INFO [00000221] Port=0 RD @05
220.50ns INFO [00000222] * RD COMPARE * port=0 adr=1A act=2DD9C053 exp=2DD9C053
220.50ns INFO [00000222] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
220.50ns INFO [00000222] Port=0 WR @12=B44162C6
220.50ns INFO [00000222] Port=1 RD @05
221.50ns INFO [00000223] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5
222.50ns INFO [00000224] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
222.50ns INFO [00000224] Port=1 RD @00
223.50ns INFO [00000225] Port=0 WR @0C=86BBA446
223.50ns INFO [00000225] Port=0 RD @05
223.50ns INFO [00000225] Port=1 RD @15
224.50ns INFO [00000226] * RD COMPARE * port=1 adr=00 act=4F5DE6D2 exp=4F5DE6D2
224.50ns INFO [00000226] Port=0 WR @14=FE5D5671
224.50ns INFO [00000226] Port=0 RD @08
225.50ns INFO [00000227] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5
225.50ns INFO [00000227] * RD COMPARE * port=1 adr=15 act=1329E3B8 exp=1329E3B8
225.50ns INFO [00000227] Port=1 RD @06
226.50ns INFO [00000228] * RD COMPARE * port=0 adr=08 act=CBC7A070 exp=CBC7A070
226.50ns INFO [00000228] Port=1 RD @19
227.50ns INFO [00000229] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF
228.50ns INFO [00000230] * RD COMPARE * port=1 adr=19 act=7DDC4AD4 exp=7DDC4AD4
228.50ns INFO [00000230] Port=1 RD @1E
229.50ns INFO [00000231] Port=0 WR @02=B988FCF2
230.50ns INFO [00000232] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429
230.50ns INFO [00000232] Port=0 WR @18=76A5FCDD
230.50ns INFO [00000232] Port=1 RD @10
231.50ns INFO [00000233] Port=0 WR @0D=36322D6C
231.50ns INFO [00000233] Port=0 RD @12
231.50ns INFO [00000233] Port=1 RD @04
232.50ns INFO [00000234] * RD COMPARE * port=1 adr=10 act=0F241BA9 exp=0F241BA9
232.50ns INFO [00000234] Port=0 RD @1C
233.50ns INFO [00000235] * RD COMPARE * port=0 adr=12 act=B44162C6 exp=B44162C6
233.50ns INFO [00000235] * RD COMPARE * port=1 adr=04 act=4410F95F exp=4410F95F
233.50ns INFO [00000235] Port=1 RD @11
234.50ns INFO [00000236] * RD COMPARE * port=0 adr=1C act=7CC4BB2E exp=7CC4BB2E
235.50ns INFO [00000237] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
237.50ns INFO [00000239] Port=0 WR @02=8F451C55
238.50ns INFO [00000240] Port=0 WR @0B=3074FB8B
238.50ns INFO [00000240] Port=1 RD @09
239.50ns INFO [00000241] Port=1 RD @0C
240.50ns INFO [00000242] * RD COMPARE * port=1 adr=09 act=13ED67FF exp=13ED67FF
241.50ns INFO [00000243] * RD COMPARE * port=1 adr=0C act=86BBA446 exp=86BBA446
241.50ns INFO [00000243] Port=1 RD @0B
242.50ns INFO [00000244] Port=0 WR @14=47A0422E
243.50ns INFO [00000245] * RD COMPARE * port=1 adr=0B act=3074FB8B exp=3074FB8B
243.50ns INFO [00000245] Port=1 RD @1E
245.50ns INFO [00000247] * RD COMPARE * port=1 adr=1E act=FF5BA429 exp=FF5BA429
246.50ns INFO [00000248] Port=0 WR @17=4B44F0B3
246.50ns INFO [00000248] Port=1 RD @11
248.50ns INFO [00000250] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
249.50ns INFO [00000251] Port=0 WR @0D=451A138E
250.50ns INFO [00000252] Port=0 RD @07
251.50ns INFO [00000253] Port=0 RD @10
251.50ns INFO [00000253] Port=1 RD @14
252.50ns INFO [00000254] * RD COMPARE * port=0 adr=07 act=1791F6EE exp=1791F6EE
252.50ns INFO [00000254] Port=0 WR @18=230B909F
252.50ns INFO [00000254] Port=1 RD @0B
253.50ns INFO [00000255] * RD COMPARE * port=0 adr=10 act=0F241BA9 exp=0F241BA9
253.50ns INFO [00000255] * RD COMPARE * port=1 adr=14 act=47A0422E exp=47A0422E
253.50ns INFO [00000255] Port=0 RD @0A
254.50ns INFO [00000256] * RD COMPARE * port=1 adr=0B act=3074FB8B exp=3074FB8B
254.50ns INFO [00000256] Port=0 RD @18
255.50ns INFO [00000257] * RD COMPARE * port=0 adr=0A act=1B159C15 exp=1B159C15
255.50ns INFO [00000257] Port=0 RD @19
256.50ns INFO [00000258] * RD COMPARE * port=0 adr=18 act=230B909F exp=230B909F
257.50ns INFO [00000259] * RD COMPARE * port=0 adr=19 act=7DDC4AD4 exp=7DDC4AD4
257.50ns INFO [00000259] Port=0 RD @0E
258.50ns INFO [00000260] Port=0 WR @14=D853EAE6
258.50ns INFO [00000260] Port=0 RD @06
259.50ns INFO [00000261] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84
259.50ns INFO [00000261] Port=0 WR @10=61676579
259.50ns INFO [00000261] Port=1 RD @1C
260.50ns INFO [00000262] * RD COMPARE * port=0 adr=06 act=33E19AAF exp=33E19AAF
260.50ns INFO [00000262] Port=0 RD @0B
260.50ns INFO [00000262] Port=1 RD @16
261.50ns INFO [00000263] * RD COMPARE * port=1 adr=1C act=7CC4BB2E exp=7CC4BB2E
261.50ns INFO [00000263] Port=0 RD @0D
261.50ns INFO [00000263] Port=1 RD @0D
262.50ns INFO [00000264] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B
262.50ns INFO [00000264] * RD COMPARE * port=1 adr=16 act=F5CE5A59 exp=F5CE5A59
262.50ns INFO [00000264] Port=0 RD @16
263.50ns INFO [00000265] * RD COMPARE * port=0 adr=0D act=451A138E exp=451A138E
263.50ns INFO [00000265] * RD COMPARE * port=1 adr=0D act=451A138E exp=451A138E
263.50ns INFO [00000265] Port=0 RD @0C
263.50ns INFO [00000265] Port=1 RD @17
264.50ns INFO [00000266] * RD COMPARE * port=0 adr=16 act=F5CE5A59 exp=F5CE5A59
265.50ns INFO [00000267] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
265.50ns INFO [00000267] * RD COMPARE * port=1 adr=17 act=4B44F0B3 exp=4B44F0B3
265.50ns INFO [00000267] Port=0 WR @1F=DDEC2FFE
265.50ns INFO [00000267] Port=0 RD @1D
266.50ns INFO [00000268] Port=1 RD @1B
267.50ns INFO [00000269] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA
267.50ns INFO [00000269] Port=1 RD @0A
268.50ns INFO [00000270] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B
268.50ns INFO [00000270] Port=0 RD @1D
268.50ns INFO [00000270] Port=1 RD @05
269.50ns INFO [00000271] * RD COMPARE * port=1 adr=0A act=1B159C15 exp=1B159C15
269.50ns INFO [00000271] Port=0 WR @12=3EEC5D36
269.50ns INFO [00000271] Port=1 RD @08
270.50ns INFO [00000272] * RD COMPARE * port=0 adr=1D act=CBB90BBA exp=CBB90BBA
270.50ns INFO [00000272] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
270.50ns INFO [00000272] Port=0 RD @17
270.50ns INFO [00000272] Port=1 RD @06
271.50ns INFO [00000273] * RD COMPARE * port=1 adr=08 act=CBC7A070 exp=CBC7A070
272.50ns INFO [00000274] * RD COMPARE * port=0 adr=17 act=4B44F0B3 exp=4B44F0B3
272.50ns INFO [00000274] * RD COMPARE * port=1 adr=06 act=33E19AAF exp=33E19AAF
273.50ns INFO [00000275] Port=1 RD @05
274.50ns INFO [00000276] Port=0 RD @12
274.50ns INFO [00000276] Port=1 RD @1B
275.50ns INFO [00000277] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
275.50ns INFO [00000277] Port=0 WR @12=8937C3C7
275.50ns INFO [00000277] Port=0 RD @14
276.50ns INFO [00000278] * RD COMPARE * port=0 adr=12 act=3EEC5D36 exp=3EEC5D36
276.50ns INFO [00000278] * RD COMPARE * port=1 adr=1B act=1B55551B exp=1B55551B
276.50ns INFO [00000278] Port=0 WR @13=BFC89032
276.50ns INFO [00000278] Port=1 RD @0C
277.50ns INFO [00000279] * RD COMPARE * port=0 adr=14 act=D853EAE6 exp=D853EAE6
277.50ns INFO [00000279] Port=0 WR @17=8A2B3624
277.50ns INFO [00000279] Port=0 RD @12
278.50ns INFO [00000280] * RD COMPARE * port=1 adr=0C act=86BBA446 exp=86BBA446
279.50ns INFO [00000281] * RD COMPARE * port=0 adr=12 act=8937C3C7 exp=8937C3C7
279.50ns INFO [00000281] Port=0 WR @10=4FB8ECD1
282.50ns INFO [00000284] Port=0 WR @1B=A2D94C0C
282.50ns INFO [00000284] Port=0 RD @13
283.50ns INFO [00000285] Port=1 RD @03
284.50ns INFO [00000286] * RD COMPARE * port=0 adr=13 act=BFC89032 exp=BFC89032
285.50ns INFO [00000287] * RD COMPARE * port=1 adr=03 act=3C680566 exp=3C680566
286.50ns INFO [00000288] Port=0 WR @14=03C4FF0A
286.50ns INFO [00000288] Port=1 RD @1B
287.50ns INFO [00000289] Port=0 WR @1E=1FC7CC46
287.50ns INFO [00000289] Port=0 RD @01
288.50ns INFO [00000290] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C
288.50ns INFO [00000290] Port=0 WR @14=E99A5FA0
288.50ns INFO [00000290] Port=1 RD @11
289.50ns INFO [00000291] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
290.50ns INFO [00000292] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
290.50ns INFO [00000292] Port=0 WR @02=176A6E11
290.50ns INFO [00000292] Port=1 RD @12
291.50ns INFO [00000293] Port=1 RD @1A
292.50ns INFO [00000294] * RD COMPARE * port=1 adr=12 act=8937C3C7 exp=8937C3C7
293.50ns INFO [00000295] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053
293.50ns INFO [00000295] Port=0 RD @02
293.50ns INFO [00000295] Port=1 RD @1B
294.50ns INFO [00000296] Port=0 RD @0B
295.50ns INFO [00000297] * RD COMPARE * port=0 adr=02 act=176A6E11 exp=176A6E11
295.50ns INFO [00000297] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C
295.50ns INFO [00000297] Port=0 WR @00=04C4FB2E
295.50ns INFO [00000297] Port=0 RD @1E
296.50ns INFO [00000298] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B
297.50ns INFO [00000299] * RD COMPARE * port=0 adr=1E act=1FC7CC46 exp=1FC7CC46
297.50ns INFO [00000299] Port=0 RD @18
297.50ns INFO [00000299] Port=1 RD @1B
298.00ns INFO [00000300] [00000300] ...tick...
298.50ns INFO [00000300] Port=0 WR @1F=17FBE921
299.50ns INFO [00000301] * RD COMPARE * port=0 adr=18 act=230B909F exp=230B909F
299.50ns INFO [00000301] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C
299.50ns INFO [00000301] Port=0 WR @18=5348AAC5
299.50ns INFO [00000301] Port=1 RD @11
300.50ns INFO [00000302] Port=0 RD @0B
300.50ns INFO [00000302] Port=1 RD @12
301.50ns INFO [00000303] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
302.50ns INFO [00000304] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B
302.50ns INFO [00000304] * RD COMPARE * port=1 adr=12 act=8937C3C7 exp=8937C3C7
302.50ns INFO [00000304] Port=1 RD @1D
303.50ns INFO [00000305] Port=0 RD @0C
304.50ns INFO [00000306] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA
305.50ns INFO [00000307] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
305.50ns INFO [00000307] Port=0 RD @1B
306.50ns INFO [00000308] Port=0 RD @0C
306.50ns INFO [00000308] Port=1 RD @03
307.50ns INFO [00000309] * RD COMPARE * port=0 adr=1B act=A2D94C0C exp=A2D94C0C
308.50ns INFO [00000310] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
308.50ns INFO [00000310] * RD COMPARE * port=1 adr=03 act=3C680566 exp=3C680566
308.50ns INFO [00000310] Port=0 WR @07=8065D2BF
308.50ns INFO [00000310] Port=0 RD @12
309.50ns INFO [00000311] Port=0 WR @03=EC2B93D6
310.50ns INFO [00000312] * RD COMPARE * port=0 adr=12 act=8937C3C7 exp=8937C3C7
310.50ns INFO [00000312] Port=0 WR @08=517DB549
310.50ns INFO [00000312] Port=0 RD @07
310.50ns INFO [00000312] Port=1 RD @1D
311.50ns INFO [00000313] Port=0 WR @09=6E5D7C9F
311.50ns INFO [00000313] Port=1 RD @1D
312.50ns INFO [00000314] * RD COMPARE * port=0 adr=07 act=8065D2BF exp=8065D2BF
312.50ns INFO [00000314] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA
312.50ns INFO [00000314] Port=1 RD @16
313.50ns INFO [00000315] * RD COMPARE * port=1 adr=1D act=CBB90BBA exp=CBB90BBA
313.50ns INFO [00000315] Port=0 WR @12=754CEF96
313.50ns INFO [00000315] Port=1 RD @09
314.50ns INFO [00000316] * RD COMPARE * port=1 adr=16 act=F5CE5A59 exp=F5CE5A59
314.50ns INFO [00000316] Port=0 WR @13=AA1B99D0
314.50ns INFO [00000316] Port=1 RD @12
315.50ns INFO [00000317] * RD COMPARE * port=1 adr=09 act=6E5D7C9F exp=6E5D7C9F
315.50ns INFO [00000317] Port=0 RD @01
316.50ns INFO [00000318] * RD COMPARE * port=1 adr=12 act=754CEF96 exp=754CEF96
316.50ns INFO [00000318] Port=0 WR @1D=52AF5425
316.50ns INFO [00000318] Port=1 RD @15
317.50ns INFO [00000319] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
318.50ns INFO [00000320] * RD COMPARE * port=1 adr=15 act=1329E3B8 exp=1329E3B8
318.50ns INFO [00000320] Port=1 RD @14
319.50ns INFO [00000321] Port=1 RD @1C
320.50ns INFO [00000322] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0
320.50ns INFO [00000322] Port=0 RD @0B
321.50ns INFO [00000323] * RD COMPARE * port=1 adr=1C act=7CC4BB2E exp=7CC4BB2E
321.50ns INFO [00000323] Port=0 RD @0B
322.50ns INFO [00000324] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B
322.50ns INFO [00000324] Port=0 WR @1E=E28B5AFF
322.50ns INFO [00000324] Port=0 RD @19
323.50ns INFO [00000325] * RD COMPARE * port=0 adr=0B act=3074FB8B exp=3074FB8B
323.50ns INFO [00000325] Port=0 WR @09=93DAE59F
323.50ns INFO [00000325] Port=0 RD @0E
324.50ns INFO [00000326] * RD COMPARE * port=0 adr=19 act=7DDC4AD4 exp=7DDC4AD4
325.50ns INFO [00000327] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84
325.50ns INFO [00000327] Port=0 RD @0F
325.50ns INFO [00000327] Port=1 RD @03
327.50ns INFO [00000329] * RD COMPARE * port=0 adr=0F act=642AF523 exp=642AF523
327.50ns INFO [00000329] * RD COMPARE * port=1 adr=03 act=EC2B93D6 exp=EC2B93D6
327.50ns INFO [00000329] Port=0 RD @1F
328.50ns INFO [00000330] Port=0 WR @19=3DE7EC15
329.50ns INFO [00000331] * RD COMPARE * port=0 adr=1F act=17FBE921 exp=17FBE921
329.50ns INFO [00000331] Port=0 RD @00
330.50ns INFO [00000332] Port=0 WR @02=D6322DEC
331.50ns INFO [00000333] * RD COMPARE * port=0 adr=00 act=04C4FB2E exp=04C4FB2E
331.50ns INFO [00000333] Port=0 WR @16=D78398D9
331.50ns INFO [00000333] Port=0 RD @0D
331.50ns INFO [00000333] Port=1 RD @1E
332.50ns INFO [00000334] Port=0 RD @03
333.50ns INFO [00000335] * RD COMPARE * port=0 adr=0D act=451A138E exp=451A138E
333.50ns INFO [00000335] * RD COMPARE * port=1 adr=1E act=E28B5AFF exp=E28B5AFF
333.50ns INFO [00000335] Port=0 WR @03=3EB61718
334.50ns INFO [00000336] * RD COMPARE * port=0 adr=03 act=EC2B93D6 exp=EC2B93D6
334.50ns INFO [00000336] Port=0 WR @1D=9C3E8F5B
334.50ns INFO [00000336] Port=1 RD @0F
335.50ns INFO [00000337] Port=0 WR @15=B4BD1D1F
336.50ns INFO [00000338] * RD COMPARE * port=1 adr=0F act=642AF523 exp=642AF523
338.50ns INFO [00000340] Port=0 RD @0A
339.50ns INFO [00000341] Port=1 RD @1A
340.50ns INFO [00000342] * RD COMPARE * port=0 adr=0A act=1B159C15 exp=1B159C15
340.50ns INFO [00000342] Port=0 RD @1A
340.50ns INFO [00000342] Port=1 RD @18
341.50ns INFO [00000343] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053
341.50ns INFO [00000343] Port=0 WR @16=9964B5C0
341.50ns INFO [00000343] Port=1 RD @1B
342.50ns INFO [00000344] * RD COMPARE * port=0 adr=1A act=2DD9C053 exp=2DD9C053
342.50ns INFO [00000344] * RD COMPARE * port=1 adr=18 act=5348AAC5 exp=5348AAC5
342.50ns INFO [00000344] Port=0 WR @0B=254C0E9A
342.50ns INFO [00000344] Port=1 RD @1A
343.50ns INFO [00000345] * RD COMPARE * port=1 adr=1B act=A2D94C0C exp=A2D94C0C
344.50ns INFO [00000346] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053
345.50ns INFO [00000347] Port=0 WR @07=4C286842
345.50ns INFO [00000347] Port=1 RD @01
346.50ns INFO [00000348] Port=0 RD @05
346.50ns INFO [00000348] Port=1 RD @16
347.50ns INFO [00000349] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
347.50ns INFO [00000349] Port=1 RD @02
348.50ns INFO [00000350] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5
348.50ns INFO [00000350] * RD COMPARE * port=1 adr=16 act=9964B5C0 exp=9964B5C0
348.50ns INFO [00000350] Port=0 WR @06=E1FF3764
349.50ns INFO [00000351] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC
349.50ns INFO [00000351] Port=0 WR @0A=87EA91C7
349.50ns INFO [00000351] Port=0 RD @10
351.50ns INFO [00000353] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1
351.50ns INFO [00000353] Port=0 WR @1F=87C11FCB
351.50ns INFO [00000353] Port=0 RD @11
352.50ns INFO [00000354] Port=0 WR @16=B41DC4DC
352.50ns INFO [00000354] Port=0 RD @0E
352.50ns INFO [00000354] Port=1 RD @14
353.50ns INFO [00000355] * RD COMPARE * port=0 adr=11 act=D7780140 exp=D7780140
353.50ns INFO [00000355] Port=0 RD @15
354.50ns INFO [00000356] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84
354.50ns INFO [00000356] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0
354.50ns INFO [00000356] Port=0 WR @15=457E1D67
354.50ns INFO [00000356] Port=1 RD @01
355.50ns INFO [00000357] * RD COMPARE * port=0 adr=15 act=B4BD1D1F exp=B4BD1D1F
355.50ns INFO [00000357] Port=0 WR @19=8C579C64
356.50ns INFO [00000358] * RD COMPARE * port=1 adr=01 act=01555501 exp=01555501
358.50ns INFO [00000360] Port=0 WR @18=32722347
359.50ns INFO [00000361] Port=0 RD @19
360.50ns INFO [00000362] Port=0 WR @18=C418F2CE
360.50ns INFO [00000362] Port=1 RD @00
361.50ns INFO [00000363] * RD COMPARE * port=0 adr=19 act=8C579C64 exp=8C579C64
361.50ns INFO [00000363] Port=0 RD @06
362.50ns INFO [00000364] * RD COMPARE * port=1 adr=00 act=04C4FB2E exp=04C4FB2E
362.50ns INFO [00000364] Port=1 RD @0D
363.50ns INFO [00000365] * RD COMPARE * port=0 adr=06 act=E1FF3764 exp=E1FF3764
363.50ns INFO [00000365] Port=0 RD @02
364.50ns INFO [00000366] * RD COMPARE * port=1 adr=0D act=451A138E exp=451A138E
364.50ns INFO [00000366] Port=0 WR @07=64EC3B91
364.50ns INFO [00000366] Port=0 RD @1E
364.50ns INFO [00000366] Port=1 RD @0A
365.50ns INFO [00000367] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC
365.50ns INFO [00000367] Port=0 WR @06=89237DFB
366.50ns INFO [00000368] * RD COMPARE * port=0 adr=1E act=E28B5AFF exp=E28B5AFF
366.50ns INFO [00000368] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7
368.50ns INFO [00000370] Port=0 WR @19=AE2D0D69
368.50ns INFO [00000370] Port=1 RD @1A
369.50ns INFO [00000371] Port=0 WR @1B=7F6255D6
370.50ns INFO [00000372] * RD COMPARE * port=1 adr=1A act=2DD9C053 exp=2DD9C053
370.50ns INFO [00000372] Port=0 WR @04=6558F368
372.50ns INFO [00000374] Port=0 WR @09=970334B6
372.50ns INFO [00000374] Port=1 RD @0A
373.50ns INFO [00000375] Port=0 WR @04=279A4BB4
374.50ns INFO [00000376] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7
376.50ns INFO [00000378] Port=0 WR @12=BD0E16C0
377.50ns INFO [00000379] Port=0 WR @1F=0162E17C
377.50ns INFO [00000379] Port=1 RD @0A
379.50ns INFO [00000381] * RD COMPARE * port=1 adr=0A act=87EA91C7 exp=87EA91C7
379.50ns INFO [00000381] Port=0 WR @1E=15B2CF77
379.50ns INFO [00000381] Port=0 RD @1C
379.50ns INFO [00000381] Port=1 RD @04
380.50ns INFO [00000382] Port=1 RD @00
381.50ns INFO [00000383] * RD COMPARE * port=0 adr=1C act=7CC4BB2E exp=7CC4BB2E
381.50ns INFO [00000383] * RD COMPARE * port=1 adr=04 act=279A4BB4 exp=279A4BB4
381.50ns INFO [00000383] Port=0 WR @04=6899888B
381.50ns INFO [00000383] Port=0 RD @0E
381.50ns INFO [00000383] Port=1 RD @11
382.50ns INFO [00000384] * RD COMPARE * port=1 adr=00 act=04C4FB2E exp=04C4FB2E
382.50ns INFO [00000384] Port=0 WR @1C=177CE7CB
383.50ns INFO [00000385] * RD COMPARE * port=0 adr=0E act=E8C32A84 exp=E8C32A84
383.50ns INFO [00000385] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
383.50ns INFO [00000385] Port=0 WR @1A=C3C3FD5D
383.50ns INFO [00000385] Port=0 RD @01
384.50ns INFO [00000386] Port=0 WR @08=6700C23D
384.50ns INFO [00000386] Port=0 RD @10
384.50ns INFO [00000386] Port=1 RD @15
385.50ns INFO [00000387] * RD COMPARE * port=0 adr=01 act=01555501 exp=01555501
385.50ns INFO [00000387] Port=0 WR @03=9144CCAB
385.50ns INFO [00000387] Port=1 RD @08
386.50ns INFO [00000388] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1
386.50ns INFO [00000388] * RD COMPARE * port=1 adr=15 act=457E1D67 exp=457E1D67
386.50ns INFO [00000388] Port=0 RD @14
387.50ns INFO [00000389] * RD COMPARE * port=1 adr=08 act=6700C23D exp=6700C23D
387.50ns INFO [00000389] Port=0 WR @07=F55760A9
387.50ns INFO [00000389] Port=0 RD @0C
387.50ns INFO [00000389] Port=1 RD @1E
388.50ns INFO [00000390] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
388.50ns INFO [00000390] Port=1 RD @02
389.50ns INFO [00000391] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
389.50ns INFO [00000391] * RD COMPARE * port=1 adr=1E act=15B2CF77 exp=15B2CF77
389.50ns INFO [00000391] Port=0 RD @02
390.50ns INFO [00000392] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC
390.50ns INFO [00000392] Port=1 RD @15
391.50ns INFO [00000393] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC
391.50ns INFO [00000393] Port=0 WR @1F=686A2F30
391.50ns INFO [00000393] Port=1 RD @05
392.50ns INFO [00000394] * RD COMPARE * port=1 adr=15 act=457E1D67 exp=457E1D67
392.50ns INFO [00000394] Port=0 WR @12=AAC06FA6
393.50ns INFO [00000395] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
393.50ns INFO [00000395] Port=0 RD @18
394.50ns INFO [00000396] Port=0 RD @00
395.50ns INFO [00000397] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE
395.50ns INFO [00000397] Port=0 WR @0F=30597900
396.50ns INFO [00000398] * RD COMPARE * port=0 adr=00 act=04C4FB2E exp=04C4FB2E
396.50ns INFO [00000398] Port=0 WR @12=6062CB43
396.50ns INFO [00000398] Port=1 RD @08
397.50ns INFO [00000399] Port=0 WR @06=E5FABF8E
398.00ns INFO [00000400] [00000400] ...tick...
398.50ns INFO [00000400] * RD COMPARE * port=1 adr=08 act=6700C23D exp=6700C23D
399.50ns INFO [00000401] Port=0 RD @1E
399.50ns INFO [00000401] Port=1 RD @1A
401.50ns INFO [00000403] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77
401.50ns INFO [00000403] * RD COMPARE * port=1 adr=1A act=C3C3FD5D exp=C3C3FD5D
401.50ns INFO [00000403] Port=0 RD @1E
401.50ns INFO [00000403] Port=1 RD @1C
402.50ns INFO [00000404] Port=1 RD @0F
403.50ns INFO [00000405] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77
403.50ns INFO [00000405] * RD COMPARE * port=1 adr=1C act=177CE7CB exp=177CE7CB
403.50ns INFO [00000405] Port=0 RD @0C
403.50ns INFO [00000405] Port=1 RD @02
404.50ns INFO [00000406] * RD COMPARE * port=1 adr=0F act=30597900 exp=30597900
404.50ns INFO [00000406] Port=0 RD @17
404.50ns INFO [00000406] Port=1 RD @10
405.50ns INFO [00000407] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
405.50ns INFO [00000407] * RD COMPARE * port=1 adr=02 act=D6322DEC exp=D6322DEC
405.50ns INFO [00000407] Port=0 RD @1F
406.50ns INFO [00000408] * RD COMPARE * port=0 adr=17 act=8A2B3624 exp=8A2B3624
406.50ns INFO [00000408] * RD COMPARE * port=1 adr=10 act=4FB8ECD1 exp=4FB8ECD1
406.50ns INFO [00000408] Port=0 RD @02
406.50ns INFO [00000408] Port=1 RD @0B
407.50ns INFO [00000409] * RD COMPARE * port=0 adr=1F act=686A2F30 exp=686A2F30
407.50ns INFO [00000409] Port=0 RD @1D
408.50ns INFO [00000410] * RD COMPARE * port=0 adr=02 act=D6322DEC exp=D6322DEC
408.50ns INFO [00000410] * RD COMPARE * port=1 adr=0B act=254C0E9A exp=254C0E9A
408.50ns INFO [00000410] Port=0 WR @01=EFAF77E7
408.50ns INFO [00000410] Port=1 RD @11
409.50ns INFO [00000411] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B
409.50ns INFO [00000411] Port=1 RD @04
410.50ns INFO [00000412] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
410.50ns INFO [00000412] Port=0 WR @0B=4C6E5F6E
411.50ns INFO [00000413] * RD COMPARE * port=1 adr=04 act=6899888B exp=6899888B
411.50ns INFO [00000413] Port=1 RD @05
412.50ns INFO [00000414] Port=0 RD @07
413.50ns INFO [00000415] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
414.50ns INFO [00000416] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9
414.50ns INFO [00000416] Port=0 RD @0C
415.50ns INFO [00000417] Port=0 WR @02=ECC10F58
415.50ns INFO [00000417] Port=0 RD @1C
415.50ns INFO [00000417] Port=1 RD @06
416.50ns INFO [00000418] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
416.50ns INFO [00000418] Port=0 WR @12=E70F3F90
416.50ns INFO [00000418] Port=1 RD @19
417.50ns INFO [00000419] * RD COMPARE * port=0 adr=1C act=177CE7CB exp=177CE7CB
417.50ns INFO [00000419] * RD COMPARE * port=1 adr=06 act=E5FABF8E exp=E5FABF8E
418.50ns INFO [00000420] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69
418.50ns INFO [00000420] Port=0 WR @1A=DFB7D943
418.50ns INFO [00000420] Port=1 RD @1C
419.50ns INFO [00000421] Port=1 RD @01
420.50ns INFO [00000422] * RD COMPARE * port=1 adr=1C act=177CE7CB exp=177CE7CB
420.50ns INFO [00000422] Port=0 WR @0F=E5560744
420.50ns INFO [00000422] Port=1 RD @1B
421.50ns INFO [00000423] * RD COMPARE * port=1 adr=01 act=EFAF77E7 exp=EFAF77E7
422.50ns INFO [00000424] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6
422.50ns INFO [00000424] Port=1 RD @0B
424.50ns INFO [00000426] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E
424.50ns INFO [00000426] Port=1 RD @02
426.50ns INFO [00000428] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58
426.50ns INFO [00000428] Port=0 WR @16=20E37709
426.50ns INFO [00000428] Port=1 RD @19
427.50ns INFO [00000429] Port=0 WR @00=705A09EE
427.50ns INFO [00000429] Port=0 RD @0B
427.50ns INFO [00000429] Port=1 RD @17
428.50ns INFO [00000430] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69
428.50ns INFO [00000430] Port=0 WR @04=97F88A26
429.50ns INFO [00000431] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
429.50ns INFO [00000431] * RD COMPARE * port=1 adr=17 act=8A2B3624 exp=8A2B3624
429.50ns INFO [00000431] Port=1 RD @0B
430.50ns INFO [00000432] Port=0 RD @0B
431.50ns INFO [00000433] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E
432.50ns INFO [00000434] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
433.50ns INFO [00000435] Port=0 RD @18
435.50ns INFO [00000437] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE
435.50ns INFO [00000437] Port=0 WR @0D=074D0235
435.50ns INFO [00000437] Port=0 RD @05
436.50ns INFO [00000438] Port=0 WR @1A=A3BA8262
437.50ns INFO [00000439] * RD COMPARE * port=0 adr=05 act=CFB6CBE5 exp=CFB6CBE5
437.50ns INFO [00000439] Port=0 WR @0A=65B96CC2
437.50ns INFO [00000439] Port=0 RD @18
438.50ns INFO [00000440] Port=0 WR @1C=513C8636
438.50ns INFO [00000440] Port=0 RD @09
439.50ns INFO [00000441] * RD COMPARE * port=0 adr=18 act=C418F2CE exp=C418F2CE
439.50ns INFO [00000441] Port=0 WR @09=5E7C1E62
439.50ns INFO [00000441] Port=0 RD @00
439.50ns INFO [00000441] Port=1 RD @05
440.50ns INFO [00000442] * RD COMPARE * port=0 adr=09 act=970334B6 exp=970334B6
441.50ns INFO [00000443] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE
441.50ns INFO [00000443] * RD COMPARE * port=1 adr=05 act=CFB6CBE5 exp=CFB6CBE5
441.50ns INFO [00000443] Port=0 RD @07
442.50ns INFO [00000444] Port=0 WR @0A=CDDF8958
442.50ns INFO [00000444] Port=0 RD @07
443.50ns INFO [00000445] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9
443.50ns INFO [00000445] Port=0 WR @17=0D5A6915
443.50ns INFO [00000445] Port=1 RD @0A
444.50ns INFO [00000446] * RD COMPARE * port=0 adr=07 act=F55760A9 exp=F55760A9
444.50ns INFO [00000446] Port=0 RD @10
444.50ns INFO [00000446] Port=1 RD @0D
445.50ns INFO [00000447] * RD COMPARE * port=1 adr=0A act=CDDF8958 exp=CDDF8958
445.50ns INFO [00000447] Port=0 RD @09
446.50ns INFO [00000448] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1
446.50ns INFO [00000448] * RD COMPARE * port=1 adr=0D act=074D0235 exp=074D0235
446.50ns INFO [00000448] Port=1 RD @03
447.50ns INFO [00000449] * RD COMPARE * port=0 adr=09 act=5E7C1E62 exp=5E7C1E62
447.50ns INFO [00000449] Port=0 WR @18=3A0FBB47
447.50ns INFO [00000449] Port=0 RD @00
448.50ns INFO [00000450] * RD COMPARE * port=1 adr=03 act=9144CCAB exp=9144CCAB
449.50ns INFO [00000451] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE
449.50ns INFO [00000451] Port=0 RD @1C
450.50ns INFO [00000452] Port=0 WR @09=3783B0A6
451.50ns INFO [00000453] * RD COMPARE * port=0 adr=1C act=513C8636 exp=513C8636
451.50ns INFO [00000453] Port=0 WR @03=6176D503
451.50ns INFO [00000453] Port=1 RD @17
452.50ns INFO [00000454] Port=1 RD @12
453.50ns INFO [00000455] * RD COMPARE * port=1 adr=17 act=0D5A6915 exp=0D5A6915
453.50ns INFO [00000455] Port=0 RD @19
454.50ns INFO [00000456] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90
454.50ns INFO [00000456] Port=0 WR @0A=F7824DC7
454.50ns INFO [00000456] Port=0 RD @13
454.50ns INFO [00000456] Port=1 RD @19
455.50ns INFO [00000457] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69
455.50ns INFO [00000457] Port=0 RD @1B
456.50ns INFO [00000458] * RD COMPARE * port=0 adr=13 act=AA1B99D0 exp=AA1B99D0
456.50ns INFO [00000458] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69
456.50ns INFO [00000458] Port=0 WR @0F=3E080DBE
456.50ns INFO [00000458] Port=0 RD @0C
457.50ns INFO [00000459] * RD COMPARE * port=0 adr=1B act=7F6255D6 exp=7F6255D6
457.50ns INFO [00000459] Port=0 WR @1F=2DCDD317
457.50ns INFO [00000459] Port=0 RD @12
458.50ns INFO [00000460] * RD COMPARE * port=0 adr=0C act=86BBA446 exp=86BBA446
458.50ns INFO [00000460] Port=0 WR @08=E234CA75
458.50ns INFO [00000460] Port=0 RD @1E
459.50ns INFO [00000461] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
459.50ns INFO [00000461] Port=1 RD @02
460.50ns INFO [00000462] * RD COMPARE * port=0 adr=1E act=15B2CF77 exp=15B2CF77
460.50ns INFO [00000462] Port=0 RD @13
460.50ns INFO [00000462] Port=1 RD @1E
461.50ns INFO [00000463] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58
461.50ns INFO [00000463] Port=0 RD @10
462.50ns INFO [00000464] * RD COMPARE * port=0 adr=13 act=AA1B99D0 exp=AA1B99D0
462.50ns INFO [00000464] * RD COMPARE * port=1 adr=1E act=15B2CF77 exp=15B2CF77
462.50ns INFO [00000464] Port=0 WR @0C=647FDB48
462.50ns INFO [00000464] Port=0 RD @0D
462.50ns INFO [00000464] Port=1 RD @13
463.50ns INFO [00000465] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1
463.50ns INFO [00000465] Port=1 RD @06
464.50ns INFO [00000466] * RD COMPARE * port=0 adr=0D act=074D0235 exp=074D0235
464.50ns INFO [00000466] * RD COMPARE * port=1 adr=13 act=AA1B99D0 exp=AA1B99D0
464.50ns INFO [00000466] Port=0 RD @0D
465.50ns INFO [00000467] * RD COMPARE * port=1 adr=06 act=E5FABF8E exp=E5FABF8E
465.50ns INFO [00000467] Port=1 RD @00
466.50ns INFO [00000468] * RD COMPARE * port=0 adr=0D act=074D0235 exp=074D0235
466.50ns INFO [00000468] Port=0 RD @08
466.50ns INFO [00000468] Port=1 RD @13
467.50ns INFO [00000469] * RD COMPARE * port=1 adr=00 act=705A09EE exp=705A09EE
467.50ns INFO [00000469] Port=0 WR @0E=39AFCF57
468.50ns INFO [00000470] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75
468.50ns INFO [00000470] * RD COMPARE * port=1 adr=13 act=AA1B99D0 exp=AA1B99D0
468.50ns INFO [00000470] Port=0 RD @12
468.50ns INFO [00000470] Port=1 RD @02
469.50ns INFO [00000471] Port=0 WR @1E=5930939E
469.50ns INFO [00000471] Port=1 RD @00
470.50ns INFO [00000472] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
470.50ns INFO [00000472] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58
470.50ns INFO [00000472] Port=0 WR @15=54D278B8
470.50ns INFO [00000472] Port=0 RD @14
470.50ns INFO [00000472] Port=1 RD @0C
471.50ns INFO [00000473] * RD COMPARE * port=1 adr=00 act=705A09EE exp=705A09EE
472.50ns INFO [00000474] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
472.50ns INFO [00000474] * RD COMPARE * port=1 adr=0C act=647FDB48 exp=647FDB48
472.50ns INFO [00000474] Port=0 WR @18=9535988C
474.50ns INFO [00000476] Port=0 WR @05=90040AD4
474.50ns INFO [00000476] Port=1 RD @12
475.50ns INFO [00000477] Port=0 WR @1A=819CACDE
475.50ns INFO [00000477] Port=1 RD @02
476.50ns INFO [00000478] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90
476.50ns INFO [00000478] Port=0 WR @13=A55B1D72
476.50ns INFO [00000478] Port=0 RD @19
476.50ns INFO [00000478] Port=1 RD @11
477.50ns INFO [00000479] * RD COMPARE * port=1 adr=02 act=ECC10F58 exp=ECC10F58
477.50ns INFO [00000479] Port=0 WR @0D=B792BD0D
477.50ns INFO [00000479] Port=1 RD @04
478.50ns INFO [00000480] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69
478.50ns INFO [00000480] * RD COMPARE * port=1 adr=11 act=D7780140 exp=D7780140
478.50ns INFO [00000480] Port=0 WR @09=AB0A5268
478.50ns INFO [00000480] Port=0 RD @12
479.50ns INFO [00000481] * RD COMPARE * port=1 adr=04 act=97F88A26 exp=97F88A26
480.50ns INFO [00000482] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
481.50ns INFO [00000483] Port=0 WR @07=FDE8B5C5
481.50ns INFO [00000483] Port=0 RD @1C
481.50ns INFO [00000483] Port=1 RD @09
482.50ns INFO [00000484] Port=1 RD @01
483.50ns INFO [00000485] * RD COMPARE * port=0 adr=1C act=513C8636 exp=513C8636
483.50ns INFO [00000485] * RD COMPARE * port=1 adr=09 act=AB0A5268 exp=AB0A5268
484.50ns INFO [00000486] * RD COMPARE * port=1 adr=01 act=EFAF77E7 exp=EFAF77E7
485.50ns INFO [00000487] Port=1 RD @0E
486.50ns INFO [00000488] Port=0 RD @1D
487.50ns INFO [00000489] * RD COMPARE * port=1 adr=0E act=39AFCF57 exp=39AFCF57
487.50ns INFO [00000489] Port=0 RD @03
488.50ns INFO [00000490] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B
488.50ns INFO [00000490] Port=0 WR @1A=58DB56DE
489.50ns INFO [00000491] * RD COMPARE * port=0 adr=03 act=6176D503 exp=6176D503
489.50ns INFO [00000491] Port=1 RD @13
490.50ns INFO [00000492] Port=0 RD @0F
490.50ns INFO [00000492] Port=1 RD @1C
491.50ns INFO [00000493] * RD COMPARE * port=1 adr=13 act=A55B1D72 exp=A55B1D72
492.50ns INFO [00000494] * RD COMPARE * port=0 adr=0F act=3E080DBE exp=3E080DBE
492.50ns INFO [00000494] * RD COMPARE * port=1 adr=1C act=513C8636 exp=513C8636
492.50ns INFO [00000494] Port=0 WR @06=4FA30D4B
492.50ns INFO [00000494] Port=1 RD @1E
493.50ns INFO [00000495] Port=0 WR @02=8581A36D
493.50ns INFO [00000495] Port=1 RD @0F
494.50ns INFO [00000496] * RD COMPARE * port=1 adr=1E act=5930939E exp=5930939E
494.50ns INFO [00000496] Port=1 RD @08
495.50ns INFO [00000497] * RD COMPARE * port=1 adr=0F act=3E080DBE exp=3E080DBE
495.50ns INFO [00000497] Port=0 WR @07=9022C382
495.50ns INFO [00000497] Port=0 RD @12
496.50ns INFO [00000498] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
496.50ns INFO [00000498] Port=1 RD @15
497.50ns INFO [00000499] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
498.00ns INFO [00000500] [00000500] ...tick...
498.50ns INFO [00000500] * RD COMPARE * port=1 adr=15 act=54D278B8 exp=54D278B8
498.50ns INFO [00000500] Port=0 WR @0C=7508611A
499.50ns INFO [00000501] Port=0 WR @09=A141EDCF
499.50ns INFO [00000501] Port=0 RD @14
500.50ns INFO [00000502] Port=0 WR @13=CA93F408
500.50ns INFO [00000502] Port=0 RD @07
500.50ns INFO [00000502] Port=1 RD @1A
501.50ns INFO [00000503] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
502.50ns INFO [00000504] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382
502.50ns INFO [00000504] * RD COMPARE * port=1 adr=1A act=58DB56DE exp=58DB56DE
502.50ns INFO [00000504] Port=0 WR @03=B6EB8960
502.50ns INFO [00000504] Port=0 RD @01
503.50ns INFO [00000505] Port=0 WR @1F=2AA929D3
503.50ns INFO [00000505] Port=0 RD @00
504.50ns INFO [00000506] * RD COMPARE * port=0 adr=01 act=EFAF77E7 exp=EFAF77E7
504.50ns INFO [00000506] Port=0 RD @0D
505.50ns INFO [00000507] * RD COMPARE * port=0 adr=00 act=705A09EE exp=705A09EE
506.50ns INFO [00000508] * RD COMPARE * port=0 adr=0D act=B792BD0D exp=B792BD0D
507.50ns INFO [00000509] Port=0 WR @00=0319652E
510.50ns INFO [00000512] Port=0 RD @15
511.50ns INFO [00000513] Port=0 WR @06=4C9B6117
511.50ns INFO [00000513] Port=1 RD @07
512.50ns INFO [00000514] * RD COMPARE * port=0 adr=15 act=54D278B8 exp=54D278B8
513.50ns INFO [00000515] * RD COMPARE * port=1 adr=07 act=9022C382 exp=9022C382
513.50ns INFO [00000515] Port=0 WR @1C=451DAFE5
513.50ns INFO [00000515] Port=0 RD @17
514.50ns INFO [00000516] Port=1 RD @00
515.50ns INFO [00000517] * RD COMPARE * port=0 adr=17 act=0D5A6915 exp=0D5A6915
516.50ns INFO [00000518] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E
517.50ns INFO [00000519] Port=0 WR @05=0F8AEAC2
517.50ns INFO [00000519] Port=0 RD @03
518.50ns INFO [00000520] Port=0 WR @15=25190278
518.50ns INFO [00000520] Port=0 RD @00
519.50ns INFO [00000521] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960
519.50ns INFO [00000521] Port=0 RD @03
520.50ns INFO [00000522] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E
520.50ns INFO [00000522] Port=0 WR @09=13EC65A2
521.50ns INFO [00000523] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960
523.50ns INFO [00000525] Port=0 WR @1E=B5EE1A53
523.50ns INFO [00000525] Port=1 RD @09
525.50ns INFO [00000527] * RD COMPARE * port=1 adr=09 act=13EC65A2 exp=13EC65A2
525.50ns INFO [00000527] Port=1 RD @05
526.50ns INFO [00000528] Port=0 WR @1E=10500125
526.50ns INFO [00000528] Port=0 RD @0A
526.50ns INFO [00000528] Port=1 RD @1B
527.50ns INFO [00000529] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2
528.50ns INFO [00000530] * RD COMPARE * port=0 adr=0A act=F7824DC7 exp=F7824DC7
528.50ns INFO [00000530] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6
528.50ns INFO [00000530] Port=0 RD @0D
529.50ns INFO [00000531] Port=0 RD @0C
529.50ns INFO [00000531] Port=1 RD @0E
530.50ns INFO [00000532] * RD COMPARE * port=0 adr=0D act=B792BD0D exp=B792BD0D
530.50ns INFO [00000532] Port=0 WR @0E=075D1A22
530.50ns INFO [00000532] Port=0 RD @07
531.50ns INFO [00000533] * RD COMPARE * port=0 adr=0C act=7508611A exp=7508611A
531.50ns INFO [00000533] * RD COMPARE * port=1 adr=0E act=39AFCF57 exp=39AFCF57
531.50ns INFO [00000533] Port=0 RD @13
531.50ns INFO [00000533] Port=1 RD @00
532.50ns INFO [00000534] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382
532.50ns INFO [00000534] Port=0 RD @18
533.50ns INFO [00000535] * RD COMPARE * port=0 adr=13 act=CA93F408 exp=CA93F408
533.50ns INFO [00000535] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E
533.50ns INFO [00000535] Port=0 RD @1F
533.50ns INFO [00000535] Port=1 RD @06
534.50ns INFO [00000536] * RD COMPARE * port=0 adr=18 act=9535988C exp=9535988C
534.50ns INFO [00000536] Port=0 WR @15=2FEBED40
535.50ns INFO [00000537] * RD COMPARE * port=0 adr=1F act=2AA929D3 exp=2AA929D3
535.50ns INFO [00000537] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117
535.50ns INFO [00000537] Port=0 RD @14
535.50ns INFO [00000537] Port=1 RD @05
536.50ns INFO [00000538] Port=0 RD @06
536.50ns INFO [00000538] Port=1 RD @08
537.50ns INFO [00000539] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
537.50ns INFO [00000539] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2
538.50ns INFO [00000540] * RD COMPARE * port=0 adr=06 act=4C9B6117 exp=4C9B6117
538.50ns INFO [00000540] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
538.50ns INFO [00000540] Port=0 WR @17=483DF17B
540.50ns INFO [00000542] Port=0 RD @14
541.50ns INFO [00000543] Port=0 WR @18=32CEA4F7
541.50ns INFO [00000543] Port=1 RD @19
542.50ns INFO [00000544] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
543.50ns INFO [00000545] * RD COMPARE * port=1 adr=19 act=AE2D0D69 exp=AE2D0D69
543.50ns INFO [00000545] Port=0 RD @01
544.50ns INFO [00000546] Port=0 WR @0D=0567D43B
545.50ns INFO [00000547] * RD COMPARE * port=0 adr=01 act=EFAF77E7 exp=EFAF77E7
545.50ns INFO [00000547] Port=0 WR @0E=54674895
545.50ns INFO [00000547] Port=0 RD @1B
546.50ns INFO [00000548] Port=0 WR @1C=F1F83858
547.50ns INFO [00000549] * RD COMPARE * port=0 adr=1B act=7F6255D6 exp=7F6255D6
547.50ns INFO [00000549] Port=0 WR @01=5B0A83F3
548.50ns INFO [00000550] Port=0 WR @18=B6E63C0E
548.50ns INFO [00000550] Port=1 RD @1F
549.50ns INFO [00000551] Port=0 RD @07
549.50ns INFO [00000551] Port=1 RD @0C
550.50ns INFO [00000552] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3
550.50ns INFO [00000552] Port=0 WR @04=366394FF
551.50ns INFO [00000553] * RD COMPARE * port=0 adr=07 act=9022C382 exp=9022C382
551.50ns INFO [00000553] * RD COMPARE * port=1 adr=0C act=7508611A exp=7508611A
552.50ns INFO [00000554] Port=0 WR @07=0FAF2035
552.50ns INFO [00000554] Port=0 RD @12
553.50ns INFO [00000555] Port=0 WR @0F=F0E77F52
553.50ns INFO [00000555] Port=0 RD @03
554.50ns INFO [00000556] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
554.50ns INFO [00000556] Port=1 RD @1E
555.50ns INFO [00000557] * RD COMPARE * port=0 adr=03 act=B6EB8960 exp=B6EB8960
555.50ns INFO [00000557] Port=0 RD @02
556.50ns INFO [00000558] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125
556.50ns INFO [00000558] Port=0 WR @0F=42A9EE34
556.50ns INFO [00000558] Port=0 RD @15
557.50ns INFO [00000559] * RD COMPARE * port=0 adr=02 act=8581A36D exp=8581A36D
557.50ns INFO [00000559] Port=0 RD @19
557.50ns INFO [00000559] Port=1 RD @0E
558.50ns INFO [00000560] * RD COMPARE * port=0 adr=15 act=2FEBED40 exp=2FEBED40
558.50ns INFO [00000560] Port=0 WR @03=C737CB38
558.50ns INFO [00000560] Port=0 RD @07
559.50ns INFO [00000561] * RD COMPARE * port=0 adr=19 act=AE2D0D69 exp=AE2D0D69
559.50ns INFO [00000561] * RD COMPARE * port=1 adr=0E act=54674895 exp=54674895
560.50ns INFO [00000562] * RD COMPARE * port=0 adr=07 act=0FAF2035 exp=0FAF2035
560.50ns INFO [00000562] Port=1 RD @1D
561.50ns INFO [00000563] Port=0 WR @0E=D5D74B55
562.50ns INFO [00000564] * RD COMPARE * port=1 adr=1D act=9C3E8F5B exp=9C3E8F5B
563.50ns INFO [00000565] Port=0 RD @13
564.50ns INFO [00000566] Port=0 WR @02=38A2986F
564.50ns INFO [00000566] Port=1 RD @01
565.50ns INFO [00000567] * RD COMPARE * port=0 adr=13 act=CA93F408 exp=CA93F408
565.50ns INFO [00000567] Port=0 WR @0D=9C7D2866
566.50ns INFO [00000568] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
566.50ns INFO [00000568] Port=0 WR @1A=FC39A170
566.50ns INFO [00000568] Port=1 RD @1B
568.50ns INFO [00000570] * RD COMPARE * port=1 adr=1B act=7F6255D6 exp=7F6255D6
568.50ns INFO [00000570] Port=0 RD @0C
570.50ns INFO [00000572] * RD COMPARE * port=0 adr=0C act=7508611A exp=7508611A
570.50ns INFO [00000572] Port=0 RD @1D
571.50ns INFO [00000573] Port=0 WR @19=3B809191
571.50ns INFO [00000573] Port=1 RD @1E
572.50ns INFO [00000574] * RD COMPARE * port=0 adr=1D act=9C3E8F5B exp=9C3E8F5B
573.50ns INFO [00000575] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125
573.50ns INFO [00000575] Port=0 WR @13=6871ECF2
573.50ns INFO [00000575] Port=0 RD @01
573.50ns INFO [00000575] Port=1 RD @17
574.50ns INFO [00000576] Port=0 WR @16=B888BB63
574.50ns INFO [00000576] Port=1 RD @05
575.50ns INFO [00000577] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3
575.50ns INFO [00000577] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B
575.50ns INFO [00000577] Port=0 WR @18=EA472A46
576.50ns INFO [00000578] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2
577.50ns INFO [00000579] Port=0 WR @02=DF75EAC6
577.50ns INFO [00000579] Port=0 RD @09
577.50ns INFO [00000579] Port=1 RD @12
578.50ns INFO [00000580] Port=0 WR @0A=96585474
579.50ns INFO [00000581] * RD COMPARE * port=0 adr=09 act=13EC65A2 exp=13EC65A2
579.50ns INFO [00000581] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90
580.50ns INFO [00000582] Port=0 WR @0E=76AB2312
580.50ns INFO [00000582] Port=1 RD @10
581.50ns INFO [00000583] Port=0 WR @03=CACDC0F2
582.50ns INFO [00000584] * RD COMPARE * port=1 adr=10 act=4FB8ECD1 exp=4FB8ECD1
582.50ns INFO [00000584] Port=1 RD @17
584.50ns INFO [00000586] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B
584.50ns INFO [00000586] Port=0 RD @00
586.50ns INFO [00000588] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E
586.50ns INFO [00000588] Port=1 RD @18
588.50ns INFO [00000590] * RD COMPARE * port=1 adr=18 act=EA472A46 exp=EA472A46
588.50ns INFO [00000590] Port=0 RD @18
589.50ns INFO [00000591] Port=1 RD @03
590.50ns INFO [00000592] * RD COMPARE * port=0 adr=18 act=EA472A46 exp=EA472A46
590.50ns INFO [00000592] Port=0 RD @19
591.50ns INFO [00000593] * RD COMPARE * port=1 adr=03 act=CACDC0F2 exp=CACDC0F2
591.50ns INFO [00000593] Port=0 WR @18=8932D612
592.50ns INFO [00000594] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191
593.50ns INFO [00000595] Port=1 RD @1A
594.50ns INFO [00000596] Port=1 RD @01
595.50ns INFO [00000597] * RD COMPARE * port=1 adr=1A act=FC39A170 exp=FC39A170
595.50ns INFO [00000597] Port=1 RD @08
596.50ns INFO [00000598] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
596.50ns INFO [00000598] Port=0 RD @0F
597.50ns INFO [00000599] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
597.50ns INFO [00000599] Port=0 WR @1D=7778404B
597.50ns INFO [00000599] Port=0 RD @02
597.50ns INFO [00000599] Port=1 RD @00
598.00ns INFO [00000600] [00000600] ...tick...
598.50ns INFO [00000600] * RD COMPARE * port=0 adr=0F act=42A9EE34 exp=42A9EE34
599.50ns INFO [00000601] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6
599.50ns INFO [00000601] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E
600.50ns INFO [00000602] Port=0 RD @1A
602.50ns INFO [00000604] * RD COMPARE * port=0 adr=1A act=FC39A170 exp=FC39A170
602.50ns INFO [00000604] Port=0 WR @1B=BF2A12EC
602.50ns INFO [00000604] Port=1 RD @16
603.50ns INFO [00000605] Port=0 WR @0F=85272035
603.50ns INFO [00000605] Port=1 RD @16
604.50ns INFO [00000606] * RD COMPARE * port=1 adr=16 act=B888BB63 exp=B888BB63
604.50ns INFO [00000606] Port=0 RD @1B
604.50ns INFO [00000606] Port=1 RD @0C
605.50ns INFO [00000607] * RD COMPARE * port=1 adr=16 act=B888BB63 exp=B888BB63
605.50ns INFO [00000607] Port=0 WR @18=646111CD
605.50ns INFO [00000607] Port=0 RD @19
605.50ns INFO [00000607] Port=1 RD @06
606.50ns INFO [00000608] * RD COMPARE * port=0 adr=1B act=BF2A12EC exp=BF2A12EC
606.50ns INFO [00000608] * RD COMPARE * port=1 adr=0C act=7508611A exp=7508611A
606.50ns INFO [00000608] Port=0 RD @1D
606.50ns INFO [00000608] Port=1 RD @08
607.50ns INFO [00000609] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191
607.50ns INFO [00000609] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117
607.50ns INFO [00000609] Port=0 RD @07
607.50ns INFO [00000609] Port=1 RD @1E
608.50ns INFO [00000610] * RD COMPARE * port=0 adr=1D act=7778404B exp=7778404B
608.50ns INFO [00000610] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
608.50ns INFO [00000610] Port=0 RD @10
608.50ns INFO [00000610] Port=1 RD @14
609.50ns INFO [00000611] * RD COMPARE * port=0 adr=07 act=0FAF2035 exp=0FAF2035
609.50ns INFO [00000611] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125
610.50ns INFO [00000612] * RD COMPARE * port=0 adr=10 act=4FB8ECD1 exp=4FB8ECD1
610.50ns INFO [00000612] * RD COMPARE * port=1 adr=14 act=E99A5FA0 exp=E99A5FA0
610.50ns INFO [00000612] Port=0 WR @0C=9BB58951
611.50ns INFO [00000613] Port=0 RD @00
611.50ns INFO [00000613] Port=1 RD @0C
612.50ns INFO [00000614] Port=1 RD @1D
613.50ns INFO [00000615] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E
613.50ns INFO [00000615] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951
613.50ns INFO [00000615] Port=0 WR @0D=61BB2994
613.50ns INFO [00000615] Port=0 RD @12
614.50ns INFO [00000616] * RD COMPARE * port=1 adr=1D act=7778404B exp=7778404B
614.50ns INFO [00000616] Port=0 RD @1E
614.50ns INFO [00000616] Port=1 RD @05
615.50ns INFO [00000617] * RD COMPARE * port=0 adr=12 act=E70F3F90 exp=E70F3F90
615.50ns INFO [00000617] Port=0 RD @06
616.50ns INFO [00000618] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125
616.50ns INFO [00000618] * RD COMPARE * port=1 adr=05 act=0F8AEAC2 exp=0F8AEAC2
616.50ns INFO [00000618] Port=1 RD @12
617.50ns INFO [00000619] * RD COMPARE * port=0 adr=06 act=4C9B6117 exp=4C9B6117
617.50ns INFO [00000619] Port=1 RD @19
618.50ns INFO [00000620] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90
618.50ns INFO [00000620] Port=1 RD @0C
619.50ns INFO [00000621] * RD COMPARE * port=1 adr=19 act=3B809191 exp=3B809191
619.50ns INFO [00000621] Port=1 RD @1F
620.50ns INFO [00000622] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951
620.50ns INFO [00000622] Port=0 WR @09=A94AF864
620.50ns INFO [00000622] Port=1 RD @0F
621.50ns INFO [00000623] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3
621.50ns INFO [00000623] Port=0 WR @10=6B8A48DA
621.50ns INFO [00000623] Port=1 RD @06
622.50ns INFO [00000624] * RD COMPARE * port=1 adr=0F act=85272035 exp=85272035
622.50ns INFO [00000624] Port=1 RD @01
623.50ns INFO [00000625] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117
624.50ns INFO [00000626] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
624.50ns INFO [00000626] Port=0 WR @0F=C058A510
625.50ns INFO [00000627] Port=0 WR @11=71428622
626.50ns INFO [00000628] Port=0 RD @08
627.50ns INFO [00000629] Port=1 RD @01
628.50ns INFO [00000630] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75
628.50ns INFO [00000630] Port=0 WR @1A=E61692AF
629.50ns INFO [00000631] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
629.50ns INFO [00000631] Port=0 RD @0A
630.50ns INFO [00000632] Port=0 RD @1E
630.50ns INFO [00000632] Port=1 RD @10
631.50ns INFO [00000633] * RD COMPARE * port=0 adr=0A act=96585474 exp=96585474
632.50ns INFO [00000634] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125
632.50ns INFO [00000634] * RD COMPARE * port=1 adr=10 act=6B8A48DA exp=6B8A48DA
632.50ns INFO [00000634] Port=1 RD @08
634.50ns INFO [00000636] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
635.50ns INFO [00000637] Port=0 RD @10
635.50ns INFO [00000637] Port=1 RD @1F
636.50ns INFO [00000638] Port=0 WR @05=6F40ECB5
636.50ns INFO [00000638] Port=0 RD @10
637.50ns INFO [00000639] * RD COMPARE * port=0 adr=10 act=6B8A48DA exp=6B8A48DA
637.50ns INFO [00000639] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3
638.50ns INFO [00000640] * RD COMPARE * port=0 adr=10 act=6B8A48DA exp=6B8A48DA
638.50ns INFO [00000640] Port=0 RD @19
638.50ns INFO [00000640] Port=1 RD @08
639.50ns INFO [00000641] Port=0 WR @18=5FDE9A62
640.50ns INFO [00000642] * RD COMPARE * port=0 adr=19 act=3B809191 exp=3B809191
640.50ns INFO [00000642] * RD COMPARE * port=1 adr=08 act=E234CA75 exp=E234CA75
640.50ns INFO [00000642] Port=0 WR @0A=BFF1A335
640.50ns INFO [00000642] Port=0 RD @02
641.50ns INFO [00000643] Port=0 WR @0D=ECFB7E75
641.50ns INFO [00000643] Port=1 RD @17
642.50ns INFO [00000644] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6
642.50ns INFO [00000644] Port=0 WR @05=98CE82CB
643.50ns INFO [00000645] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B
643.50ns INFO [00000645] Port=1 RD @18
645.50ns INFO [00000647] * RD COMPARE * port=1 adr=18 act=5FDE9A62 exp=5FDE9A62
646.50ns INFO [00000648] Port=0 RD @14
648.50ns INFO [00000650] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
648.50ns INFO [00000650] Port=0 RD @1D
648.50ns INFO [00000650] Port=1 RD @07
649.50ns INFO [00000651] Port=1 RD @0C
650.50ns INFO [00000652] * RD COMPARE * port=0 adr=1D act=7778404B exp=7778404B
650.50ns INFO [00000652] * RD COMPARE * port=1 adr=07 act=0FAF2035 exp=0FAF2035
651.50ns INFO [00000653] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951
652.50ns INFO [00000654] Port=0 RD @05
653.50ns INFO [00000655] Port=0 WR @05=FD165360
653.50ns INFO [00000655] Port=0 RD @17
654.50ns INFO [00000656] * RD COMPARE * port=0 adr=05 act=98CE82CB exp=98CE82CB
654.50ns INFO [00000656] Port=0 WR @0E=AA10DA92
654.50ns INFO [00000656] Port=0 RD @08
654.50ns INFO [00000656] Port=1 RD @0C
655.50ns INFO [00000657] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B
655.50ns INFO [00000657] Port=0 WR @03=279C7AC8
655.50ns INFO [00000657] Port=0 RD @1C
655.50ns INFO [00000657] Port=1 RD @04
656.50ns INFO [00000658] * RD COMPARE * port=0 adr=08 act=E234CA75 exp=E234CA75
656.50ns INFO [00000658] * RD COMPARE * port=1 adr=0C act=9BB58951 exp=9BB58951
656.50ns INFO [00000658] Port=0 WR @1A=5E131860
657.50ns INFO [00000659] * RD COMPARE * port=0 adr=1C act=F1F83858 exp=F1F83858
657.50ns INFO [00000659] * RD COMPARE * port=1 adr=04 act=366394FF exp=366394FF
657.50ns INFO [00000659] Port=0 WR @05=DD74ACF0
657.50ns INFO [00000659] Port=0 RD @1B
658.50ns INFO [00000660] Port=0 RD @00
659.50ns INFO [00000661] * RD COMPARE * port=0 adr=1B act=BF2A12EC exp=BF2A12EC
659.50ns INFO [00000661] Port=0 RD @1E
659.50ns INFO [00000661] Port=1 RD @17
660.50ns INFO [00000662] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E
660.50ns INFO [00000662] Port=0 WR @04=DB7FFD5A
661.50ns INFO [00000663] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125
661.50ns INFO [00000663] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B
661.50ns INFO [00000663] Port=0 WR @04=C7062ACE
662.50ns INFO [00000664] Port=0 WR @07=8EB2887F
662.50ns INFO [00000664] Port=1 RD @1E
663.50ns INFO [00000665] Port=0 WR @13=9094262E
663.50ns INFO [00000665] Port=1 RD @1F
664.50ns INFO [00000666] * RD COMPARE * port=1 adr=1E act=10500125 exp=10500125
664.50ns INFO [00000666] Port=0 RD @0E
665.50ns INFO [00000667] * RD COMPARE * port=1 adr=1F act=2AA929D3 exp=2AA929D3
665.50ns INFO [00000667] Port=0 WR @09=67C71638
665.50ns INFO [00000667] Port=0 RD @14
666.50ns INFO [00000668] * RD COMPARE * port=0 adr=0E act=AA10DA92 exp=AA10DA92
666.50ns INFO [00000668] Port=1 RD @0F
667.50ns INFO [00000669] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
667.50ns INFO [00000669] Port=0 WR @08=21EFD313
668.50ns INFO [00000670] * RD COMPARE * port=1 adr=0F act=C058A510 exp=C058A510
668.50ns INFO [00000670] Port=1 RD @06
670.50ns INFO [00000672] * RD COMPARE * port=1 adr=06 act=4C9B6117 exp=4C9B6117
670.50ns INFO [00000672] Port=0 RD @05
671.50ns INFO [00000673] Port=0 WR @0C=E3F779E9
672.50ns INFO [00000674] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0
672.50ns INFO [00000674] Port=1 RD @07
673.50ns INFO [00000675] Port=0 WR @1F=AF399C10
673.50ns INFO [00000675] Port=1 RD @04
674.50ns INFO [00000676] * RD COMPARE * port=1 adr=07 act=8EB2887F exp=8EB2887F
674.50ns INFO [00000676] Port=0 WR @19=9186FA26
675.50ns INFO [00000677] * RD COMPARE * port=1 adr=04 act=C7062ACE exp=C7062ACE
675.50ns INFO [00000677] Port=0 WR @08=20C39847
676.50ns INFO [00000678] Port=0 WR @0E=4AA7C180
676.50ns INFO [00000678] Port=0 RD @0B
677.50ns INFO [00000679] Port=0 RD @0D
678.50ns INFO [00000680] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
679.50ns INFO [00000681] * RD COMPARE * port=0 adr=0D act=ECFB7E75 exp=ECFB7E75
679.50ns INFO [00000681] Port=0 RD @01
680.50ns INFO [00000682] Port=0 WR @1D=F4460034
680.50ns INFO [00000682] Port=0 RD @09
681.50ns INFO [00000683] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3
682.50ns INFO [00000684] * RD COMPARE * port=0 adr=09 act=67C71638 exp=67C71638
682.50ns INFO [00000684] Port=0 WR @0D=87C84ADC
683.50ns INFO [00000685] Port=0 WR @0C=66938A1A
683.50ns INFO [00000685] Port=0 RD @05
684.50ns INFO [00000686] Port=0 RD @1D
684.50ns INFO [00000686] Port=1 RD @1C
685.50ns INFO [00000687] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0
685.50ns INFO [00000687] Port=0 WR @10=3A4C01AA
685.50ns INFO [00000687] Port=1 RD @09
686.50ns INFO [00000688] * RD COMPARE * port=0 adr=1D act=F4460034 exp=F4460034
686.50ns INFO [00000688] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858
686.50ns INFO [00000688] Port=0 RD @04
687.50ns INFO [00000689] * RD COMPARE * port=1 adr=09 act=67C71638 exp=67C71638
687.50ns INFO [00000689] Port=0 WR @1A=BCF7869E
687.50ns INFO [00000689] Port=1 RD @0B
688.50ns INFO [00000690] * RD COMPARE * port=0 adr=04 act=C7062ACE exp=C7062ACE
688.50ns INFO [00000690] Port=0 WR @0E=2CA22B55
689.50ns INFO [00000691] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E
689.50ns INFO [00000691] Port=0 RD @02
689.50ns INFO [00000691] Port=1 RD @0D
690.50ns INFO [00000692] Port=1 RD @1B
691.50ns INFO [00000693] * RD COMPARE * port=0 adr=02 act=DF75EAC6 exp=DF75EAC6
691.50ns INFO [00000693] * RD COMPARE * port=1 adr=0D act=87C84ADC exp=87C84ADC
691.50ns INFO [00000693] Port=1 RD @00
692.50ns INFO [00000694] * RD COMPARE * port=1 adr=1B act=BF2A12EC exp=BF2A12EC
692.50ns INFO [00000694] Port=0 RD @0B
693.50ns INFO [00000695] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E
693.50ns INFO [00000695] Port=0 WR @1B=1ED82E77
694.50ns INFO [00000696] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
694.50ns INFO [00000696] Port=0 RD @0C
696.50ns INFO [00000698] * RD COMPARE * port=0 adr=0C act=66938A1A exp=66938A1A
696.50ns INFO [00000698] Port=0 WR @09=58E9A19E
696.50ns INFO [00000698] Port=0 RD @14
698.00ns INFO [00000700] [00000700] ...tick...
698.50ns INFO [00000700] * RD COMPARE * port=0 adr=14 act=E99A5FA0 exp=E99A5FA0
698.50ns INFO [00000700] Port=0 RD @00
698.50ns INFO [00000700] Port=1 RD @11
700.50ns INFO [00000702] * RD COMPARE * port=0 adr=00 act=0319652E exp=0319652E
700.50ns INFO [00000702] * RD COMPARE * port=1 adr=11 act=71428622 exp=71428622
700.50ns INFO [00000702] Port=1 RD @12
701.50ns INFO [00000703] Port=0 WR @1A=50FEDCD7
701.50ns INFO [00000703] Port=0 RD @09
702.50ns INFO [00000704] * RD COMPARE * port=1 adr=12 act=E70F3F90 exp=E70F3F90
703.50ns INFO [00000705] * RD COMPARE * port=0 adr=09 act=58E9A19E exp=58E9A19E
703.50ns INFO [00000705] Port=0 RD @1D
705.50ns INFO [00000707] * RD COMPARE * port=0 adr=1D act=F4460034 exp=F4460034
705.50ns INFO [00000707] Port=0 RD @1F
705.50ns INFO [00000707] Port=1 RD @02
707.50ns INFO [00000709] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10
707.50ns INFO [00000709] * RD COMPARE * port=1 adr=02 act=DF75EAC6 exp=DF75EAC6
707.50ns INFO [00000709] Port=0 WR @16=7073619B
707.50ns INFO [00000709] Port=0 RD @0A
708.50ns INFO [00000710] Port=0 WR @12=F3836CAC
708.50ns INFO [00000710] Port=0 RD @17
709.50ns INFO [00000711] * RD COMPARE * port=0 adr=0A act=BFF1A335 exp=BFF1A335
709.50ns INFO [00000711] Port=1 RD @0D
710.50ns INFO [00000712] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B
710.50ns INFO [00000712] Port=0 WR @06=1B4C596F
711.50ns INFO [00000713] * RD COMPARE * port=1 adr=0D act=87C84ADC exp=87C84ADC
712.50ns INFO [00000714] Port=0 WR @1B=A79CC651
713.50ns INFO [00000715] Port=1 RD @09
715.50ns INFO [00000717] * RD COMPARE * port=1 adr=09 act=58E9A19E exp=58E9A19E
715.50ns INFO [00000717] Port=0 WR @09=92F1C8D9
716.50ns INFO [00000718] Port=0 RD @10
716.50ns INFO [00000718] Port=1 RD @19
717.50ns INFO [00000719] Port=1 RD @03
718.50ns INFO [00000720] * RD COMPARE * port=0 adr=10 act=3A4C01AA exp=3A4C01AA
718.50ns INFO [00000720] * RD COMPARE * port=1 adr=19 act=9186FA26 exp=9186FA26
718.50ns INFO [00000720] Port=0 WR @08=9D04DD13
719.50ns INFO [00000721] * RD COMPARE * port=1 adr=03 act=279C7AC8 exp=279C7AC8
720.50ns INFO [00000722] Port=0 WR @10=D510A9D1
720.50ns INFO [00000722] Port=1 RD @0C
721.50ns INFO [00000723] Port=0 WR @03=2069EEE7
721.50ns INFO [00000723] Port=0 RD @1E
721.50ns INFO [00000723] Port=1 RD @0A
722.50ns INFO [00000724] * RD COMPARE * port=1 adr=0C act=66938A1A exp=66938A1A
722.50ns INFO [00000724] Port=0 WR @0F=8D1F1B15
722.50ns INFO [00000724] Port=1 RD @08
723.50ns INFO [00000725] * RD COMPARE * port=0 adr=1E act=10500125 exp=10500125
723.50ns INFO [00000725] * RD COMPARE * port=1 adr=0A act=BFF1A335 exp=BFF1A335
723.50ns INFO [00000725] Port=0 RD @05
724.50ns INFO [00000726] * RD COMPARE * port=1 adr=08 act=9D04DD13 exp=9D04DD13
724.50ns INFO [00000726] Port=0 WR @0D=E14B642C
724.50ns INFO [00000726] Port=0 RD @0C
725.50ns INFO [00000727] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0
725.50ns INFO [00000727] Port=1 RD @1A
726.50ns INFO [00000728] * RD COMPARE * port=0 adr=0C act=66938A1A exp=66938A1A
726.50ns INFO [00000728] Port=0 WR @06=102D0918
726.50ns INFO [00000728] Port=0 RD @0D
726.50ns INFO [00000728] Port=1 RD @0E
727.50ns INFO [00000729] * RD COMPARE * port=1 adr=1A act=50FEDCD7 exp=50FEDCD7
727.50ns INFO [00000729] Port=0 WR @02=1B8EF24F
727.50ns INFO [00000729] Port=0 RD @0D
727.50ns INFO [00000729] Port=1 RD @0F
728.50ns INFO [00000730] * RD COMPARE * port=0 adr=0D act=E14B642C exp=E14B642C
728.50ns INFO [00000730] * RD COMPARE * port=1 adr=0E act=2CA22B55 exp=2CA22B55
728.50ns INFO [00000730] Port=1 RD @17
729.50ns INFO [00000731] * RD COMPARE * port=0 adr=0D act=E14B642C exp=E14B642C
729.50ns INFO [00000731] * RD COMPARE * port=1 adr=0F act=8D1F1B15 exp=8D1F1B15
729.50ns INFO [00000731] Port=0 WR @0E=8B7E8EB5
730.50ns INFO [00000732] * RD COMPARE * port=1 adr=17 act=483DF17B exp=483DF17B
730.50ns INFO [00000732] Port=0 WR @0C=BEF1B518
731.50ns INFO [00000733] Port=0 RD @19
732.50ns INFO [00000734] Port=0 WR @0D=CE20D381
732.50ns INFO [00000734] Port=0 RD @0F
733.50ns INFO [00000735] * RD COMPARE * port=0 adr=19 act=9186FA26 exp=9186FA26
733.50ns INFO [00000735] Port=0 WR @13=2AD1CC05
733.50ns INFO [00000735] Port=1 RD @1A
734.50ns INFO [00000736] * RD COMPARE * port=0 adr=0F act=8D1F1B15 exp=8D1F1B15
735.50ns INFO [00000737] * RD COMPARE * port=1 adr=1A act=50FEDCD7 exp=50FEDCD7
735.50ns INFO [00000737] Port=0 RD @1B
736.50ns INFO [00000738] Port=0 RD @1F
737.50ns INFO [00000739] * RD COMPARE * port=0 adr=1B act=A79CC651 exp=A79CC651
737.50ns INFO [00000739] Port=0 WR @1D=945CDCCA
738.50ns INFO [00000740] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10
740.50ns INFO [00000742] Port=0 WR @0C=5B7D61EC
740.50ns INFO [00000742] Port=0 RD @17
741.50ns INFO [00000743] Port=0 WR @0E=E34C3839
741.50ns INFO [00000743] Port=0 RD @19
741.50ns INFO [00000743] Port=1 RD @02
742.50ns INFO [00000744] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B
743.50ns INFO [00000745] * RD COMPARE * port=0 adr=19 act=9186FA26 exp=9186FA26
743.50ns INFO [00000745] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F
743.50ns INFO [00000745] Port=0 RD @1A
744.50ns INFO [00000746] Port=0 WR @09=F32896F6
744.50ns INFO [00000746] Port=1 RD @1C
745.50ns INFO [00000747] * RD COMPARE * port=0 adr=1A act=50FEDCD7 exp=50FEDCD7
746.50ns INFO [00000748] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858
747.50ns INFO [00000749] Port=1 RD @03
748.50ns INFO [00000750] Port=0 WR @19=10496163
748.50ns INFO [00000750] Port=0 RD @1D
748.50ns INFO [00000750] Port=1 RD @08
749.50ns INFO [00000751] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7
749.50ns INFO [00000751] Port=0 RD @03
750.50ns INFO [00000752] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA
750.50ns INFO [00000752] * RD COMPARE * port=1 adr=08 act=9D04DD13 exp=9D04DD13
751.50ns INFO [00000753] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7
751.50ns INFO [00000753] Port=0 RD @03
752.50ns INFO [00000754] Port=1 RD @10
753.50ns INFO [00000755] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7
753.50ns INFO [00000755] Port=0 WR @0D=8871A209
753.50ns INFO [00000755] Port=0 RD @01
753.50ns INFO [00000755] Port=1 RD @1F
754.50ns INFO [00000756] * RD COMPARE * port=1 adr=10 act=D510A9D1 exp=D510A9D1
755.50ns INFO [00000757] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3
755.50ns INFO [00000757] * RD COMPARE * port=1 adr=1F act=AF399C10 exp=AF399C10
755.50ns INFO [00000757] Port=0 RD @19
757.50ns INFO [00000759] * RD COMPARE * port=0 adr=19 act=10496163 exp=10496163
757.50ns INFO [00000759] Port=1 RD @1D
758.50ns INFO [00000760] Port=0 WR @08=A709BABE
758.50ns INFO [00000760] Port=1 RD @05
759.50ns INFO [00000761] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
759.50ns INFO [00000761] Port=0 WR @0A=CDAFA51E
759.50ns INFO [00000761] Port=1 RD @08
760.50ns INFO [00000762] * RD COMPARE * port=1 adr=05 act=DD74ACF0 exp=DD74ACF0
760.50ns INFO [00000762] Port=0 RD @0E
761.50ns INFO [00000763] * RD COMPARE * port=1 adr=08 act=A709BABE exp=A709BABE
761.50ns INFO [00000763] Port=0 WR @08=DB0BC2B1
762.50ns INFO [00000764] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839
763.50ns INFO [00000765] Port=0 RD @10
763.50ns INFO [00000765] Port=1 RD @1D
764.50ns INFO [00000766] Port=0 WR @04=12FE6095
764.50ns INFO [00000766] Port=1 RD @01
765.50ns INFO [00000767] * RD COMPARE * port=0 adr=10 act=D510A9D1 exp=D510A9D1
765.50ns INFO [00000767] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
765.50ns INFO [00000767] Port=0 RD @17
766.50ns INFO [00000768] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
766.50ns INFO [00000768] Port=1 RD @07
767.50ns INFO [00000769] * RD COMPARE * port=0 adr=17 act=483DF17B exp=483DF17B
768.50ns INFO [00000770] * RD COMPARE * port=1 adr=07 act=8EB2887F exp=8EB2887F
768.50ns INFO [00000770] Port=0 WR @1A=D17BE87A
769.50ns INFO [00000771] Port=0 WR @17=0917589F
769.50ns INFO [00000771] Port=1 RD @03
770.50ns INFO [00000772] Port=0 WR @1E=B02DD91F
770.50ns INFO [00000772] Port=0 RD @17
771.50ns INFO [00000773] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7
772.50ns INFO [00000774] * RD COMPARE * port=0 adr=17 act=0917589F exp=0917589F
773.50ns INFO [00000775] Port=1 RD @0F
775.50ns INFO [00000777] * RD COMPARE * port=1 adr=0F act=8D1F1B15 exp=8D1F1B15
775.50ns INFO [00000777] Port=0 RD @15
775.50ns INFO [00000777] Port=1 RD @15
776.50ns INFO [00000778] Port=0 RD @1F
776.50ns INFO [00000778] Port=1 RD @1A
777.50ns INFO [00000779] * RD COMPARE * port=0 adr=15 act=2FEBED40 exp=2FEBED40
777.50ns INFO [00000779] * RD COMPARE * port=1 adr=15 act=2FEBED40 exp=2FEBED40
778.50ns INFO [00000780] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10
778.50ns INFO [00000780] * RD COMPARE * port=1 adr=1A act=D17BE87A exp=D17BE87A
778.50ns INFO [00000780] Port=0 WR @04=54CD639A
780.50ns INFO [00000782] Port=0 WR @14=DA9AF0DA
780.50ns INFO [00000782] Port=0 RD @09
780.50ns INFO [00000782] Port=1 RD @00
781.50ns INFO [00000783] Port=0 WR @18=36C7C5D7
782.50ns INFO [00000784] * RD COMPARE * port=0 adr=09 act=F32896F6 exp=F32896F6
782.50ns INFO [00000784] * RD COMPARE * port=1 adr=00 act=0319652E exp=0319652E
782.50ns INFO [00000784] Port=0 WR @16=78158DC5
783.50ns INFO [00000785] Port=0 WR @00=44A6F033
783.50ns INFO [00000785] Port=1 RD @1D
784.50ns INFO [00000786] Port=1 RD @05
785.50ns INFO [00000787] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
785.50ns INFO [00000787] Port=0 WR @10=3DED0720
786.50ns INFO [00000788] * RD COMPARE * port=1 adr=05 act=DD74ACF0 exp=DD74ACF0
786.50ns INFO [00000788] Port=0 WR @15=77598EAA
786.50ns INFO [00000788] Port=0 RD @05
787.50ns INFO [00000789] Port=0 RD @08
787.50ns INFO [00000789] Port=1 RD @12
788.50ns INFO [00000790] * RD COMPARE * port=0 adr=05 act=DD74ACF0 exp=DD74ACF0
788.50ns INFO [00000790] Port=0 WR @1A=3B7064F0
788.50ns INFO [00000790] Port=1 RD @1D
789.50ns INFO [00000791] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1
789.50ns INFO [00000791] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC
789.50ns INFO [00000791] Port=1 RD @04
790.50ns INFO [00000792] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
790.50ns INFO [00000792] Port=0 RD @06
790.50ns INFO [00000792] Port=1 RD @1A
791.50ns INFO [00000793] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A
791.50ns INFO [00000793] Port=0 RD @0A
792.50ns INFO [00000794] * RD COMPARE * port=0 adr=06 act=102D0918 exp=102D0918
792.50ns INFO [00000794] * RD COMPARE * port=1 adr=1A act=3B7064F0 exp=3B7064F0
792.50ns INFO [00000794] Port=1 RD @0E
793.50ns INFO [00000795] * RD COMPARE * port=0 adr=0A act=CDAFA51E exp=CDAFA51E
793.50ns INFO [00000795] Port=0 RD @13
794.50ns INFO [00000796] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839
794.50ns INFO [00000796] Port=0 RD @08
795.50ns INFO [00000797] * RD COMPARE * port=0 adr=13 act=2AD1CC05 exp=2AD1CC05
795.50ns INFO [00000797] Port=0 WR @0A=C5A5E3F8
796.50ns INFO [00000798] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1
796.50ns INFO [00000798] Port=0 WR @0D=BD1BB43F
797.50ns INFO [00000799] Port=0 WR @06=C5B4B3A7
797.50ns INFO [00000799] Port=1 RD @1B
798.00ns INFO [00000800] [00000800] ...tick...
798.50ns INFO [00000800] Port=0 WR @0D=CBBD8737
799.50ns INFO [00000801] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651
799.50ns INFO [00000801] Port=1 RD @1B
800.50ns INFO [00000802] Port=0 WR @1A=1A06E9E2
800.50ns INFO [00000802] Port=0 RD @13
801.50ns INFO [00000803] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651
802.50ns INFO [00000804] * RD COMPARE * port=0 adr=13 act=2AD1CC05 exp=2AD1CC05
802.50ns INFO [00000804] Port=0 WR @10=8F178159
802.50ns INFO [00000804] Port=1 RD @0E
803.50ns INFO [00000805] Port=1 RD @1B
804.50ns INFO [00000806] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839
804.50ns INFO [00000806] Port=0 WR @05=2158E003
804.50ns INFO [00000806] Port=0 RD @09
805.50ns INFO [00000807] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651
806.50ns INFO [00000808] * RD COMPARE * port=0 adr=09 act=F32896F6 exp=F32896F6
806.50ns INFO [00000808] Port=1 RD @10
808.50ns INFO [00000810] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159
808.50ns INFO [00000810] Port=0 WR @06=D65AA282
809.50ns INFO [00000811] Port=0 RD @08
810.50ns INFO [00000812] Port=0 WR @00=CEF41B35
811.50ns INFO [00000813] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1
811.50ns INFO [00000813] Port=0 WR @14=FD72ED59
811.50ns INFO [00000813] Port=1 RD @1F
812.50ns INFO [00000814] Port=0 WR @0C=F71789F5
813.50ns INFO [00000815] * RD COMPARE * port=1 adr=1F act=AF399C10 exp=AF399C10
813.50ns INFO [00000815] Port=0 RD @05
813.50ns INFO [00000815] Port=1 RD @04
814.50ns INFO [00000816] Port=0 WR @1A=CD39E1A0
814.50ns INFO [00000816] Port=0 RD @0B
815.50ns INFO [00000817] * RD COMPARE * port=0 adr=05 act=2158E003 exp=2158E003
815.50ns INFO [00000817] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A
815.50ns INFO [00000817] Port=0 WR @1A=2B0C8DEA
816.50ns INFO [00000818] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
816.50ns INFO [00000818] Port=1 RD @1D
818.50ns INFO [00000820] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
818.50ns INFO [00000820] Port=0 WR @00=B56CB62C
818.50ns INFO [00000820] Port=0 RD @0D
818.50ns INFO [00000820] Port=1 RD @01
819.50ns INFO [00000821] Port=0 WR @15=424DFF2F
819.50ns INFO [00000821] Port=0 RD @0E
820.50ns INFO [00000822] * RD COMPARE * port=0 adr=0D act=CBBD8737 exp=CBBD8737
820.50ns INFO [00000822] * RD COMPARE * port=1 adr=01 act=5B0A83F3 exp=5B0A83F3
821.50ns INFO [00000823] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839
821.50ns INFO [00000823] Port=1 RD @12
823.50ns INFO [00000825] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC
823.50ns INFO [00000825] Port=0 WR @17=7C8F217E
823.50ns INFO [00000825] Port=0 RD @04
823.50ns INFO [00000825] Port=1 RD @06
825.50ns INFO [00000827] * RD COMPARE * port=0 adr=04 act=54CD639A exp=54CD639A
825.50ns INFO [00000827] * RD COMPARE * port=1 adr=06 act=D65AA282 exp=D65AA282
825.50ns INFO [00000827] Port=0 RD @0E
825.50ns INFO [00000827] Port=1 RD @1C
826.50ns INFO [00000828] Port=0 WR @07=897294CB
826.50ns INFO [00000828] Port=1 RD @15
827.50ns INFO [00000829] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839
827.50ns INFO [00000829] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858
827.50ns INFO [00000829] Port=0 WR @19=3494FEF9
828.50ns INFO [00000830] * RD COMPARE * port=1 adr=15 act=424DFF2F exp=424DFF2F
829.50ns INFO [00000831] Port=0 RD @1F
829.50ns INFO [00000831] Port=1 RD @15
830.50ns INFO [00000832] Port=1 RD @1B
831.50ns INFO [00000833] * RD COMPARE * port=0 adr=1F act=AF399C10 exp=AF399C10
831.50ns INFO [00000833] * RD COMPARE * port=1 adr=15 act=424DFF2F exp=424DFF2F
831.50ns INFO [00000833] Port=0 RD @0D
832.50ns INFO [00000834] * RD COMPARE * port=1 adr=1B act=A79CC651 exp=A79CC651
832.50ns INFO [00000834] Port=1 RD @07
833.50ns INFO [00000835] * RD COMPARE * port=0 adr=0D act=CBBD8737 exp=CBBD8737
833.50ns INFO [00000835] Port=1 RD @1C
834.50ns INFO [00000836] * RD COMPARE * port=1 adr=07 act=897294CB exp=897294CB
835.50ns INFO [00000837] * RD COMPARE * port=1 adr=1C act=F1F83858 exp=F1F83858
835.50ns INFO [00000837] Port=0 WR @1F=782215A3
835.50ns INFO [00000837] Port=1 RD @05
836.50ns INFO [00000838] Port=0 WR @05=073F8249
836.50ns INFO [00000838] Port=0 RD @19
836.50ns INFO [00000838] Port=1 RD @0A
837.50ns INFO [00000839] * RD COMPARE * port=1 adr=05 act=2158E003 exp=2158E003
837.50ns INFO [00000839] Port=1 RD @0A
838.50ns INFO [00000840] * RD COMPARE * port=0 adr=19 act=3494FEF9 exp=3494FEF9
838.50ns INFO [00000840] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
839.50ns INFO [00000841] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
839.50ns INFO [00000841] Port=1 RD @1D
840.50ns INFO [00000842] Port=0 RD @0A
840.50ns INFO [00000842] Port=1 RD @18
841.50ns INFO [00000843] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
841.50ns INFO [00000843] Port=0 WR @11=26EA4783
841.50ns INFO [00000843] Port=0 RD @1C
841.50ns INFO [00000843] Port=1 RD @09
842.50ns INFO [00000844] * RD COMPARE * port=0 adr=0A act=C5A5E3F8 exp=C5A5E3F8
842.50ns INFO [00000844] * RD COMPARE * port=1 adr=18 act=36C7C5D7 exp=36C7C5D7
842.50ns INFO [00000844] Port=0 WR @1B=19E54916
842.50ns INFO [00000844] Port=0 RD @05
843.50ns INFO [00000845] * RD COMPARE * port=0 adr=1C act=F1F83858 exp=F1F83858
843.50ns INFO [00000845] * RD COMPARE * port=1 adr=09 act=F32896F6 exp=F32896F6
844.50ns INFO [00000846] * RD COMPARE * port=0 adr=05 act=073F8249 exp=073F8249
844.50ns INFO [00000846] Port=1 RD @03
845.50ns INFO [00000847] Port=0 WR @16=ADBAA753
846.50ns INFO [00000848] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7
846.50ns INFO [00000848] Port=0 WR @15=A437A721
846.50ns INFO [00000848] Port=0 RD @0C
846.50ns INFO [00000848] Port=1 RD @13
847.50ns INFO [00000849] Port=0 WR @06=E83AF817
848.50ns INFO [00000850] * RD COMPARE * port=0 adr=0C act=F71789F5 exp=F71789F5
848.50ns INFO [00000850] * RD COMPARE * port=1 adr=13 act=2AD1CC05 exp=2AD1CC05
848.50ns INFO [00000850] Port=0 RD @15
848.50ns INFO [00000850] Port=1 RD @03
850.50ns INFO [00000852] * RD COMPARE * port=0 adr=15 act=A437A721 exp=A437A721
850.50ns INFO [00000852] * RD COMPARE * port=1 adr=03 act=2069EEE7 exp=2069EEE7
852.50ns INFO [00000854] Port=0 RD @18
853.50ns INFO [00000855] Port=0 RD @06
853.50ns INFO [00000855] Port=1 RD @04
854.50ns INFO [00000856] * RD COMPARE * port=0 adr=18 act=36C7C5D7 exp=36C7C5D7
854.50ns INFO [00000856] Port=0 RD @14
854.50ns INFO [00000856] Port=1 RD @15
855.50ns INFO [00000857] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817
855.50ns INFO [00000857] * RD COMPARE * port=1 adr=04 act=54CD639A exp=54CD639A
855.50ns INFO [00000857] Port=0 RD @08
855.50ns INFO [00000857] Port=1 RD @09
856.50ns INFO [00000858] * RD COMPARE * port=0 adr=14 act=FD72ED59 exp=FD72ED59
856.50ns INFO [00000858] * RD COMPARE * port=1 adr=15 act=A437A721 exp=A437A721
856.50ns INFO [00000858] Port=0 WR @1F=C1F5EB4D
856.50ns INFO [00000858] Port=0 RD @10
857.50ns INFO [00000859] * RD COMPARE * port=0 adr=08 act=DB0BC2B1 exp=DB0BC2B1
857.50ns INFO [00000859] * RD COMPARE * port=1 adr=09 act=F32896F6 exp=F32896F6
857.50ns INFO [00000859] Port=0 WR @0D=6F804758
857.50ns INFO [00000859] Port=0 RD @16
858.50ns INFO [00000860] * RD COMPARE * port=0 adr=10 act=8F178159 exp=8F178159
859.50ns INFO [00000861] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753
860.50ns INFO [00000862] Port=0 WR @13=B90E592B
860.50ns INFO [00000862] Port=1 RD @0E
861.50ns INFO [00000863] Port=1 RD @11
862.50ns INFO [00000864] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839
862.50ns INFO [00000864] Port=1 RD @14
863.50ns INFO [00000865] * RD COMPARE * port=1 adr=11 act=26EA4783 exp=26EA4783
863.50ns INFO [00000865] Port=1 RD @0C
864.50ns INFO [00000866] * RD COMPARE * port=1 adr=14 act=FD72ED59 exp=FD72ED59
864.50ns INFO [00000866] Port=0 WR @07=8F48C90A
864.50ns INFO [00000866] Port=0 RD @19
865.50ns INFO [00000867] * RD COMPARE * port=1 adr=0C act=F71789F5 exp=F71789F5
865.50ns INFO [00000867] Port=0 WR @0C=55C0BC8E
865.50ns INFO [00000867] Port=0 RD @15
866.50ns INFO [00000868] * RD COMPARE * port=0 adr=19 act=3494FEF9 exp=3494FEF9
866.50ns INFO [00000868] Port=0 WR @19=94C6D60D
866.50ns INFO [00000868] Port=0 RD @07
866.50ns INFO [00000868] Port=1 RD @08
867.50ns INFO [00000869] * RD COMPARE * port=0 adr=15 act=A437A721 exp=A437A721
867.50ns INFO [00000869] Port=0 RD @12
868.50ns INFO [00000870] * RD COMPARE * port=0 adr=07 act=8F48C90A exp=8F48C90A
868.50ns INFO [00000870] * RD COMPARE * port=1 adr=08 act=DB0BC2B1 exp=DB0BC2B1
868.50ns INFO [00000870] Port=0 WR @09=CDEBD260
868.50ns INFO [00000870] Port=1 RD @0E
869.50ns INFO [00000871] * RD COMPARE * port=0 adr=12 act=F3836CAC exp=F3836CAC
870.50ns INFO [00000872] * RD COMPARE * port=1 adr=0E act=E34C3839 exp=E34C3839
871.50ns INFO [00000873] Port=1 RD @02
872.50ns INFO [00000874] Port=0 WR @18=D3E969B0
872.50ns INFO [00000874] Port=0 RD @19
872.50ns INFO [00000874] Port=1 RD @02
873.50ns INFO [00000875] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F
873.50ns INFO [00000875] Port=0 RD @0B
873.50ns INFO [00000875] Port=1 RD @1D
874.50ns INFO [00000876] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D
874.50ns INFO [00000876] * RD COMPARE * port=1 adr=02 act=1B8EF24F exp=1B8EF24F
874.50ns INFO [00000876] Port=0 RD @1E
875.50ns INFO [00000877] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
875.50ns INFO [00000877] * RD COMPARE * port=1 adr=1D act=945CDCCA exp=945CDCCA
875.50ns INFO [00000877] Port=1 RD @11
876.50ns INFO [00000878] * RD COMPARE * port=0 adr=1E act=B02DD91F exp=B02DD91F
876.50ns INFO [00000878] Port=1 RD @0A
877.50ns INFO [00000879] * RD COMPARE * port=1 adr=11 act=26EA4783 exp=26EA4783
877.50ns INFO [00000879] Port=0 RD @01
878.50ns INFO [00000880] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
878.50ns INFO [00000880] Port=0 WR @0F=DEA619CA
878.50ns INFO [00000880] Port=0 RD @1A
879.50ns INFO [00000881] * RD COMPARE * port=0 adr=01 act=5B0A83F3 exp=5B0A83F3
879.50ns INFO [00000881] Port=0 WR @1B=D0F90B59
879.50ns INFO [00000881] Port=0 RD @18
879.50ns INFO [00000881] Port=1 RD @16
880.50ns INFO [00000882] * RD COMPARE * port=0 adr=1A act=2B0C8DEA exp=2B0C8DEA
880.50ns INFO [00000882] Port=0 RD @12
880.50ns INFO [00000882] Port=1 RD @1B
881.50ns INFO [00000883] * RD COMPARE * port=0 adr=18 act=D3E969B0 exp=D3E969B0
881.50ns INFO [00000883] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753
881.50ns INFO [00000883] Port=0 WR @08=1F8FDFF9
882.50ns INFO [00000884] * RD COMPARE * port=0 adr=12 act=F3836CAC exp=F3836CAC
882.50ns INFO [00000884] * RD COMPARE * port=1 adr=1B act=D0F90B59 exp=D0F90B59
882.50ns INFO [00000884] Port=0 WR @05=E85D9EDA
882.50ns INFO [00000884] Port=1 RD @10
883.50ns INFO [00000885] Port=0 RD @03
884.50ns INFO [00000886] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159
884.50ns INFO [00000886] Port=0 WR @13=504F46D7
884.50ns INFO [00000886] Port=1 RD @12
885.50ns INFO [00000887] * RD COMPARE * port=0 adr=03 act=2069EEE7 exp=2069EEE7
885.50ns INFO [00000887] Port=0 WR @11=3EE5EED9
885.50ns INFO [00000887] Port=1 RD @0A
886.50ns INFO [00000888] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC
886.50ns INFO [00000888] Port=0 RD @19
886.50ns INFO [00000888] Port=1 RD @0F
887.50ns INFO [00000889] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
887.50ns INFO [00000889] Port=1 RD @12
888.50ns INFO [00000890] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D
888.50ns INFO [00000890] * RD COMPARE * port=1 adr=0F act=DEA619CA exp=DEA619CA
888.50ns INFO [00000890] Port=0 WR @0C=038BC11F
888.50ns INFO [00000890] Port=0 RD @08
889.50ns INFO [00000891] * RD COMPARE * port=1 adr=12 act=F3836CAC exp=F3836CAC
889.50ns INFO [00000891] Port=1 RD @0B
890.50ns INFO [00000892] * RD COMPARE * port=0 adr=08 act=1F8FDFF9 exp=1F8FDFF9
890.50ns INFO [00000892] Port=0 WR @15=A49AB4A4
890.50ns INFO [00000892] Port=0 RD @06
890.50ns INFO [00000892] Port=1 RD @0D
891.50ns INFO [00000893] * RD COMPARE * port=1 adr=0B act=4C6E5F6E exp=4C6E5F6E
892.50ns INFO [00000894] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817
892.50ns INFO [00000894] * RD COMPARE * port=1 adr=0D act=6F804758 exp=6F804758
893.50ns INFO [00000895] Port=0 RD @0E
894.50ns INFO [00000896] Port=0 WR @0D=85BF8BE3
895.50ns INFO [00000897] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839
895.50ns INFO [00000897] Port=0 WR @04=8CCDB193
895.50ns INFO [00000897] Port=1 RD @10
896.50ns INFO [00000898] Port=0 WR @09=CB3FB8CD
896.50ns INFO [00000898] Port=1 RD @0C
897.50ns INFO [00000899] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159
897.50ns INFO [00000899] Port=0 WR @01=287C2FFB
897.50ns INFO [00000899] Port=0 RD @0E
897.50ns INFO [00000899] Port=1 RD @1F
898.00ns INFO [00000900] [00000900] ...tick...
898.50ns INFO [00000900] * RD COMPARE * port=1 adr=0C act=038BC11F exp=038BC11F
898.50ns INFO [00000900] Port=0 WR @1B=ACA13312
899.50ns INFO [00000901] * RD COMPARE * port=0 adr=0E act=E34C3839 exp=E34C3839
899.50ns INFO [00000901] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D
899.50ns INFO [00000901] Port=0 WR @09=BDC00E0B
899.50ns INFO [00000901] Port=1 RD @0C
900.50ns INFO [00000902] Port=0 RD @16
901.50ns INFO [00000903] * RD COMPARE * port=1 adr=0C act=038BC11F exp=038BC11F
901.50ns INFO [00000903] Port=0 WR @15=3263BACA
902.50ns INFO [00000904] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753
903.50ns INFO [00000905] Port=1 RD @10
905.50ns INFO [00000907] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159
905.50ns INFO [00000907] Port=0 WR @08=D58DF058
905.50ns INFO [00000907] Port=1 RD @1F
906.50ns INFO [00000908] Port=1 RD @16
907.50ns INFO [00000909] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D
907.50ns INFO [00000909] Port=0 WR @04=77160E4A
907.50ns INFO [00000909] Port=0 RD @1A
908.50ns INFO [00000910] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753
908.50ns INFO [00000910] Port=0 RD @0B
909.50ns INFO [00000911] * RD COMPARE * port=0 adr=1A act=2B0C8DEA exp=2B0C8DEA
909.50ns INFO [00000911] Port=0 WR @0D=4E5C996A
909.50ns INFO [00000911] Port=0 RD @02
910.50ns INFO [00000912] * RD COMPARE * port=0 adr=0B act=4C6E5F6E exp=4C6E5F6E
910.50ns INFO [00000912] Port=0 RD @1D
911.50ns INFO [00000913] * RD COMPARE * port=0 adr=02 act=1B8EF24F exp=1B8EF24F
911.50ns INFO [00000913] Port=0 WR @0C=D619D300
912.50ns INFO [00000914] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA
913.50ns INFO [00000915] Port=0 RD @02
913.50ns INFO [00000915] Port=1 RD @09
914.50ns INFO [00000916] Port=1 RD @18
915.50ns INFO [00000917] * RD COMPARE * port=0 adr=02 act=1B8EF24F exp=1B8EF24F
915.50ns INFO [00000917] * RD COMPARE * port=1 adr=09 act=BDC00E0B exp=BDC00E0B
916.50ns INFO [00000918] * RD COMPARE * port=1 adr=18 act=D3E969B0 exp=D3E969B0
916.50ns INFO [00000918] Port=0 WR @03=66AE0B6B
916.50ns INFO [00000918] Port=0 RD @00
917.50ns INFO [00000919] Port=0 WR @05=89641484
918.50ns INFO [00000920] * RD COMPARE * port=0 adr=00 act=B56CB62C exp=B56CB62C
918.50ns INFO [00000920] Port=1 RD @0A
919.50ns INFO [00000921] Port=0 RD @1E
920.50ns INFO [00000922] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
920.50ns INFO [00000922] Port=0 WR @0B=111CB780
920.50ns INFO [00000922] Port=0 RD @19
921.50ns INFO [00000923] * RD COMPARE * port=0 adr=1E act=B02DD91F exp=B02DD91F
921.50ns INFO [00000923] Port=1 RD @10
922.50ns INFO [00000924] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D
923.50ns INFO [00000925] * RD COMPARE * port=1 adr=10 act=8F178159 exp=8F178159
923.50ns INFO [00000925] Port=0 WR @00=E4C49B60
924.50ns INFO [00000926] Port=0 WR @1A=E42D60BF
924.50ns INFO [00000926] Port=0 RD @05
924.50ns INFO [00000926] Port=1 RD @0F
925.50ns INFO [00000927] Port=0 WR @0F=B8A773BA
925.50ns INFO [00000927] Port=0 RD @17
925.50ns INFO [00000927] Port=1 RD @05
926.50ns INFO [00000928] * RD COMPARE * port=0 adr=05 act=89641484 exp=89641484
926.50ns INFO [00000928] * RD COMPARE * port=1 adr=0F act=DEA619CA exp=DEA619CA
927.50ns INFO [00000929] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E
927.50ns INFO [00000929] * RD COMPARE * port=1 adr=05 act=89641484 exp=89641484
927.50ns INFO [00000929] Port=0 WR @0D=83621AEE
928.50ns INFO [00000930] Port=0 WR @05=35B9C749
928.50ns INFO [00000930] Port=0 RD @0A
929.50ns INFO [00000931] Port=1 RD @14
930.50ns INFO [00000932] * RD COMPARE * port=0 adr=0A act=C5A5E3F8 exp=C5A5E3F8
931.50ns INFO [00000933] * RD COMPARE * port=1 adr=14 act=FD72ED59 exp=FD72ED59
932.50ns INFO [00000934] Port=0 WR @12=8C938EB6
932.50ns INFO [00000934] Port=1 RD @18
933.50ns INFO [00000935] Port=1 RD @0B
934.50ns INFO [00000936] * RD COMPARE * port=1 adr=18 act=D3E969B0 exp=D3E969B0
934.50ns INFO [00000936] Port=0 WR @1C=54ADC5FB
934.50ns INFO [00000936] Port=1 RD @05
935.50ns INFO [00000937] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780
935.50ns INFO [00000937] Port=0 WR @09=293F759C
935.50ns INFO [00000937] Port=1 RD @0A
936.50ns INFO [00000938] * RD COMPARE * port=1 adr=05 act=35B9C749 exp=35B9C749
936.50ns INFO [00000938] Port=0 WR @08=30483266
937.50ns INFO [00000939] * RD COMPARE * port=1 adr=0A act=C5A5E3F8 exp=C5A5E3F8
937.50ns INFO [00000939] Port=0 WR @0E=0FF02759
937.50ns INFO [00000939] Port=1 RD @0D
938.50ns INFO [00000940] Port=0 WR @02=330E23D8
938.50ns INFO [00000940] Port=0 RD @0D
938.50ns INFO [00000940] Port=1 RD @06
939.50ns INFO [00000941] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE
940.50ns INFO [00000942] * RD COMPARE * port=0 adr=0D act=83621AEE exp=83621AEE
940.50ns INFO [00000942] * RD COMPARE * port=1 adr=06 act=E83AF817 exp=E83AF817
940.50ns INFO [00000942] Port=0 WR @18=F2D6CDCE
940.50ns INFO [00000942] Port=0 RD @16
941.50ns INFO [00000943] Port=0 RD @11
942.50ns INFO [00000944] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753
942.50ns INFO [00000944] Port=0 WR @14=CC884AB7
942.50ns INFO [00000944] Port=1 RD @1A
943.50ns INFO [00000945] * RD COMPARE * port=0 adr=11 act=3EE5EED9 exp=3EE5EED9
944.50ns INFO [00000946] * RD COMPARE * port=1 adr=1A act=E42D60BF exp=E42D60BF
944.50ns INFO [00000946] Port=1 RD @15
945.50ns INFO [00000947] Port=0 WR @04=C049D916
946.50ns INFO [00000948] * RD COMPARE * port=1 adr=15 act=3263BACA exp=3263BACA
946.50ns INFO [00000948] Port=0 RD @11
946.50ns INFO [00000948] Port=1 RD @1F
948.50ns INFO [00000950] * RD COMPARE * port=0 adr=11 act=3EE5EED9 exp=3EE5EED9
948.50ns INFO [00000950] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D
948.50ns INFO [00000950] Port=0 RD @0B
948.50ns INFO [00000950] Port=1 RD @09
949.50ns INFO [00000951] Port=0 RD @17
950.50ns INFO [00000952] * RD COMPARE * port=0 adr=0B act=111CB780 exp=111CB780
950.50ns INFO [00000952] * RD COMPARE * port=1 adr=09 act=293F759C exp=293F759C
950.50ns INFO [00000952] Port=0 RD @05
951.50ns INFO [00000953] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E
951.50ns INFO [00000953] Port=0 WR @05=FA5ABEE1
951.50ns INFO [00000953] Port=0 RD @16
952.50ns INFO [00000954] * RD COMPARE * port=0 adr=05 act=35B9C749 exp=35B9C749
952.50ns INFO [00000954] Port=0 RD @1D
953.50ns INFO [00000955] * RD COMPARE * port=0 adr=16 act=ADBAA753 exp=ADBAA753
953.50ns INFO [00000955] Port=0 WR @03=FF7E3BFD
953.50ns INFO [00000955] Port=0 RD @0C
953.50ns INFO [00000955] Port=1 RD @05
954.50ns INFO [00000956] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA
954.50ns INFO [00000956] Port=0 WR @10=C6258E67
955.50ns INFO [00000957] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
955.50ns INFO [00000957] * RD COMPARE * port=1 adr=05 act=FA5ABEE1 exp=FA5ABEE1
955.50ns INFO [00000957] Port=0 RD @0E
956.50ns INFO [00000958] Port=0 WR @02=C52DE185
956.50ns INFO [00000958] Port=0 RD @19
957.50ns INFO [00000959] * RD COMPARE * port=0 adr=0E act=0FF02759 exp=0FF02759
957.50ns INFO [00000959] Port=0 RD @03
958.50ns INFO [00000960] * RD COMPARE * port=0 adr=19 act=94C6D60D exp=94C6D60D
958.50ns INFO [00000960] Port=1 RD @11
959.50ns INFO [00000961] * RD COMPARE * port=0 adr=03 act=FF7E3BFD exp=FF7E3BFD
960.50ns INFO [00000962] * RD COMPARE * port=1 adr=11 act=3EE5EED9 exp=3EE5EED9
960.50ns INFO [00000962] Port=0 RD @0F
960.50ns INFO [00000962] Port=1 RD @0E
961.50ns INFO [00000963] Port=1 RD @0F
962.50ns INFO [00000964] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
962.50ns INFO [00000964] * RD COMPARE * port=1 adr=0E act=0FF02759 exp=0FF02759
962.50ns INFO [00000964] Port=1 RD @0D
963.50ns INFO [00000965] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA
963.50ns INFO [00000965] Port=0 WR @00=3C59FED8
963.50ns INFO [00000965] Port=0 RD @1B
964.50ns INFO [00000966] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE
964.50ns INFO [00000966] Port=0 WR @15=0ADBC30E
964.50ns INFO [00000966] Port=1 RD @1F
965.50ns INFO [00000967] * RD COMPARE * port=0 adr=1B act=ACA13312 exp=ACA13312
965.50ns INFO [00000967] Port=1 RD @14
966.50ns INFO [00000968] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D
966.50ns INFO [00000968] Port=0 RD @0F
966.50ns INFO [00000968] Port=1 RD @07
967.50ns INFO [00000969] * RD COMPARE * port=1 adr=14 act=CC884AB7 exp=CC884AB7
967.50ns INFO [00000969] Port=0 WR @1E=695A3AA1
967.50ns INFO [00000969] Port=1 RD @04
968.50ns INFO [00000970] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
968.50ns INFO [00000970] * RD COMPARE * port=1 adr=07 act=8F48C90A exp=8F48C90A
968.50ns INFO [00000970] Port=0 RD @13
969.50ns INFO [00000971] * RD COMPARE * port=1 adr=04 act=C049D916 exp=C049D916
969.50ns INFO [00000971] Port=0 WR @05=947254EE
970.50ns INFO [00000972] * RD COMPARE * port=0 adr=13 act=504F46D7 exp=504F46D7
970.50ns INFO [00000972] Port=0 WR @14=9584FE4C
970.50ns INFO [00000972] Port=0 RD @06
971.50ns INFO [00000973] Port=0 RD @0E
972.50ns INFO [00000974] * RD COMPARE * port=0 adr=06 act=E83AF817 exp=E83AF817
972.50ns INFO [00000974] Port=1 RD @16
973.50ns INFO [00000975] * RD COMPARE * port=0 adr=0E act=0FF02759 exp=0FF02759
973.50ns INFO [00000975] Port=1 RD @1C
974.50ns INFO [00000976] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753
974.50ns INFO [00000976] Port=1 RD @1C
975.50ns INFO [00000977] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB
975.50ns INFO [00000977] Port=0 WR @0E=DD722C24
976.50ns INFO [00000978] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB
976.50ns INFO [00000978] Port=1 RD @14
977.50ns INFO [00000979] Port=0 WR @06=A5C5C637
978.50ns INFO [00000980] * RD COMPARE * port=1 adr=14 act=9584FE4C exp=9584FE4C
981.50ns INFO [00000983] Port=0 WR @09=9D4B7864
982.50ns INFO [00000984] Port=1 RD @1C
983.50ns INFO [00000985] Port=0 RD @01
983.50ns INFO [00000985] Port=1 RD @04
984.50ns INFO [00000986] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB
984.50ns INFO [00000986] Port=1 RD @10
985.50ns INFO [00000987] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB
985.50ns INFO [00000987] * RD COMPARE * port=1 adr=04 act=C049D916 exp=C049D916
985.50ns INFO [00000987] Port=0 WR @0A=AAD718D3
985.50ns INFO [00000987] Port=0 RD @09
985.50ns INFO [00000987] Port=1 RD @07
986.50ns INFO [00000988] * RD COMPARE * port=1 adr=10 act=C6258E67 exp=C6258E67
986.50ns INFO [00000988] Port=0 WR @11=F0A07B87
987.50ns INFO [00000989] * RD COMPARE * port=0 adr=09 act=9D4B7864 exp=9D4B7864
987.50ns INFO [00000989] * RD COMPARE * port=1 adr=07 act=8F48C90A exp=8F48C90A
988.50ns INFO [00000990] Port=0 WR @1B=8CBE6071
988.50ns INFO [00000990] Port=0 RD @15
988.50ns INFO [00000990] Port=1 RD @09
989.50ns INFO [00000991] Port=1 RD @0B
990.50ns INFO [00000992] * RD COMPARE * port=0 adr=15 act=0ADBC30E exp=0ADBC30E
990.50ns INFO [00000992] * RD COMPARE * port=1 adr=09 act=9D4B7864 exp=9D4B7864
990.50ns INFO [00000992] Port=0 WR @00=9151B263
990.50ns INFO [00000992] Port=0 RD @13
991.50ns INFO [00000993] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780
991.50ns INFO [00000993] Port=0 WR @13=4982662A
992.50ns INFO [00000994] * RD COMPARE * port=0 adr=13 act=504F46D7 exp=504F46D7
993.50ns INFO [00000995] Port=1 RD @1C
994.50ns INFO [00000996] Port=1 RD @0A
995.50ns INFO [00000997] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB
996.50ns INFO [00000998] * RD COMPARE * port=1 adr=0A act=AAD718D3 exp=AAD718D3
996.50ns INFO [00000998] Port=0 WR @07=7DAC804F
997.50ns INFO [00000999] Port=0 WR @06=6BF61D1A
998.00ns INFO [00001000] [00001000] ...tick...
998.50ns INFO [00001000] Port=0 RD @05
1000.50ns INFO [00001002] * RD COMPARE * port=0 adr=05 act=947254EE exp=947254EE
1000.50ns INFO [00001002] Port=0 RD @0C
1001.50ns INFO [00001003] Port=0 RD @18
1002.50ns INFO [00001004] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
1002.50ns INFO [00001004] Port=0 WR @09=F475C00E
1002.50ns INFO [00001004] Port=1 RD @16
1003.50ns INFO [00001005] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1003.50ns INFO [00001005] Port=1 RD @0B
1004.50ns INFO [00001006] * RD COMPARE * port=1 adr=16 act=ADBAA753 exp=ADBAA753
1004.50ns INFO [00001006] Port=0 RD @00
1005.50ns INFO [00001007] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780
1005.50ns INFO [00001007] Port=0 RD @09
1006.50ns INFO [00001008] * RD COMPARE * port=0 adr=00 act=9151B263 exp=9151B263
1006.50ns INFO [00001008] Port=0 WR @13=89ACA984
1007.50ns INFO [00001009] * RD COMPARE * port=0 adr=09 act=F475C00E exp=F475C00E
1007.50ns INFO [00001009] Port=0 RD @14
1008.50ns INFO [00001010] Port=1 RD @0C
1009.50ns INFO [00001011] * RD COMPARE * port=0 adr=14 act=9584FE4C exp=9584FE4C
1009.50ns INFO [00001011] Port=1 RD @08
1010.50ns INFO [00001012] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300
1010.50ns INFO [00001012] Port=0 WR @03=3078283A
1010.50ns INFO [00001012] Port=1 RD @19
1011.50ns INFO [00001013] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266
1011.50ns INFO [00001013] Port=0 RD @17
1012.50ns INFO [00001014] * RD COMPARE * port=1 adr=19 act=94C6D60D exp=94C6D60D
1012.50ns INFO [00001014] Port=1 RD @19
1013.50ns INFO [00001015] * RD COMPARE * port=0 adr=17 act=7C8F217E exp=7C8F217E
1014.50ns INFO [00001016] * RD COMPARE * port=1 adr=19 act=94C6D60D exp=94C6D60D
1014.50ns INFO [00001016] Port=0 WR @1B=448499D1
1015.50ns INFO [00001017] Port=1 RD @18
1017.50ns INFO [00001019] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE
1017.50ns INFO [00001019] Port=0 RD @09
1017.50ns INFO [00001019] Port=1 RD @06
1018.50ns INFO [00001020] Port=1 RD @01
1019.50ns INFO [00001021] * RD COMPARE * port=0 adr=09 act=F475C00E exp=F475C00E
1019.50ns INFO [00001021] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A
1019.50ns INFO [00001021] Port=0 WR @16=3CAD5DF1
1019.50ns INFO [00001021] Port=1 RD @07
1020.50ns INFO [00001022] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB
1021.50ns INFO [00001023] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F
1021.50ns INFO [00001023] Port=0 RD @05
1022.50ns INFO [00001024] Port=1 RD @0D
1023.50ns INFO [00001025] * RD COMPARE * port=0 adr=05 act=947254EE exp=947254EE
1023.50ns INFO [00001025] Port=0 RD @1A
1023.50ns INFO [00001025] Port=1 RD @0E
1024.50ns INFO [00001026] * RD COMPARE * port=1 adr=0D act=83621AEE exp=83621AEE
1025.50ns INFO [00001027] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF
1025.50ns INFO [00001027] * RD COMPARE * port=1 adr=0E act=DD722C24 exp=DD722C24
1025.50ns INFO [00001027] Port=0 WR @14=B252BAE9
1025.50ns INFO [00001027] Port=1 RD @08
1026.50ns INFO [00001028] Port=0 WR @11=C619BEE6
1027.50ns INFO [00001029] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266
1027.50ns INFO [00001029] Port=0 RD @1D
1028.50ns INFO [00001030] Port=0 WR @10=ADD3DE42
1028.50ns INFO [00001030] Port=0 RD @00
1029.50ns INFO [00001031] * RD COMPARE * port=0 adr=1D act=945CDCCA exp=945CDCCA
1029.50ns INFO [00001031] Port=0 RD @1E
1030.50ns INFO [00001032] * RD COMPARE * port=0 adr=00 act=9151B263 exp=9151B263
1030.50ns INFO [00001032] Port=0 RD @1C
1030.50ns INFO [00001032] Port=1 RD @13
1031.50ns INFO [00001033] * RD COMPARE * port=0 adr=1E act=695A3AA1 exp=695A3AA1
1031.50ns INFO [00001033] Port=0 WR @09=2145BE74
1031.50ns INFO [00001033] Port=0 RD @0C
1032.50ns INFO [00001034] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB
1032.50ns INFO [00001034] * RD COMPARE * port=1 adr=13 act=89ACA984 exp=89ACA984
1032.50ns INFO [00001034] Port=0 WR @1D=D7DC7442
1033.50ns INFO [00001035] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
1033.50ns INFO [00001035] Port=1 RD @1D
1034.50ns INFO [00001036] Port=0 WR @04=9E9826CF
1034.50ns INFO [00001036] Port=1 RD @15
1035.50ns INFO [00001037] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442
1036.50ns INFO [00001038] * RD COMPARE * port=1 adr=15 act=0ADBC30E exp=0ADBC30E
1036.50ns INFO [00001038] Port=0 RD @18
1036.50ns INFO [00001038] Port=1 RD @10
1038.50ns INFO [00001040] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1038.50ns INFO [00001040] * RD COMPARE * port=1 adr=10 act=ADD3DE42 exp=ADD3DE42
1038.50ns INFO [00001040] Port=0 WR @11=4CFFB6FA
1038.50ns INFO [00001040] Port=1 RD @01
1039.50ns INFO [00001041] Port=0 WR @05=E9402C65
1039.50ns INFO [00001041] Port=0 RD @11
1040.50ns INFO [00001042] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB
1040.50ns INFO [00001042] Port=0 WR @03=DB534481
1040.50ns INFO [00001042] Port=0 RD @12
1041.50ns INFO [00001043] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA
1042.50ns INFO [00001044] * RD COMPARE * port=0 adr=12 act=8C938EB6 exp=8C938EB6
1043.50ns INFO [00001045] Port=0 RD @1B
1044.50ns INFO [00001046] Port=0 RD @04
1044.50ns INFO [00001046] Port=1 RD @12
1045.50ns INFO [00001047] * RD COMPARE * port=0 adr=1B act=448499D1 exp=448499D1
1045.50ns INFO [00001047] Port=0 RD @18
1045.50ns INFO [00001047] Port=1 RD @12
1046.50ns INFO [00001048] * RD COMPARE * port=0 adr=04 act=9E9826CF exp=9E9826CF
1046.50ns INFO [00001048] * RD COMPARE * port=1 adr=12 act=8C938EB6 exp=8C938EB6
1047.50ns INFO [00001049] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1047.50ns INFO [00001049] * RD COMPARE * port=1 adr=12 act=8C938EB6 exp=8C938EB6
1047.50ns INFO [00001049] Port=1 RD @1D
1049.50ns INFO [00001051] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442
1049.50ns INFO [00001051] Port=0 WR @14=27E5CDF1
1050.50ns INFO [00001052] Port=0 WR @12=80734A1D
1051.50ns INFO [00001053] Port=1 RD @1D
1052.50ns INFO [00001054] Port=1 RD @0C
1053.50ns INFO [00001055] * RD COMPARE * port=1 adr=1D act=D7DC7442 exp=D7DC7442
1053.50ns INFO [00001055] Port=0 WR @0D=596D7F9E
1053.50ns INFO [00001055] Port=0 RD @11
1053.50ns INFO [00001055] Port=1 RD @0B
1054.50ns INFO [00001056] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300
1054.50ns INFO [00001056] Port=0 WR @03=9B568A77
1054.50ns INFO [00001056] Port=0 RD @11
1055.50ns INFO [00001057] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA
1055.50ns INFO [00001057] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780
1056.50ns INFO [00001058] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA
1056.50ns INFO [00001058] Port=0 RD @0F
1056.50ns INFO [00001058] Port=1 RD @1F
1057.50ns INFO [00001059] Port=0 WR @19=30DFD32E
1057.50ns INFO [00001059] Port=1 RD @1C
1058.50ns INFO [00001060] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1058.50ns INFO [00001060] * RD COMPARE * port=1 adr=1F act=C1F5EB4D exp=C1F5EB4D
1058.50ns INFO [00001060] Port=0 WR @14=F8D226DC
1058.50ns INFO [00001060] Port=0 RD @02
1059.50ns INFO [00001061] * RD COMPARE * port=1 adr=1C act=54ADC5FB exp=54ADC5FB
1060.50ns INFO [00001062] * RD COMPARE * port=0 adr=02 act=C52DE185 exp=C52DE185
1060.50ns INFO [00001062] Port=0 WR @13=C12502B4
1060.50ns INFO [00001062] Port=0 RD @1C
1061.50ns INFO [00001063] Port=0 RD @04
1062.50ns INFO [00001064] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB
1062.50ns INFO [00001064] Port=0 WR @09=B18FD892
1063.50ns INFO [00001065] * RD COMPARE * port=0 adr=04 act=9E9826CF exp=9E9826CF
1063.50ns INFO [00001065] Port=0 WR @17=554368EF
1064.50ns INFO [00001066] Port=0 RD @14
1066.50ns INFO [00001068] * RD COMPARE * port=0 adr=14 act=F8D226DC exp=F8D226DC
1067.50ns INFO [00001069] Port=0 RD @0B
1067.50ns INFO [00001069] Port=1 RD @02
1068.50ns INFO [00001070] Port=0 RD @1A
1069.50ns INFO [00001071] * RD COMPARE * port=0 adr=0B act=111CB780 exp=111CB780
1069.50ns INFO [00001071] * RD COMPARE * port=1 adr=02 act=C52DE185 exp=C52DE185
1069.50ns INFO [00001071] Port=0 RD @17
1069.50ns INFO [00001071] Port=1 RD @0B
1070.50ns INFO [00001072] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF
1071.50ns INFO [00001073] * RD COMPARE * port=0 adr=17 act=554368EF exp=554368EF
1071.50ns INFO [00001073] * RD COMPARE * port=1 adr=0B act=111CB780 exp=111CB780
1071.50ns INFO [00001073] Port=0 WR @1E=16B80DC2
1072.50ns INFO [00001074] Port=0 RD @18
1073.50ns INFO [00001075] Port=0 WR @02=44F3CF8E
1073.50ns INFO [00001075] Port=1 RD @08
1074.50ns INFO [00001076] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1074.50ns INFO [00001076] Port=0 RD @0D
1075.50ns INFO [00001077] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266
1075.50ns INFO [00001077] Port=1 RD @1E
1076.50ns INFO [00001078] * RD COMPARE * port=0 adr=0D act=596D7F9E exp=596D7F9E
1076.50ns INFO [00001078] Port=0 WR @0D=F26774C5
1076.50ns INFO [00001078] Port=0 RD @07
1077.50ns INFO [00001079] * RD COMPARE * port=1 adr=1E act=16B80DC2 exp=16B80DC2
1077.50ns INFO [00001079] Port=0 RD @11
1078.50ns INFO [00001080] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F
1078.50ns INFO [00001080] Port=0 WR @1F=EA1F2363
1079.50ns INFO [00001081] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA
1080.50ns INFO [00001082] Port=0 RD @12
1082.50ns INFO [00001084] * RD COMPARE * port=0 adr=12 act=80734A1D exp=80734A1D
1085.50ns INFO [00001087] Port=0 RD @1E
1087.50ns INFO [00001089] * RD COMPARE * port=0 adr=1E act=16B80DC2 exp=16B80DC2
1087.50ns INFO [00001089] Port=0 RD @18
1087.50ns INFO [00001089] Port=1 RD @0D
1088.50ns INFO [00001090] Port=0 WR @0E=1E412F4B
1088.50ns INFO [00001090] Port=0 RD @11
1088.50ns INFO [00001090] Port=1 RD @1F
1089.50ns INFO [00001091] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1089.50ns INFO [00001091] * RD COMPARE * port=1 adr=0D act=F26774C5 exp=F26774C5
1089.50ns INFO [00001091] Port=0 WR @13=C1953E72
1089.50ns INFO [00001091] Port=0 RD @17
1090.50ns INFO [00001092] * RD COMPARE * port=0 adr=11 act=4CFFB6FA exp=4CFFB6FA
1090.50ns INFO [00001092] * RD COMPARE * port=1 adr=1F act=EA1F2363 exp=EA1F2363
1091.50ns INFO [00001093] * RD COMPARE * port=0 adr=17 act=554368EF exp=554368EF
1091.50ns INFO [00001093] Port=1 RD @11
1092.50ns INFO [00001094] Port=0 RD @08
1093.50ns INFO [00001095] * RD COMPARE * port=1 adr=11 act=4CFFB6FA exp=4CFFB6FA
1093.50ns INFO [00001095] Port=1 RD @1F
1094.50ns INFO [00001096] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266
1094.50ns INFO [00001096] Port=0 RD @13
1094.50ns INFO [00001096] Port=1 RD @05
1095.50ns INFO [00001097] * RD COMPARE * port=1 adr=1F act=EA1F2363 exp=EA1F2363
1095.50ns INFO [00001097] Port=0 WR @00=2739F71E
1096.50ns INFO [00001098] * RD COMPARE * port=0 adr=13 act=C1953E72 exp=C1953E72
1096.50ns INFO [00001098] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65
1096.50ns INFO [00001098] Port=0 WR @02=32F151D3
1097.50ns INFO [00001099] Port=0 WR @0E=956F7359
1097.50ns INFO [00001099] Port=0 RD @18
1097.50ns INFO [00001099] Port=1 RD @1B
1098.00ns INFO [00001100] [00001100] ...tick...
1098.50ns INFO [00001100] Port=0 RD @08
1099.50ns INFO [00001101] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1099.50ns INFO [00001101] * RD COMPARE * port=1 adr=1B act=448499D1 exp=448499D1
1099.50ns INFO [00001101] Port=0 WR @0B=E9FF8E54
1099.50ns INFO [00001101] Port=1 RD @13
1100.50ns INFO [00001102] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266
1101.50ns INFO [00001103] * RD COMPARE * port=1 adr=13 act=C1953E72 exp=C1953E72
1101.50ns INFO [00001103] Port=1 RD @0B
1102.50ns INFO [00001104] Port=0 WR @12=C9916254
1102.50ns INFO [00001104] Port=0 RD @0F
1103.50ns INFO [00001105] * RD COMPARE * port=1 adr=0B act=E9FF8E54 exp=E9FF8E54
1103.50ns INFO [00001105] Port=0 WR @15=CDE07F1A
1104.50ns INFO [00001106] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1104.50ns INFO [00001106] Port=0 RD @13
1104.50ns INFO [00001106] Port=1 RD @08
1106.50ns INFO [00001108] * RD COMPARE * port=0 adr=13 act=C1953E72 exp=C1953E72
1106.50ns INFO [00001108] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266
1106.50ns INFO [00001108] Port=1 RD @14
1107.50ns INFO [00001109] Port=0 WR @11=878537F3
1107.50ns INFO [00001109] Port=1 RD @03
1108.50ns INFO [00001110] * RD COMPARE * port=1 adr=14 act=F8D226DC exp=F8D226DC
1109.50ns INFO [00001111] * RD COMPARE * port=1 adr=03 act=9B568A77 exp=9B568A77
1109.50ns INFO [00001111] Port=0 RD @05
1109.50ns INFO [00001111] Port=1 RD @07
1110.50ns INFO [00001112] Port=0 RD @19
1110.50ns INFO [00001112] Port=1 RD @18
1111.50ns INFO [00001113] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65
1111.50ns INFO [00001113] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F
1112.50ns INFO [00001114] * RD COMPARE * port=0 adr=19 act=30DFD32E exp=30DFD32E
1112.50ns INFO [00001114] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE
1113.50ns INFO [00001115] Port=0 RD @19
1114.50ns INFO [00001116] Port=0 WR @0A=D14150EE
1114.50ns INFO [00001116] Port=0 RD @0F
1114.50ns INFO [00001116] Port=1 RD @17
1115.50ns INFO [00001117] * RD COMPARE * port=0 adr=19 act=30DFD32E exp=30DFD32E
1115.50ns INFO [00001117] Port=1 RD @05
1116.50ns INFO [00001118] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1116.50ns INFO [00001118] * RD COMPARE * port=1 adr=17 act=554368EF exp=554368EF
1116.50ns INFO [00001118] Port=0 RD @16
1117.50ns INFO [00001119] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65
1117.50ns INFO [00001119] Port=0 WR @0B=CEE7D5E5
1118.50ns INFO [00001120] * RD COMPARE * port=0 adr=16 act=3CAD5DF1 exp=3CAD5DF1
1118.50ns INFO [00001120] Port=0 WR @0E=359A491C
1118.50ns INFO [00001120] Port=0 RD @05
1118.50ns INFO [00001120] Port=1 RD @05
1119.50ns INFO [00001121] Port=0 RD @0F
1120.50ns INFO [00001122] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65
1120.50ns INFO [00001122] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65
1120.50ns INFO [00001122] Port=0 WR @04=306E9906
1120.50ns INFO [00001122] Port=0 RD @12
1121.50ns INFO [00001123] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1122.50ns INFO [00001124] * RD COMPARE * port=0 adr=12 act=C9916254 exp=C9916254
1123.50ns INFO [00001125] Port=1 RD @04
1124.50ns INFO [00001126] Port=0 RD @12
1124.50ns INFO [00001126] Port=1 RD @00
1125.50ns INFO [00001127] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1125.50ns INFO [00001127] Port=0 RD @15
1125.50ns INFO [00001127] Port=1 RD @17
1126.50ns INFO [00001128] * RD COMPARE * port=0 adr=12 act=C9916254 exp=C9916254
1126.50ns INFO [00001128] * RD COMPARE * port=1 adr=00 act=2739F71E exp=2739F71E
1126.50ns INFO [00001128] Port=0 WR @0B=72847D05
1126.50ns INFO [00001128] Port=0 RD @00
1127.50ns INFO [00001129] * RD COMPARE * port=0 adr=15 act=CDE07F1A exp=CDE07F1A
1127.50ns INFO [00001129] * RD COMPARE * port=1 adr=17 act=554368EF exp=554368EF
1127.50ns INFO [00001129] Port=0 RD @0B
1128.50ns INFO [00001130] * RD COMPARE * port=0 adr=00 act=2739F71E exp=2739F71E
1128.50ns INFO [00001130] Port=0 RD @1D
1129.50ns INFO [00001131] * RD COMPARE * port=0 adr=0B act=72847D05 exp=72847D05
1129.50ns INFO [00001131] Port=0 RD @06
1129.50ns INFO [00001131] Port=1 RD @0B
1130.50ns INFO [00001132] * RD COMPARE * port=0 adr=1D act=D7DC7442 exp=D7DC7442
1131.50ns INFO [00001133] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A
1131.50ns INFO [00001133] * RD COMPARE * port=1 adr=0B act=72847D05 exp=72847D05
1131.50ns INFO [00001133] Port=0 RD @04
1132.50ns INFO [00001134] Port=0 WR @00=F570020E
1133.50ns INFO [00001135] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906
1133.50ns INFO [00001135] Port=0 WR @0D=7F130F74
1133.50ns INFO [00001135] Port=0 RD @10
1133.50ns INFO [00001135] Port=1 RD @04
1134.50ns INFO [00001136] Port=0 WR @00=2E4A38DD
1134.50ns INFO [00001136] Port=0 RD @1D
1135.50ns INFO [00001137] * RD COMPARE * port=0 adr=10 act=ADD3DE42 exp=ADD3DE42
1135.50ns INFO [00001137] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1135.50ns INFO [00001137] Port=0 WR @10=7BF2289B
1135.50ns INFO [00001137] Port=0 RD @0D
1136.50ns INFO [00001138] * RD COMPARE * port=0 adr=1D act=D7DC7442 exp=D7DC7442
1136.50ns INFO [00001138] Port=1 RD @18
1137.50ns INFO [00001139] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74
1138.50ns INFO [00001140] * RD COMPARE * port=1 adr=18 act=F2D6CDCE exp=F2D6CDCE
1138.50ns INFO [00001140] Port=0 WR @0E=C682B5F5
1138.50ns INFO [00001140] Port=1 RD @04
1139.50ns INFO [00001141] Port=0 WR @17=2E9CBCDF
1139.50ns INFO [00001141] Port=1 RD @16
1140.50ns INFO [00001142] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1141.50ns INFO [00001143] * RD COMPARE * port=1 adr=16 act=3CAD5DF1 exp=3CAD5DF1
1142.50ns INFO [00001144] Port=0 RD @0F
1143.50ns INFO [00001145] Port=0 WR @16=0B06F994
1143.50ns INFO [00001145] Port=1 RD @07
1144.50ns INFO [00001146] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1145.50ns INFO [00001147] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F
1145.50ns INFO [00001147] Port=0 WR @14=2432AA4B
1147.50ns INFO [00001149] Port=0 WR @00=EA772F8B
1147.50ns INFO [00001149] Port=1 RD @0D
1148.50ns INFO [00001150] Port=0 WR @19=1B569C86
1149.50ns INFO [00001151] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74
1149.50ns INFO [00001151] Port=0 RD @18
1150.50ns INFO [00001152] Port=0 RD @1B
1151.50ns INFO [00001153] * RD COMPARE * port=0 adr=18 act=F2D6CDCE exp=F2D6CDCE
1151.50ns INFO [00001153] Port=0 RD @1A
1152.50ns INFO [00001154] * RD COMPARE * port=0 adr=1B act=448499D1 exp=448499D1
1152.50ns INFO [00001154] Port=1 RD @0B
1153.50ns INFO [00001155] * RD COMPARE * port=0 adr=1A act=E42D60BF exp=E42D60BF
1153.50ns INFO [00001155] Port=0 RD @09
1154.50ns INFO [00001156] * RD COMPARE * port=1 adr=0B act=72847D05 exp=72847D05
1154.50ns INFO [00001156] Port=0 RD @15
1155.50ns INFO [00001157] * RD COMPARE * port=0 adr=09 act=B18FD892 exp=B18FD892
1155.50ns INFO [00001157] Port=0 WR @1A=9AC08FA1
1155.50ns INFO [00001157] Port=1 RD @12
1156.50ns INFO [00001158] * RD COMPARE * port=0 adr=15 act=CDE07F1A exp=CDE07F1A
1156.50ns INFO [00001158] Port=0 RD @0F
1157.50ns INFO [00001159] * RD COMPARE * port=1 adr=12 act=C9916254 exp=C9916254
1157.50ns INFO [00001159] Port=0 WR @0B=D9D4563A
1157.50ns INFO [00001159] Port=1 RD @0F
1158.50ns INFO [00001160] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1158.50ns INFO [00001160] Port=0 WR @16=0001657D
1159.50ns INFO [00001161] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA
1159.50ns INFO [00001161] Port=0 WR @13=884D24C1
1159.50ns INFO [00001161] Port=1 RD @0B
1160.50ns INFO [00001162] Port=0 RD @09
1161.50ns INFO [00001163] * RD COMPARE * port=1 adr=0B act=D9D4563A exp=D9D4563A
1161.50ns INFO [00001163] Port=1 RD @0F
1162.50ns INFO [00001164] * RD COMPARE * port=0 adr=09 act=B18FD892 exp=B18FD892
1162.50ns INFO [00001164] Port=1 RD @1A
1163.50ns INFO [00001165] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA
1163.50ns INFO [00001165] Port=0 WR @14=82CAE043
1164.50ns INFO [00001166] * RD COMPARE * port=1 adr=1A act=9AC08FA1 exp=9AC08FA1
1164.50ns INFO [00001166] Port=0 WR @1E=EFE57229
1166.50ns INFO [00001168] Port=0 WR @1B=D5D85D8E
1166.50ns INFO [00001168] Port=1 RD @04
1167.50ns INFO [00001169] Port=1 RD @14
1168.50ns INFO [00001170] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1168.50ns INFO [00001170] Port=0 WR @09=765E9D43
1168.50ns INFO [00001170] Port=1 RD @0E
1169.50ns INFO [00001171] * RD COMPARE * port=1 adr=14 act=82CAE043 exp=82CAE043
1169.50ns INFO [00001171] Port=1 RD @0D
1170.50ns INFO [00001172] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5
1171.50ns INFO [00001173] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74
1171.50ns INFO [00001173] Port=1 RD @09
1172.50ns INFO [00001174] Port=0 WR @14=6F8D3214
1172.50ns INFO [00001174] Port=1 RD @15
1173.50ns INFO [00001175] * RD COMPARE * port=1 adr=09 act=765E9D43 exp=765E9D43
1173.50ns INFO [00001175] Port=1 RD @08
1174.50ns INFO [00001176] * RD COMPARE * port=1 adr=15 act=CDE07F1A exp=CDE07F1A
1174.50ns INFO [00001176] Port=0 WR @12=74C17F39
1174.50ns INFO [00001176] Port=1 RD @0E
1175.50ns INFO [00001177] * RD COMPARE * port=1 adr=08 act=30483266 exp=30483266
1175.50ns INFO [00001177] Port=0 WR @02=FCC8197B
1175.50ns INFO [00001177] Port=0 RD @09
1175.50ns INFO [00001177] Port=1 RD @11
1176.50ns INFO [00001178] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5
1176.50ns INFO [00001178] Port=0 WR @1B=3F145A52
1177.50ns INFO [00001179] * RD COMPARE * port=0 adr=09 act=765E9D43 exp=765E9D43
1177.50ns INFO [00001179] * RD COMPARE * port=1 adr=11 act=878537F3 exp=878537F3
1177.50ns INFO [00001179] Port=0 RD @1C
1177.50ns INFO [00001179] Port=1 RD @0E
1178.50ns INFO [00001180] Port=0 WR @15=99716294
1178.50ns INFO [00001180] Port=0 RD @01
1179.50ns INFO [00001181] * RD COMPARE * port=0 adr=1C act=54ADC5FB exp=54ADC5FB
1179.50ns INFO [00001181] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5
1179.50ns INFO [00001181] Port=0 WR @1A=A9EBA845
1180.50ns INFO [00001182] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB
1180.50ns INFO [00001182] Port=1 RD @06
1181.50ns INFO [00001183] Port=0 WR @0B=593B5BC5
1181.50ns INFO [00001183] Port=0 RD @09
1182.50ns INFO [00001184] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A
1182.50ns INFO [00001184] Port=0 WR @13=82C505FC
1182.50ns INFO [00001184] Port=0 RD @00
1183.50ns INFO [00001185] * RD COMPARE * port=0 adr=09 act=765E9D43 exp=765E9D43
1184.50ns INFO [00001186] * RD COMPARE * port=0 adr=00 act=EA772F8B exp=EA772F8B
1184.50ns INFO [00001186] Port=0 RD @0D
1184.50ns INFO [00001186] Port=1 RD @19
1185.50ns INFO [00001187] Port=0 WR @15=95FAAD8C
1185.50ns INFO [00001187] Port=1 RD @17
1186.50ns INFO [00001188] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74
1186.50ns INFO [00001188] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86
1186.50ns INFO [00001188] Port=0 RD @0C
1187.50ns INFO [00001189] * RD COMPARE * port=1 adr=17 act=2E9CBCDF exp=2E9CBCDF
1187.50ns INFO [00001189] Port=0 RD @00
1188.50ns INFO [00001190] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
1188.50ns INFO [00001190] Port=0 WR @12=7537BB27
1189.50ns INFO [00001191] * RD COMPARE * port=0 adr=00 act=EA772F8B exp=EA772F8B
1189.50ns INFO [00001191] Port=0 WR @17=98984550
1190.50ns INFO [00001192] Port=0 RD @11
1190.50ns INFO [00001192] Port=1 RD @00
1191.50ns INFO [00001193] Port=0 RD @01
1191.50ns INFO [00001193] Port=1 RD @09
1192.50ns INFO [00001194] * RD COMPARE * port=0 adr=11 act=878537F3 exp=878537F3
1192.50ns INFO [00001194] * RD COMPARE * port=1 adr=00 act=EA772F8B exp=EA772F8B
1192.50ns INFO [00001194] Port=0 RD @07
1192.50ns INFO [00001194] Port=1 RD @1A
1193.50ns INFO [00001195] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB
1193.50ns INFO [00001195] * RD COMPARE * port=1 adr=09 act=765E9D43 exp=765E9D43
1193.50ns INFO [00001195] Port=0 WR @18=A7910989
1193.50ns INFO [00001195] Port=0 RD @17
1194.50ns INFO [00001196] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F
1194.50ns INFO [00001196] * RD COMPARE * port=1 adr=1A act=A9EBA845 exp=A9EBA845
1194.50ns INFO [00001196] Port=0 WR @13=BF95D673
1195.50ns INFO [00001197] * RD COMPARE * port=0 adr=17 act=98984550 exp=98984550
1195.50ns INFO [00001197] Port=0 WR @0B=2D782A4F
1195.50ns INFO [00001197] Port=0 RD @15
1196.50ns INFO [00001198] Port=0 WR @1B=AFF2EAF1
1196.50ns INFO [00001198] Port=1 RD @07
1197.50ns INFO [00001199] * RD COMPARE * port=0 adr=15 act=95FAAD8C exp=95FAAD8C
1197.50ns INFO [00001199] Port=0 WR @17=EC345447
1198.00ns INFO [00001200] [00001200] ...tick...
1198.50ns INFO [00001200] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F
1198.50ns INFO [00001200] Port=0 RD @1F
1199.50ns INFO [00001201] Port=0 WR @00=A070E000
1199.50ns INFO [00001201] Port=1 RD @13
1200.50ns INFO [00001202] * RD COMPARE * port=0 adr=1F act=EA1F2363 exp=EA1F2363
1200.50ns INFO [00001202] Port=0 RD @01
1200.50ns INFO [00001202] Port=1 RD @0F
1201.50ns INFO [00001203] * RD COMPARE * port=1 adr=13 act=BF95D673 exp=BF95D673
1201.50ns INFO [00001203] Port=0 WR @1C=260094AD
1201.50ns INFO [00001203] Port=1 RD @1A
1202.50ns INFO [00001204] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB
1202.50ns INFO [00001204] * RD COMPARE * port=1 adr=0F act=B8A773BA exp=B8A773BA
1202.50ns INFO [00001204] Port=0 WR @15=66EF99E0
1203.50ns INFO [00001205] * RD COMPARE * port=1 adr=1A act=A9EBA845 exp=A9EBA845
1204.50ns INFO [00001206] Port=0 RD @1A
1205.50ns INFO [00001207] Port=0 WR @09=9A7B400C
1206.50ns INFO [00001208] * RD COMPARE * port=0 adr=1A act=A9EBA845 exp=A9EBA845
1207.50ns INFO [00001209] Port=0 RD @01
1208.50ns INFO [00001210] Port=1 RD @13
1209.50ns INFO [00001211] * RD COMPARE * port=0 adr=01 act=287C2FFB exp=287C2FFB
1209.50ns INFO [00001211] Port=0 WR @1E=B614949C
1210.50ns INFO [00001212] * RD COMPARE * port=1 adr=13 act=BF95D673 exp=BF95D673
1211.50ns INFO [00001213] Port=1 RD @17
1212.50ns INFO [00001214] Port=1 RD @15
1213.50ns INFO [00001215] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447
1214.50ns INFO [00001216] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0
1214.50ns INFO [00001216] Port=0 RD @18
1215.50ns INFO [00001217] Port=0 RD @06
1215.50ns INFO [00001217] Port=1 RD @14
1216.50ns INFO [00001218] * RD COMPARE * port=0 adr=18 act=A7910989 exp=A7910989
1216.50ns INFO [00001218] Port=0 RD @02
1217.50ns INFO [00001219] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A
1217.50ns INFO [00001219] * RD COMPARE * port=1 adr=14 act=6F8D3214 exp=6F8D3214
1218.50ns INFO [00001220] * RD COMPARE * port=0 adr=02 act=FCC8197B exp=FCC8197B
1218.50ns INFO [00001220] Port=0 WR @02=AF5CCC40
1218.50ns INFO [00001220] Port=1 RD @07
1219.50ns INFO [00001221] Port=0 RD @08
1220.50ns INFO [00001222] * RD COMPARE * port=1 adr=07 act=7DAC804F exp=7DAC804F
1220.50ns INFO [00001222] Port=0 WR @1D=5922CFBE
1221.50ns INFO [00001223] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266
1221.50ns INFO [00001223] Port=0 WR @16=2C305958
1221.50ns INFO [00001223] Port=1 RD @01
1222.50ns INFO [00001224] Port=0 WR @09=445A9F76
1222.50ns INFO [00001224] Port=1 RD @0C
1223.50ns INFO [00001225] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB
1224.50ns INFO [00001226] * RD COMPARE * port=1 adr=0C act=D619D300 exp=D619D300
1224.50ns INFO [00001226] Port=0 WR @03=A9A92971
1224.50ns INFO [00001226] Port=1 RD @16
1225.50ns INFO [00001227] Port=0 RD @18
1226.50ns INFO [00001228] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958
1227.50ns INFO [00001229] * RD COMPARE * port=0 adr=18 act=A7910989 exp=A7910989
1227.50ns INFO [00001229] Port=0 RD @08
1228.50ns INFO [00001230] Port=0 WR @11=8D0BBF6E
1228.50ns INFO [00001230] Port=0 RD @05
1229.50ns INFO [00001231] * RD COMPARE * port=0 adr=08 act=30483266 exp=30483266
1229.50ns INFO [00001231] Port=0 RD @14
1230.50ns INFO [00001232] * RD COMPARE * port=0 adr=05 act=E9402C65 exp=E9402C65
1230.50ns INFO [00001232] Port=0 WR @1E=A688B568
1230.50ns INFO [00001232] Port=1 RD @05
1231.50ns INFO [00001233] * RD COMPARE * port=0 adr=14 act=6F8D3214 exp=6F8D3214
1231.50ns INFO [00001233] Port=0 WR @13=B592CF3D
1231.50ns INFO [00001233] Port=1 RD @0B
1232.50ns INFO [00001234] * RD COMPARE * port=1 adr=05 act=E9402C65 exp=E9402C65
1232.50ns INFO [00001234] Port=0 RD @02
1233.50ns INFO [00001235] * RD COMPARE * port=1 adr=0B act=2D782A4F exp=2D782A4F
1233.50ns INFO [00001235] Port=0 WR @14=41B28C57
1233.50ns INFO [00001235] Port=0 RD @1B
1234.50ns INFO [00001236] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40
1234.50ns INFO [00001236] Port=0 RD @1E
1235.50ns INFO [00001237] * RD COMPARE * port=0 adr=1B act=AFF2EAF1 exp=AFF2EAF1
1235.50ns INFO [00001237] Port=0 RD @1B
1235.50ns INFO [00001237] Port=1 RD @0A
1236.50ns INFO [00001238] * RD COMPARE * port=0 adr=1E act=A688B568 exp=A688B568
1237.50ns INFO [00001239] * RD COMPARE * port=0 adr=1B act=AFF2EAF1 exp=AFF2EAF1
1237.50ns INFO [00001239] * RD COMPARE * port=1 adr=0A act=D14150EE exp=D14150EE
1241.50ns INFO [00001243] Port=1 RD @0E
1242.50ns INFO [00001244] Port=1 RD @11
1243.50ns INFO [00001245] * RD COMPARE * port=1 adr=0E act=C682B5F5 exp=C682B5F5
1243.50ns INFO [00001245] Port=0 RD @06
1244.50ns INFO [00001246] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1244.50ns INFO [00001246] Port=0 RD @1E
1245.50ns INFO [00001247] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A
1245.50ns INFO [00001247] Port=0 RD @0C
1246.50ns INFO [00001248] * RD COMPARE * port=0 adr=1E act=A688B568 exp=A688B568
1246.50ns INFO [00001248] Port=1 RD @10
1247.50ns INFO [00001249] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
1248.50ns INFO [00001250] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1248.50ns INFO [00001250] Port=0 RD @11
1248.50ns INFO [00001250] Port=1 RD @18
1249.50ns INFO [00001251] Port=0 WR @05=3F3BAB81
1249.50ns INFO [00001251] Port=0 RD @14
1249.50ns INFO [00001251] Port=1 RD @10
1250.50ns INFO [00001252] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E
1250.50ns INFO [00001252] * RD COMPARE * port=1 adr=18 act=A7910989 exp=A7910989
1250.50ns INFO [00001252] Port=0 WR @08=D65AC7C1
1250.50ns INFO [00001252] Port=0 RD @0A
1251.50ns INFO [00001253] * RD COMPARE * port=0 adr=14 act=41B28C57 exp=41B28C57
1251.50ns INFO [00001253] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1251.50ns INFO [00001253] Port=1 RD @06
1252.50ns INFO [00001254] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE
1252.50ns INFO [00001254] Port=0 WR @1A=460D866E
1252.50ns INFO [00001254] Port=0 RD @16
1253.50ns INFO [00001255] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A
1253.50ns INFO [00001255] Port=0 WR @1A=58D4E9BF
1253.50ns INFO [00001255] Port=1 RD @19
1254.50ns INFO [00001256] * RD COMPARE * port=0 adr=16 act=2C305958 exp=2C305958
1254.50ns INFO [00001256] Port=0 WR @0E=56970ACC
1255.50ns INFO [00001257] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86
1255.50ns INFO [00001257] Port=0 WR @18=A585B630
1255.50ns INFO [00001257] Port=0 RD @0C
1256.50ns INFO [00001258] Port=0 RD @15
1257.50ns INFO [00001259] * RD COMPARE * port=0 adr=0C act=D619D300 exp=D619D300
1257.50ns INFO [00001259] Port=0 WR @1E=111FAC8A
1258.50ns INFO [00001260] * RD COMPARE * port=0 adr=15 act=66EF99E0 exp=66EF99E0
1259.50ns INFO [00001261] Port=0 RD @0A
1259.50ns INFO [00001261] Port=1 RD @10
1261.50ns INFO [00001263] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE
1261.50ns INFO [00001263] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1261.50ns INFO [00001263] Port=1 RD @01
1262.50ns INFO [00001264] Port=0 RD @07
1263.50ns INFO [00001265] * RD COMPARE * port=1 adr=01 act=287C2FFB exp=287C2FFB
1263.50ns INFO [00001265] Port=0 WR @0C=139628D6
1263.50ns INFO [00001265] Port=1 RD @1B
1264.50ns INFO [00001266] * RD COMPARE * port=0 adr=07 act=7DAC804F exp=7DAC804F
1264.50ns INFO [00001266] Port=0 WR @05=3DC53413
1264.50ns INFO [00001266] Port=1 RD @17
1265.50ns INFO [00001267] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1
1265.50ns INFO [00001267] Port=0 WR @1F=E6200CBB
1265.50ns INFO [00001267] Port=1 RD @17
1266.50ns INFO [00001268] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447
1266.50ns INFO [00001268] Port=1 RD @10
1267.50ns INFO [00001269] * RD COMPARE * port=1 adr=17 act=EC345447 exp=EC345447
1267.50ns INFO [00001269] Port=0 WR @03=D2ACB198
1268.50ns INFO [00001270] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1268.50ns INFO [00001270] Port=0 WR @09=52ABCE38
1268.50ns INFO [00001270] Port=1 RD @1A
1269.50ns INFO [00001271] Port=0 WR @1A=780987EC
1269.50ns INFO [00001271] Port=1 RD @16
1270.50ns INFO [00001272] * RD COMPARE * port=1 adr=1A act=58D4E9BF exp=58D4E9BF
1270.50ns INFO [00001272] Port=0 WR @1D=B8A6D5FD
1271.50ns INFO [00001273] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958
1271.50ns INFO [00001273] Port=0 WR @1D=AD0F02D9
1271.50ns INFO [00001273] Port=1 RD @0C
1272.50ns INFO [00001274] Port=0 WR @03=5EF994D6
1272.50ns INFO [00001274] Port=1 RD @16
1273.50ns INFO [00001275] * RD COMPARE * port=1 adr=0C act=139628D6 exp=139628D6
1273.50ns INFO [00001275] Port=0 WR @00=5308E352
1274.50ns INFO [00001276] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958
1274.50ns INFO [00001276] Port=0 WR @17=EC420525
1274.50ns INFO [00001276] Port=0 RD @1D
1274.50ns INFO [00001276] Port=1 RD @1B
1275.50ns INFO [00001277] Port=0 RD @0A
1275.50ns INFO [00001277] Port=1 RD @11
1276.50ns INFO [00001278] * RD COMPARE * port=0 adr=1D act=AD0F02D9 exp=AD0F02D9
1276.50ns INFO [00001278] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1
1276.50ns INFO [00001278] Port=0 RD @11
1277.50ns INFO [00001279] * RD COMPARE * port=0 adr=0A act=D14150EE exp=D14150EE
1277.50ns INFO [00001279] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1277.50ns INFO [00001279] Port=0 WR @0C=E817F0DF
1277.50ns INFO [00001279] Port=0 RD @09
1277.50ns INFO [00001279] Port=1 RD @00
1278.50ns INFO [00001280] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E
1278.50ns INFO [00001280] Port=0 WR @08=F5142682
1279.50ns INFO [00001281] * RD COMPARE * port=0 adr=09 act=52ABCE38 exp=52ABCE38
1279.50ns INFO [00001281] * RD COMPARE * port=1 adr=00 act=5308E352 exp=5308E352
1279.50ns INFO [00001281] Port=0 WR @05=0D16C525
1279.50ns INFO [00001281] Port=0 RD @18
1279.50ns INFO [00001281] Port=1 RD @0C
1280.50ns INFO [00001282] Port=0 RD @11
1281.50ns INFO [00001283] * RD COMPARE * port=0 adr=18 act=A585B630 exp=A585B630
1281.50ns INFO [00001283] * RD COMPARE * port=1 adr=0C act=E817F0DF exp=E817F0DF
1282.50ns INFO [00001284] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E
1283.50ns INFO [00001285] Port=0 RD @05
1283.50ns INFO [00001285] Port=1 RD @05
1284.50ns INFO [00001286] Port=1 RD @04
1285.50ns INFO [00001287] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525
1285.50ns INFO [00001287] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525
1285.50ns INFO [00001287] Port=0 WR @00=06A1DFFD
1285.50ns INFO [00001287] Port=0 RD @10
1285.50ns INFO [00001287] Port=1 RD @05
1286.50ns INFO [00001288] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1286.50ns INFO [00001288] Port=0 RD @1A
1286.50ns INFO [00001288] Port=1 RD @0D
1287.50ns INFO [00001289] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B
1287.50ns INFO [00001289] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525
1288.50ns INFO [00001290] * RD COMPARE * port=0 adr=1A act=780987EC exp=780987EC
1288.50ns INFO [00001290] * RD COMPARE * port=1 adr=0D act=7F130F74 exp=7F130F74
1288.50ns INFO [00001290] Port=0 RD @11
1289.50ns INFO [00001291] Port=0 WR @1E=44813047
1289.50ns INFO [00001291] Port=0 RD @13
1290.50ns INFO [00001292] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E
1290.50ns INFO [00001292] Port=0 RD @10
1290.50ns INFO [00001292] Port=1 RD @15
1291.50ns INFO [00001293] * RD COMPARE * port=0 adr=13 act=B592CF3D exp=B592CF3D
1291.50ns INFO [00001293] Port=1 RD @02
1292.50ns INFO [00001294] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B
1292.50ns INFO [00001294] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0
1292.50ns INFO [00001294] Port=0 WR @18=4420F317
1292.50ns INFO [00001294] Port=0 RD @00
1293.50ns INFO [00001295] * RD COMPARE * port=1 adr=02 act=AF5CCC40 exp=AF5CCC40
1293.50ns INFO [00001295] Port=0 RD @0F
1293.50ns INFO [00001295] Port=1 RD @15
1294.50ns INFO [00001296] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD
1294.50ns INFO [00001296] Port=1 RD @15
1295.50ns INFO [00001297] * RD COMPARE * port=0 adr=0F act=B8A773BA exp=B8A773BA
1295.50ns INFO [00001297] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0
1295.50ns INFO [00001297] Port=1 RD @16
1296.50ns INFO [00001298] * RD COMPARE * port=1 adr=15 act=66EF99E0 exp=66EF99E0
1296.50ns INFO [00001298] Port=0 WR @15=7F14E26A
1296.50ns INFO [00001298] Port=0 RD @0B
1296.50ns INFO [00001298] Port=1 RD @12
1297.50ns INFO [00001299] * RD COMPARE * port=1 adr=16 act=2C305958 exp=2C305958
1297.50ns INFO [00001299] Port=1 RD @11
1298.00ns INFO [00001300] [00001300] ...tick...
1298.50ns INFO [00001300] * RD COMPARE * port=0 adr=0B act=2D782A4F exp=2D782A4F
1298.50ns INFO [00001300] * RD COMPARE * port=1 adr=12 act=7537BB27 exp=7537BB27
1298.50ns INFO [00001300] Port=0 WR @07=7434846F
1298.50ns INFO [00001300] Port=1 RD @1F
1299.50ns INFO [00001301] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1300.50ns INFO [00001302] * RD COMPARE * port=1 adr=1F act=E6200CBB exp=E6200CBB
1300.50ns INFO [00001302] Port=1 RD @1A
1302.50ns INFO [00001304] * RD COMPARE * port=1 adr=1A act=780987EC exp=780987EC
1302.50ns INFO [00001304] Port=1 RD @06
1304.50ns INFO [00001306] * RD COMPARE * port=1 adr=06 act=6BF61D1A exp=6BF61D1A
1304.50ns INFO [00001306] Port=0 WR @07=43DDE4F1
1305.50ns INFO [00001307] Port=0 RD @07
1305.50ns INFO [00001307] Port=1 RD @11
1307.50ns INFO [00001309] * RD COMPARE * port=0 adr=07 act=43DDE4F1 exp=43DDE4F1
1307.50ns INFO [00001309] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1307.50ns INFO [00001309] Port=0 RD @00
1309.50ns INFO [00001311] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD
1309.50ns INFO [00001311] Port=0 WR @09=324E7F7B
1310.50ns INFO [00001312] Port=0 WR @01=EA5F5BE1
1310.50ns INFO [00001312] Port=0 RD @0B
1311.50ns INFO [00001313] Port=0 RD @0D
1311.50ns INFO [00001313] Port=1 RD @01
1312.50ns INFO [00001314] * RD COMPARE * port=0 adr=0B act=2D782A4F exp=2D782A4F
1313.50ns INFO [00001315] * RD COMPARE * port=0 adr=0D act=7F130F74 exp=7F130F74
1313.50ns INFO [00001315] * RD COMPARE * port=1 adr=01 act=EA5F5BE1 exp=EA5F5BE1
1313.50ns INFO [00001315] Port=1 RD @10
1315.50ns INFO [00001317] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1315.50ns INFO [00001317] Port=0 WR @1E=563F5F6F
1315.50ns INFO [00001317] Port=1 RD @15
1316.50ns INFO [00001318] Port=0 RD @00
1316.50ns INFO [00001318] Port=1 RD @11
1317.50ns INFO [00001319] * RD COMPARE * port=1 adr=15 act=7F14E26A exp=7F14E26A
1317.50ns INFO [00001319] Port=1 RD @1A
1318.50ns INFO [00001320] * RD COMPARE * port=0 adr=00 act=06A1DFFD exp=06A1DFFD
1318.50ns INFO [00001320] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1319.50ns INFO [00001321] * RD COMPARE * port=1 adr=1A act=780987EC exp=780987EC
1320.50ns INFO [00001322] Port=0 WR @16=DE863496
1320.50ns INFO [00001322] Port=1 RD @19
1321.50ns INFO [00001323] Port=0 WR @01=C6EDDC4F
1321.50ns INFO [00001323] Port=1 RD @00
1322.50ns INFO [00001324] * RD COMPARE * port=1 adr=19 act=1B569C86 exp=1B569C86
1322.50ns INFO [00001324] Port=0 RD @0C
1323.50ns INFO [00001325] * RD COMPARE * port=1 adr=00 act=06A1DFFD exp=06A1DFFD
1324.50ns INFO [00001326] * RD COMPARE * port=0 adr=0C act=E817F0DF exp=E817F0DF
1324.50ns INFO [00001326] Port=0 RD @01
1324.50ns INFO [00001326] Port=1 RD @13
1325.50ns INFO [00001327] Port=0 RD @15
1326.50ns INFO [00001328] * RD COMPARE * port=0 adr=01 act=C6EDDC4F exp=C6EDDC4F
1326.50ns INFO [00001328] * RD COMPARE * port=1 adr=13 act=B592CF3D exp=B592CF3D
1326.50ns INFO [00001328] Port=1 RD @17
1327.50ns INFO [00001329] * RD COMPARE * port=0 adr=15 act=7F14E26A exp=7F14E26A
1328.50ns INFO [00001330] * RD COMPARE * port=1 adr=17 act=EC420525 exp=EC420525
1328.50ns INFO [00001330] Port=0 WR @18=110F9F72
1329.50ns INFO [00001331] Port=0 RD @11
1330.50ns INFO [00001332] Port=0 RD @19
1331.50ns INFO [00001333] * RD COMPARE * port=0 adr=11 act=8D0BBF6E exp=8D0BBF6E
1332.50ns INFO [00001334] * RD COMPARE * port=0 adr=19 act=1B569C86 exp=1B569C86
1332.50ns INFO [00001334] Port=0 WR @09=A9BE16D9
1333.50ns INFO [00001335] Port=1 RD @04
1334.50ns INFO [00001336] Port=0 WR @19=959CB6B6
1334.50ns INFO [00001336] Port=0 RD @1F
1334.50ns INFO [00001336] Port=1 RD @09
1335.50ns INFO [00001337] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1335.50ns INFO [00001337] Port=0 WR @14=4F82599D
1336.50ns INFO [00001338] * RD COMPARE * port=0 adr=1F act=E6200CBB exp=E6200CBB
1336.50ns INFO [00001338] * RD COMPARE * port=1 adr=09 act=A9BE16D9 exp=A9BE16D9
1336.50ns INFO [00001338] Port=0 WR @1A=FA18D8AD
1337.50ns INFO [00001339] Port=0 RD @09
1337.50ns INFO [00001339] Port=1 RD @1A
1338.50ns INFO [00001340] Port=0 WR @1C=DAA281B9
1338.50ns INFO [00001340] Port=1 RD @01
1339.50ns INFO [00001341] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9
1339.50ns INFO [00001341] * RD COMPARE * port=1 adr=1A act=FA18D8AD exp=FA18D8AD
1339.50ns INFO [00001341] Port=0 RD @06
1340.50ns INFO [00001342] * RD COMPARE * port=1 adr=01 act=C6EDDC4F exp=C6EDDC4F
1340.50ns INFO [00001342] Port=0 RD @04
1340.50ns INFO [00001342] Port=1 RD @15
1341.50ns INFO [00001343] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A
1341.50ns INFO [00001343] Port=0 RD @06
1342.50ns INFO [00001344] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906
1342.50ns INFO [00001344] * RD COMPARE * port=1 adr=15 act=7F14E26A exp=7F14E26A
1343.50ns INFO [00001345] * RD COMPARE * port=0 adr=06 act=6BF61D1A exp=6BF61D1A
1344.50ns INFO [00001346] Port=0 WR @15=0603BEDD
1344.50ns INFO [00001346] Port=0 RD @14
1344.50ns INFO [00001346] Port=1 RD @11
1346.50ns INFO [00001348] * RD COMPARE * port=0 adr=14 act=4F82599D exp=4F82599D
1346.50ns INFO [00001348] * RD COMPARE * port=1 adr=11 act=8D0BBF6E exp=8D0BBF6E
1349.50ns INFO [00001351] Port=0 RD @14
1349.50ns INFO [00001351] Port=1 RD @19
1351.50ns INFO [00001353] * RD COMPARE * port=0 adr=14 act=4F82599D exp=4F82599D
1351.50ns INFO [00001353] * RD COMPARE * port=1 adr=19 act=959CB6B6 exp=959CB6B6
1352.50ns INFO [00001354] Port=0 WR @13=A5490558
1353.50ns INFO [00001355] Port=1 RD @14
1354.50ns INFO [00001356] Port=0 WR @16=875617D4
1354.50ns INFO [00001356] Port=1 RD @0A
1355.50ns INFO [00001357] * RD COMPARE * port=1 adr=14 act=4F82599D exp=4F82599D
1355.50ns INFO [00001357] Port=0 WR @18=4104DC47
1356.50ns INFO [00001358] * RD COMPARE * port=1 adr=0A act=D14150EE exp=D14150EE
1356.50ns INFO [00001358] Port=0 WR @1C=5988A163
1356.50ns INFO [00001358] Port=1 RD @04
1357.50ns INFO [00001359] Port=0 WR @17=2CD5CDE2
1358.50ns INFO [00001360] * RD COMPARE * port=1 adr=04 act=306E9906 exp=306E9906
1358.50ns INFO [00001360] Port=0 WR @00=6289B369
1358.50ns INFO [00001360] Port=1 RD @01
1359.50ns INFO [00001361] Port=0 RD @1A
1360.50ns INFO [00001362] * RD COMPARE * port=1 adr=01 act=C6EDDC4F exp=C6EDDC4F
1360.50ns INFO [00001362] Port=0 RD @16
1361.50ns INFO [00001363] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD
1362.50ns INFO [00001364] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1363.50ns INFO [00001365] Port=0 RD @1A
1365.50ns INFO [00001367] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD
1365.50ns INFO [00001367] Port=1 RD @1D
1366.50ns INFO [00001368] Port=0 WR @0C=348AEE93
1366.50ns INFO [00001368] Port=0 RD @04
1367.50ns INFO [00001369] * RD COMPARE * port=1 adr=1D act=AD0F02D9 exp=AD0F02D9
1368.50ns INFO [00001370] * RD COMPARE * port=0 adr=04 act=306E9906 exp=306E9906
1368.50ns INFO [00001370] Port=0 WR @04=A9AEAC33
1368.50ns INFO [00001370] Port=0 RD @13
1369.50ns INFO [00001371] Port=0 WR @06=A03C7042
1370.50ns INFO [00001372] * RD COMPARE * port=0 adr=13 act=A5490558 exp=A5490558
1371.50ns INFO [00001373] Port=0 WR @0A=9427EB31
1371.50ns INFO [00001373] Port=0 RD @1D
1372.50ns INFO [00001374] Port=0 RD @07
1373.50ns INFO [00001375] * RD COMPARE * port=0 adr=1D act=AD0F02D9 exp=AD0F02D9
1373.50ns INFO [00001375] Port=0 RD @0E
1374.50ns INFO [00001376] * RD COMPARE * port=0 adr=07 act=43DDE4F1 exp=43DDE4F1
1375.50ns INFO [00001377] * RD COMPARE * port=0 adr=0E act=56970ACC exp=56970ACC
1375.50ns INFO [00001377] Port=0 WR @14=DCB5D1E6
1375.50ns INFO [00001377] Port=1 RD @00
1376.50ns INFO [00001378] Port=0 WR @1D=B212710B
1376.50ns INFO [00001378] Port=1 RD @12
1377.50ns INFO [00001379] * RD COMPARE * port=1 adr=00 act=6289B369 exp=6289B369
1377.50ns INFO [00001379] Port=0 RD @01
1378.50ns INFO [00001380] * RD COMPARE * port=1 adr=12 act=7537BB27 exp=7537BB27
1379.50ns INFO [00001381] * RD COMPARE * port=0 adr=01 act=C6EDDC4F exp=C6EDDC4F
1379.50ns INFO [00001381] Port=0 RD @02
1380.50ns INFO [00001382] Port=0 WR @03=615E04CF
1381.50ns INFO [00001383] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40
1382.50ns INFO [00001384] Port=0 WR @0B=BF4E847C
1383.50ns INFO [00001385] Port=0 WR @19=906E6CCB
1385.50ns INFO [00001387] Port=0 WR @1D=0DE1F3E1
1385.50ns INFO [00001387] Port=0 RD @19
1385.50ns INFO [00001387] Port=1 RD @08
1386.50ns INFO [00001388] Port=1 RD @18
1387.50ns INFO [00001389] * RD COMPARE * port=0 adr=19 act=906E6CCB exp=906E6CCB
1387.50ns INFO [00001389] * RD COMPARE * port=1 adr=08 act=F5142682 exp=F5142682
1387.50ns INFO [00001389] Port=0 WR @0D=A5EC1AF7
1387.50ns INFO [00001389] Port=0 RD @1A
1388.50ns INFO [00001390] * RD COMPARE * port=1 adr=18 act=4104DC47 exp=4104DC47
1388.50ns INFO [00001390] Port=1 RD @0D
1389.50ns INFO [00001391] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD
1389.50ns INFO [00001391] Port=0 WR @11=68D59238
1390.50ns INFO [00001392] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7
1391.50ns INFO [00001393] Port=0 WR @13=2EC12D06
1392.50ns INFO [00001394] Port=0 WR @07=1C465093
1392.50ns INFO [00001394] Port=0 RD @16
1393.50ns INFO [00001395] Port=0 WR @17=F445E162
1393.50ns INFO [00001395] Port=0 RD @09
1394.50ns INFO [00001396] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1394.50ns INFO [00001396] Port=0 WR @0A=934BD436
1394.50ns INFO [00001396] Port=0 RD @14
1395.50ns INFO [00001397] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9
1395.50ns INFO [00001397] Port=0 RD @0A
1396.50ns INFO [00001398] * RD COMPARE * port=0 adr=14 act=DCB5D1E6 exp=DCB5D1E6
1396.50ns INFO [00001398] Port=1 RD @08
1397.50ns INFO [00001399] * RD COMPARE * port=0 adr=0A act=934BD436 exp=934BD436
1398.00ns INFO [00001400] [00001400] ...tick...
1398.50ns INFO [00001400] * RD COMPARE * port=1 adr=08 act=F5142682 exp=F5142682
1399.50ns INFO [00001401] Port=0 WR @0F=070A78E2
1400.50ns INFO [00001402] Port=0 RD @04
1400.50ns INFO [00001402] Port=1 RD @14
1401.50ns INFO [00001403] Port=0 RD @02
1401.50ns INFO [00001403] Port=1 RD @10
1402.50ns INFO [00001404] * RD COMPARE * port=0 adr=04 act=A9AEAC33 exp=A9AEAC33
1402.50ns INFO [00001404] * RD COMPARE * port=1 adr=14 act=DCB5D1E6 exp=DCB5D1E6
1402.50ns INFO [00001404] Port=0 WR @02=06BDDFFA
1402.50ns INFO [00001404] Port=1 RD @0A
1403.50ns INFO [00001405] * RD COMPARE * port=0 adr=02 act=AF5CCC40 exp=AF5CCC40
1403.50ns INFO [00001405] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1403.50ns INFO [00001405] Port=1 RD @0D
1404.50ns INFO [00001406] * RD COMPARE * port=1 adr=0A act=934BD436 exp=934BD436
1405.50ns INFO [00001407] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7
1406.50ns INFO [00001408] Port=0 RD @1A
1406.50ns INFO [00001408] Port=1 RD @1D
1408.50ns INFO [00001410] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD
1408.50ns INFO [00001410] * RD COMPARE * port=1 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1410.50ns INFO [00001412] Port=0 RD @06
1411.50ns INFO [00001413] Port=1 RD @1C
1412.50ns INFO [00001414] * RD COMPARE * port=0 adr=06 act=A03C7042 exp=A03C7042
1412.50ns INFO [00001414] Port=0 WR @14=E2A4B3A9
1413.50ns INFO [00001415] * RD COMPARE * port=1 adr=1C act=5988A163 exp=5988A163
1413.50ns INFO [00001415] Port=1 RD @15
1414.50ns INFO [00001416] Port=0 WR @08=B749CE0E
1414.50ns INFO [00001416] Port=0 RD @07
1415.50ns INFO [00001417] * RD COMPARE * port=1 adr=15 act=0603BEDD exp=0603BEDD
1416.50ns INFO [00001418] * RD COMPARE * port=0 adr=07 act=1C465093 exp=1C465093
1417.50ns INFO [00001419] Port=0 WR @01=E38A1A66
1418.50ns INFO [00001420] Port=0 RD @11
1418.50ns INFO [00001420] Port=1 RD @09
1419.50ns INFO [00001421] Port=0 WR @06=2D0D0E40
1420.50ns INFO [00001422] * RD COMPARE * port=0 adr=11 act=68D59238 exp=68D59238
1420.50ns INFO [00001422] * RD COMPARE * port=1 adr=09 act=A9BE16D9 exp=A9BE16D9
1420.50ns INFO [00001422] Port=0 RD @1A
1422.50ns INFO [00001424] * RD COMPARE * port=0 adr=1A act=FA18D8AD exp=FA18D8AD
1422.50ns INFO [00001424] Port=0 WR @08=2C47B467
1423.50ns INFO [00001425] Port=0 WR @15=8F1A9DA9
1423.50ns INFO [00001425] Port=1 RD @07
1425.50ns INFO [00001427] * RD COMPARE * port=1 adr=07 act=1C465093 exp=1C465093
1425.50ns INFO [00001427] Port=0 RD @05
1425.50ns INFO [00001427] Port=1 RD @19
1426.50ns INFO [00001428] Port=0 RD @09
1426.50ns INFO [00001428] Port=1 RD @0D
1427.50ns INFO [00001429] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525
1427.50ns INFO [00001429] * RD COMPARE * port=1 adr=19 act=906E6CCB exp=906E6CCB
1428.50ns INFO [00001430] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9
1428.50ns INFO [00001430] * RD COMPARE * port=1 adr=0D act=A5EC1AF7 exp=A5EC1AF7
1428.50ns INFO [00001430] Port=0 RD @0A
1429.50ns INFO [00001431] Port=0 WR @03=27B06CA2
1430.50ns INFO [00001432] * RD COMPARE * port=0 adr=0A act=934BD436 exp=934BD436
1431.50ns INFO [00001433] Port=1 RD @10
1432.50ns INFO [00001434] Port=0 RD @02
1433.50ns INFO [00001435] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1433.50ns INFO [00001435] Port=0 WR @19=D0F03098
1434.50ns INFO [00001436] * RD COMPARE * port=0 adr=02 act=06BDDFFA exp=06BDDFFA
1434.50ns INFO [00001436] Port=0 WR @0E=D7685E27
1435.50ns INFO [00001437] Port=0 WR @0A=13542E0F
1436.50ns INFO [00001438] Port=0 RD @0E
1437.50ns INFO [00001439] Port=1 RD @03
1438.50ns INFO [00001440] * RD COMPARE * port=0 adr=0E act=D7685E27 exp=D7685E27
1439.50ns INFO [00001441] * RD COMPARE * port=1 adr=03 act=27B06CA2 exp=27B06CA2
1439.50ns INFO [00001441] Port=0 RD @1F
1439.50ns INFO [00001441] Port=1 RD @03
1440.50ns INFO [00001442] Port=1 RD @11
1441.50ns INFO [00001443] * RD COMPARE * port=0 adr=1F act=E6200CBB exp=E6200CBB
1441.50ns INFO [00001443] * RD COMPARE * port=1 adr=03 act=27B06CA2 exp=27B06CA2
1441.50ns INFO [00001443] Port=0 WR @11=D7397906
1441.50ns INFO [00001443] Port=0 RD @09
1442.50ns INFO [00001444] * RD COMPARE * port=1 adr=11 act=68D59238 exp=68D59238
1443.50ns INFO [00001445] * RD COMPARE * port=0 adr=09 act=A9BE16D9 exp=A9BE16D9
1443.50ns INFO [00001445] Port=0 RD @1E
1444.50ns INFO [00001446] Port=0 WR @12=BC9F2A5D
1444.50ns INFO [00001446] Port=1 RD @19
1445.50ns INFO [00001447] * RD COMPARE * port=0 adr=1E act=563F5F6F exp=563F5F6F
1445.50ns INFO [00001447] Port=0 WR @17=EAE55705
1446.50ns INFO [00001448] * RD COMPARE * port=1 adr=19 act=D0F03098 exp=D0F03098
1446.50ns INFO [00001448] Port=0 RD @1D
1447.50ns INFO [00001449] Port=0 RD @0F
1448.50ns INFO [00001450] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1448.50ns INFO [00001450] Port=0 WR @1F=F6822B45
1449.50ns INFO [00001451] * RD COMPARE * port=0 adr=0F act=070A78E2 exp=070A78E2
1449.50ns INFO [00001451] Port=0 WR @04=3AF0A7DE
1449.50ns INFO [00001451] Port=0 RD @07
1450.50ns INFO [00001452] Port=0 WR @09=FB53E1D8
1450.50ns INFO [00001452] Port=0 RD @08
1450.50ns INFO [00001452] Port=1 RD @00
1451.50ns INFO [00001453] * RD COMPARE * port=0 adr=07 act=1C465093 exp=1C465093
1451.50ns INFO [00001453] Port=0 WR @0D=27DFE578
1451.50ns INFO [00001453] Port=0 RD @11
1452.50ns INFO [00001454] * RD COMPARE * port=0 adr=08 act=2C47B467 exp=2C47B467
1452.50ns INFO [00001454] * RD COMPARE * port=1 adr=00 act=6289B369 exp=6289B369
1452.50ns INFO [00001454] Port=0 RD @17
1453.50ns INFO [00001455] * RD COMPARE * port=0 adr=11 act=D7397906 exp=D7397906
1453.50ns INFO [00001455] Port=0 WR @06=92B40BB7
1454.50ns INFO [00001456] * RD COMPARE * port=0 adr=17 act=EAE55705 exp=EAE55705
1455.50ns INFO [00001457] Port=1 RD @0A
1457.50ns INFO [00001459] * RD COMPARE * port=1 adr=0A act=13542E0F exp=13542E0F
1457.50ns INFO [00001459] Port=0 WR @11=723DC22E
1459.50ns INFO [00001461] Port=0 RD @12
1460.50ns INFO [00001462] Port=0 WR @13=663186FB
1461.50ns INFO [00001463] * RD COMPARE * port=0 adr=12 act=BC9F2A5D exp=BC9F2A5D
1461.50ns INFO [00001463] Port=0 WR @00=7FD8739F
1461.50ns INFO [00001463] Port=0 RD @0B
1462.50ns INFO [00001464] Port=0 RD @1D
1463.50ns INFO [00001465] * RD COMPARE * port=0 adr=0B act=BF4E847C exp=BF4E847C
1463.50ns INFO [00001465] Port=0 WR @0A=F4255EC0
1463.50ns INFO [00001465] Port=1 RD @11
1464.50ns INFO [00001466] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1464.50ns INFO [00001466] Port=0 RD @0C
1464.50ns INFO [00001466] Port=1 RD @05
1465.50ns INFO [00001467] * RD COMPARE * port=1 adr=11 act=723DC22E exp=723DC22E
1465.50ns INFO [00001467] Port=0 WR @07=4A8CAFAF
1465.50ns INFO [00001467] Port=1 RD @0D
1466.50ns INFO [00001468] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93
1466.50ns INFO [00001468] * RD COMPARE * port=1 adr=05 act=0D16C525 exp=0D16C525
1466.50ns INFO [00001468] Port=0 WR @0D=49C55FAE
1466.50ns INFO [00001468] Port=1 RD @1B
1467.50ns INFO [00001469] * RD COMPARE * port=1 adr=0D act=27DFE578 exp=27DFE578
1468.50ns INFO [00001470] * RD COMPARE * port=1 adr=1B act=AFF2EAF1 exp=AFF2EAF1
1468.50ns INFO [00001470] Port=0 WR @17=C4BC221A
1468.50ns INFO [00001470] Port=0 RD @1C
1469.50ns INFO [00001471] Port=0 WR @1B=491AB9DB
1469.50ns INFO [00001471] Port=0 RD @1C
1469.50ns INFO [00001471] Port=1 RD @1E
1470.50ns INFO [00001472] * RD COMPARE * port=0 adr=1C act=5988A163 exp=5988A163
1470.50ns INFO [00001472] Port=0 WR @06=1C9B3947
1470.50ns INFO [00001472] Port=0 RD @18
1471.50ns INFO [00001473] * RD COMPARE * port=0 adr=1C act=5988A163 exp=5988A163
1471.50ns INFO [00001473] * RD COMPARE * port=1 adr=1E act=563F5F6F exp=563F5F6F
1471.50ns INFO [00001473] Port=0 WR @18=89B2102C
1472.50ns INFO [00001474] * RD COMPARE * port=0 adr=18 act=4104DC47 exp=4104DC47
1472.50ns INFO [00001474] Port=0 RD @15
1473.50ns INFO [00001475] Port=0 WR @19=AE26F395
1473.50ns INFO [00001475] Port=0 RD @0E
1474.50ns INFO [00001476] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9
1474.50ns INFO [00001476] Port=0 WR @0A=728A6A13
1475.50ns INFO [00001477] * RD COMPARE * port=0 adr=0E act=D7685E27 exp=D7685E27
1475.50ns INFO [00001477] Port=0 WR @06=A623A4F4
1475.50ns INFO [00001477] Port=1 RD @11
1476.50ns INFO [00001478] Port=0 RD @13
1477.50ns INFO [00001479] * RD COMPARE * port=1 adr=11 act=723DC22E exp=723DC22E
1478.50ns INFO [00001480] * RD COMPARE * port=0 adr=13 act=663186FB exp=663186FB
1478.50ns INFO [00001480] Port=0 WR @06=0ECEFE46
1479.50ns INFO [00001481] Port=0 RD @15
1479.50ns INFO [00001481] Port=1 RD @18
1480.50ns INFO [00001482] Port=0 WR @06=1D330748
1481.50ns INFO [00001483] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9
1481.50ns INFO [00001483] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C
1481.50ns INFO [00001483] Port=0 RD @19
1482.50ns INFO [00001484] Port=1 RD @0B
1483.50ns INFO [00001485] * RD COMPARE * port=0 adr=19 act=AE26F395 exp=AE26F395
1483.50ns INFO [00001485] Port=1 RD @0B
1484.50ns INFO [00001486] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C
1484.50ns INFO [00001486] Port=0 WR @11=2A25C0BC
1485.50ns INFO [00001487] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C
1486.50ns INFO [00001488] Port=0 RD @15
1487.50ns INFO [00001489] Port=0 WR @1F=A2A5461B
1487.50ns INFO [00001489] Port=0 RD @05
1488.50ns INFO [00001490] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9
1488.50ns INFO [00001490] Port=0 RD @15
1488.50ns INFO [00001490] Port=1 RD @07
1489.50ns INFO [00001491] * RD COMPARE * port=0 adr=05 act=0D16C525 exp=0D16C525
1489.50ns INFO [00001491] Port=0 RD @10
1489.50ns INFO [00001491] Port=1 RD @19
1490.50ns INFO [00001492] * RD COMPARE * port=0 adr=15 act=8F1A9DA9 exp=8F1A9DA9
1490.50ns INFO [00001492] * RD COMPARE * port=1 adr=07 act=4A8CAFAF exp=4A8CAFAF
1490.50ns INFO [00001492] Port=0 WR @1B=EB2E53EF
1490.50ns INFO [00001492] Port=0 RD @1F
1490.50ns INFO [00001492] Port=1 RD @13
1491.50ns INFO [00001493] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B
1491.50ns INFO [00001493] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395
1491.50ns INFO [00001493] Port=0 WR @14=3236D96A
1492.50ns INFO [00001494] * RD COMPARE * port=0 adr=1F act=A2A5461B exp=A2A5461B
1492.50ns INFO [00001494] * RD COMPARE * port=1 adr=13 act=663186FB exp=663186FB
1493.50ns INFO [00001495] Port=0 WR @0E=979A8A4D
1493.50ns INFO [00001495] Port=0 RD @1D
1494.50ns INFO [00001496] Port=0 WR @05=5834B1A9
1495.50ns INFO [00001497] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1495.50ns INFO [00001497] Port=0 WR @06=199A3AB0
1495.50ns INFO [00001497] Port=1 RD @0B
1496.50ns INFO [00001498] Port=0 WR @1E=B3F5D83A
1497.50ns INFO [00001499] * RD COMPARE * port=1 adr=0B act=BF4E847C exp=BF4E847C
1497.50ns INFO [00001499] Port=0 WR @0B=38A83BA8
1497.50ns INFO [00001499] Port=1 RD @02
1498.00ns INFO [00001500] [00001500] ...tick...
1498.50ns INFO [00001500] Port=0 WR @03=F75D1B1F
1499.50ns INFO [00001501] * RD COMPARE * port=1 adr=02 act=06BDDFFA exp=06BDDFFA
1499.50ns INFO [00001501] Port=0 WR @03=25F083AC
1500.50ns INFO [00001502] Port=0 RD @1F
1501.50ns INFO [00001503] Port=1 RD @1A
1502.50ns INFO [00001504] * RD COMPARE * port=0 adr=1F act=A2A5461B exp=A2A5461B
1503.50ns INFO [00001505] * RD COMPARE * port=1 adr=1A act=FA18D8AD exp=FA18D8AD
1503.50ns INFO [00001505] Port=0 WR @1F=1B9B5FAD
1505.50ns INFO [00001507] Port=0 WR @07=92277D38
1506.50ns INFO [00001508] Port=1 RD @1B
1508.50ns INFO [00001510] * RD COMPARE * port=1 adr=1B act=EB2E53EF exp=EB2E53EF
1508.50ns INFO [00001510] Port=1 RD @11
1509.50ns INFO [00001511] Port=0 WR @1F=D7CE3BF9
1510.50ns INFO [00001512] * RD COMPARE * port=1 adr=11 act=2A25C0BC exp=2A25C0BC
1510.50ns INFO [00001512] Port=1 RD @05
1512.50ns INFO [00001514] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9
1513.50ns INFO [00001515] Port=1 RD @05
1514.50ns INFO [00001516] Port=1 RD @18
1515.50ns INFO [00001517] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9
1515.50ns INFO [00001517] Port=1 RD @03
1516.50ns INFO [00001518] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C
1516.50ns INFO [00001518] Port=0 RD @05
1517.50ns INFO [00001519] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1517.50ns INFO [00001519] Port=0 RD @0A
1518.50ns INFO [00001520] * RD COMPARE * port=0 adr=05 act=5834B1A9 exp=5834B1A9
1518.50ns INFO [00001520] Port=0 WR @14=2182E1DC
1518.50ns INFO [00001520] Port=1 RD @00
1519.50ns INFO [00001521] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13
1519.50ns INFO [00001521] Port=0 RD @0E
1520.50ns INFO [00001522] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F
1520.50ns INFO [00001522] Port=0 RD @0C
1520.50ns INFO [00001522] Port=1 RD @16
1521.50ns INFO [00001523] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D
1521.50ns INFO [00001523] Port=0 RD @07
1521.50ns INFO [00001523] Port=1 RD @0F
1522.50ns INFO [00001524] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93
1522.50ns INFO [00001524] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1522.50ns INFO [00001524] Port=0 WR @09=551D094D
1522.50ns INFO [00001524] Port=0 RD @06
1522.50ns INFO [00001524] Port=1 RD @1D
1523.50ns INFO [00001525] * RD COMPARE * port=0 adr=07 act=92277D38 exp=92277D38
1523.50ns INFO [00001525] * RD COMPARE * port=1 adr=0F act=070A78E2 exp=070A78E2
1523.50ns INFO [00001525] Port=0 WR @1B=1A2C3B81
1523.50ns INFO [00001525] Port=1 RD @09
1524.50ns INFO [00001526] * RD COMPARE * port=0 adr=06 act=199A3AB0 exp=199A3AB0
1524.50ns INFO [00001526] * RD COMPARE * port=1 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1525.50ns INFO [00001527] * RD COMPARE * port=1 adr=09 act=551D094D exp=551D094D
1525.50ns INFO [00001527] Port=0 RD @0C
1525.50ns INFO [00001527] Port=1 RD @1E
1526.50ns INFO [00001528] Port=0 WR @1C=4E23F21F
1526.50ns INFO [00001528] Port=0 RD @00
1527.50ns INFO [00001529] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93
1527.50ns INFO [00001529] * RD COMPARE * port=1 adr=1E act=B3F5D83A exp=B3F5D83A
1527.50ns INFO [00001529] Port=1 RD @13
1528.50ns INFO [00001530] * RD COMPARE * port=0 adr=00 act=7FD8739F exp=7FD8739F
1529.50ns INFO [00001531] * RD COMPARE * port=1 adr=13 act=663186FB exp=663186FB
1529.50ns INFO [00001531] Port=0 RD @14
1530.50ns INFO [00001532] Port=0 RD @09
1531.50ns INFO [00001533] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1531.50ns INFO [00001533] Port=1 RD @19
1532.50ns INFO [00001534] * RD COMPARE * port=0 adr=09 act=551D094D exp=551D094D
1533.50ns INFO [00001535] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395
1534.50ns INFO [00001536] Port=0 WR @15=A8AA3711
1535.50ns INFO [00001537] Port=0 WR @11=9E2F77B0
1536.50ns INFO [00001538] Port=0 RD @1D
1537.50ns INFO [00001539] Port=0 RD @14
1538.50ns INFO [00001540] * RD COMPARE * port=0 adr=1D act=0DE1F3E1 exp=0DE1F3E1
1538.50ns INFO [00001540] Port=0 WR @13=1591DB43
1538.50ns INFO [00001540] Port=0 RD @0C
1538.50ns INFO [00001540] Port=1 RD @10
1539.50ns INFO [00001541] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1539.50ns INFO [00001541] Port=0 RD @0F
1539.50ns INFO [00001541] Port=1 RD @0E
1540.50ns INFO [00001542] * RD COMPARE * port=0 adr=0C act=348AEE93 exp=348AEE93
1540.50ns INFO [00001542] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1540.50ns INFO [00001542] Port=1 RD @01
1541.50ns INFO [00001543] * RD COMPARE * port=0 adr=0F act=070A78E2 exp=070A78E2
1541.50ns INFO [00001543] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D
1541.50ns INFO [00001543] Port=1 RD @05
1542.50ns INFO [00001544] * RD COMPARE * port=1 adr=01 act=E38A1A66 exp=E38A1A66
1542.50ns INFO [00001544] Port=1 RD @11
1543.50ns INFO [00001545] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9
1543.50ns INFO [00001545] Port=1 RD @0C
1544.50ns INFO [00001546] * RD COMPARE * port=1 adr=11 act=9E2F77B0 exp=9E2F77B0
1544.50ns INFO [00001546] Port=0 WR @09=2084BF65
1544.50ns INFO [00001546] Port=1 RD @15
1545.50ns INFO [00001547] * RD COMPARE * port=1 adr=0C act=348AEE93 exp=348AEE93
1545.50ns INFO [00001547] Port=1 RD @03
1546.50ns INFO [00001548] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711
1546.50ns INFO [00001548] Port=0 WR @1A=48D979A8
1546.50ns INFO [00001548] Port=0 RD @0A
1546.50ns INFO [00001548] Port=1 RD @16
1547.50ns INFO [00001549] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1547.50ns INFO [00001549] Port=0 RD @0D
1547.50ns INFO [00001549] Port=1 RD @00
1548.50ns INFO [00001550] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13
1548.50ns INFO [00001550] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1548.50ns INFO [00001550] Port=0 WR @08=416F28C8
1548.50ns INFO [00001550] Port=1 RD @18
1549.50ns INFO [00001551] * RD COMPARE * port=0 adr=0D act=49C55FAE exp=49C55FAE
1549.50ns INFO [00001551] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F
1549.50ns INFO [00001551] Port=0 RD @17
1550.50ns INFO [00001552] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C
1550.50ns INFO [00001552] Port=0 RD @01
1551.50ns INFO [00001553] * RD COMPARE * port=0 adr=17 act=C4BC221A exp=C4BC221A
1551.50ns INFO [00001553] Port=0 WR @04=BAC3527F
1552.50ns INFO [00001554] * RD COMPARE * port=0 adr=01 act=E38A1A66 exp=E38A1A66
1552.50ns INFO [00001554] Port=0 WR @1D=78BEDA4E
1552.50ns INFO [00001554] Port=1 RD @00
1553.50ns INFO [00001555] Port=0 RD @1B
1553.50ns INFO [00001555] Port=1 RD @0E
1554.50ns INFO [00001556] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F
1554.50ns INFO [00001556] Port=0 WR @01=8EBA4280
1554.50ns INFO [00001556] Port=0 RD @16
1555.50ns INFO [00001557] * RD COMPARE * port=0 adr=1B act=1A2C3B81 exp=1A2C3B81
1555.50ns INFO [00001557] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D
1555.50ns INFO [00001557] Port=0 WR @04=AE609CF6
1555.50ns INFO [00001557] Port=0 RD @10
1555.50ns INFO [00001557] Port=1 RD @16
1556.50ns INFO [00001558] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1556.50ns INFO [00001558] Port=0 RD @1F
1557.50ns INFO [00001559] * RD COMPARE * port=0 adr=10 act=7BF2289B exp=7BF2289B
1557.50ns INFO [00001559] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1557.50ns INFO [00001559] Port=0 WR @1C=17929639
1558.50ns INFO [00001560] * RD COMPARE * port=0 adr=1F act=D7CE3BF9 exp=D7CE3BF9
1558.50ns INFO [00001560] Port=0 RD @18
1560.50ns INFO [00001562] * RD COMPARE * port=0 adr=18 act=89B2102C exp=89B2102C
1561.50ns INFO [00001563] Port=0 RD @0A
1562.50ns INFO [00001564] Port=0 WR @06=993BE812
1562.50ns INFO [00001564] Port=1 RD @17
1563.50ns INFO [00001565] * RD COMPARE * port=0 adr=0A act=728A6A13 exp=728A6A13
1563.50ns INFO [00001565] Port=0 WR @0C=A9B70B48
1563.50ns INFO [00001565] Port=0 RD @12
1564.50ns INFO [00001566] * RD COMPARE * port=1 adr=17 act=C4BC221A exp=C4BC221A
1564.50ns INFO [00001566] Port=0 WR @0F=CC9274A7
1564.50ns INFO [00001566] Port=0 RD @07
1565.50ns INFO [00001567] * RD COMPARE * port=0 adr=12 act=BC9F2A5D exp=BC9F2A5D
1565.50ns INFO [00001567] Port=0 RD @0D
1566.50ns INFO [00001568] * RD COMPARE * port=0 adr=07 act=92277D38 exp=92277D38
1567.50ns INFO [00001569] * RD COMPARE * port=0 adr=0D act=49C55FAE exp=49C55FAE
1567.50ns INFO [00001569] Port=0 RD @06
1567.50ns INFO [00001569] Port=1 RD @03
1568.50ns INFO [00001570] Port=0 WR @17=65C0064D
1569.50ns INFO [00001571] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812
1569.50ns INFO [00001571] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1570.50ns INFO [00001572] Port=0 WR @01=37988DB7
1570.50ns INFO [00001572] Port=0 RD @16
1571.50ns INFO [00001573] Port=0 RD @1D
1571.50ns INFO [00001573] Port=1 RD @08
1572.50ns INFO [00001574] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1573.50ns INFO [00001575] * RD COMPARE * port=0 adr=1D act=78BEDA4E exp=78BEDA4E
1573.50ns INFO [00001575] * RD COMPARE * port=1 adr=08 act=416F28C8 exp=416F28C8
1573.50ns INFO [00001575] Port=0 RD @03
1574.50ns INFO [00001576] Port=0 WR @1F=797703FE
1575.50ns INFO [00001577] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC
1575.50ns INFO [00001577] Port=0 WR @09=8205C4E8
1578.50ns INFO [00001580] Port=0 RD @0F
1578.50ns INFO [00001580] Port=1 RD @0D
1579.50ns INFO [00001581] Port=0 RD @1D
1580.50ns INFO [00001582] * RD COMPARE * port=0 adr=0F act=CC9274A7 exp=CC9274A7
1580.50ns INFO [00001582] * RD COMPARE * port=1 adr=0D act=49C55FAE exp=49C55FAE
1580.50ns INFO [00001582] Port=0 RD @11
1581.50ns INFO [00001583] * RD COMPARE * port=0 adr=1D act=78BEDA4E exp=78BEDA4E
1581.50ns INFO [00001583] Port=0 WR @1A=12538489
1581.50ns INFO [00001583] Port=0 RD @14
1581.50ns INFO [00001583] Port=1 RD @0E
1582.50ns INFO [00001584] * RD COMPARE * port=0 adr=11 act=9E2F77B0 exp=9E2F77B0
1583.50ns INFO [00001585] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1583.50ns INFO [00001585] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D
1583.50ns INFO [00001585] Port=0 RD @1B
1584.50ns INFO [00001586] Port=0 RD @14
1584.50ns INFO [00001586] Port=1 RD @05
1585.50ns INFO [00001587] * RD COMPARE * port=0 adr=1B act=1A2C3B81 exp=1A2C3B81
1585.50ns INFO [00001587] Port=0 RD @11
1585.50ns INFO [00001587] Port=1 RD @16
1586.50ns INFO [00001588] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1586.50ns INFO [00001588] * RD COMPARE * port=1 adr=05 act=5834B1A9 exp=5834B1A9
1586.50ns INFO [00001588] Port=1 RD @13
1587.50ns INFO [00001589] * RD COMPARE * port=0 adr=11 act=9E2F77B0 exp=9E2F77B0
1587.50ns INFO [00001589] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1588.50ns INFO [00001590] * RD COMPARE * port=1 adr=13 act=1591DB43 exp=1591DB43
1588.50ns INFO [00001590] Port=0 RD @17
1590.50ns INFO [00001592] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D
1590.50ns INFO [00001592] Port=0 WR @04=3C29BEC3
1590.50ns INFO [00001592] Port=1 RD @19
1591.50ns INFO [00001593] Port=0 RD @1C
1592.50ns INFO [00001594] * RD COMPARE * port=1 adr=19 act=AE26F395 exp=AE26F395
1592.50ns INFO [00001594] Port=0 WR @19=AEBDE77C
1593.50ns INFO [00001595] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639
1593.50ns INFO [00001595] Port=0 WR @0D=1A1F7217
1593.50ns INFO [00001595] Port=1 RD @0A
1595.50ns INFO [00001597] * RD COMPARE * port=1 adr=0A act=728A6A13 exp=728A6A13
1595.50ns INFO [00001597] Port=0 WR @05=975510D8
1595.50ns INFO [00001597] Port=0 RD @19
1595.50ns INFO [00001597] Port=1 RD @0E
1597.50ns INFO [00001599] * RD COMPARE * port=0 adr=19 act=AEBDE77C exp=AEBDE77C
1597.50ns INFO [00001599] * RD COMPARE * port=1 adr=0E act=979A8A4D exp=979A8A4D
1598.00ns INFO [00001600] [00001600] ...tick...
1598.50ns INFO [00001600] Port=0 WR @12=0AE4C2D5
1598.50ns INFO [00001600] Port=0 RD @0F
1599.50ns INFO [00001601] Port=1 RD @09
1600.50ns INFO [00001602] * RD COMPARE * port=0 adr=0F act=CC9274A7 exp=CC9274A7
1600.50ns INFO [00001602] Port=1 RD @0A
1601.50ns INFO [00001603] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8
1601.50ns INFO [00001603] Port=0 RD @0E
1601.50ns INFO [00001603] Port=1 RD @11
1602.50ns INFO [00001604] * RD COMPARE * port=1 adr=0A act=728A6A13 exp=728A6A13
1603.50ns INFO [00001605] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D
1603.50ns INFO [00001605] * RD COMPARE * port=1 adr=11 act=9E2F77B0 exp=9E2F77B0
1605.50ns INFO [00001607] Port=0 RD @15
1605.50ns INFO [00001607] Port=1 RD @10
1606.50ns INFO [00001608] Port=0 RD @15
1607.50ns INFO [00001609] * RD COMPARE * port=0 adr=15 act=A8AA3711 exp=A8AA3711
1607.50ns INFO [00001609] * RD COMPARE * port=1 adr=10 act=7BF2289B exp=7BF2289B
1607.50ns INFO [00001609] Port=0 WR @0D=5EB1F9C0
1608.50ns INFO [00001610] * RD COMPARE * port=0 adr=15 act=A8AA3711 exp=A8AA3711
1608.50ns INFO [00001610] Port=0 RD @14
1610.50ns INFO [00001612] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1610.50ns INFO [00001612] Port=0 WR @0A=A2FBEA3C
1610.50ns INFO [00001612] Port=0 RD @1E
1610.50ns INFO [00001612] Port=1 RD @0F
1611.50ns INFO [00001613] Port=0 RD @01
1612.50ns INFO [00001614] * RD COMPARE * port=0 adr=1E act=B3F5D83A exp=B3F5D83A
1612.50ns INFO [00001614] * RD COMPARE * port=1 adr=0F act=CC9274A7 exp=CC9274A7
1613.50ns INFO [00001615] * RD COMPARE * port=0 adr=01 act=37988DB7 exp=37988DB7
1613.50ns INFO [00001615] Port=0 RD @17
1613.50ns INFO [00001615] Port=1 RD @14
1614.50ns INFO [00001616] Port=0 RD @0E
1615.50ns INFO [00001617] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D
1615.50ns INFO [00001617] * RD COMPARE * port=1 adr=14 act=2182E1DC exp=2182E1DC
1615.50ns INFO [00001617] Port=0 WR @0B=BC56E918
1615.50ns INFO [00001617] Port=0 RD @19
1615.50ns INFO [00001617] Port=1 RD @03
1616.50ns INFO [00001618] * RD COMPARE * port=0 adr=0E act=979A8A4D exp=979A8A4D
1616.50ns INFO [00001618] Port=0 WR @1B=4A604B90
1617.50ns INFO [00001619] * RD COMPARE * port=0 adr=19 act=AEBDE77C exp=AEBDE77C
1617.50ns INFO [00001619] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1617.50ns INFO [00001619] Port=0 WR @11=FABD0338
1617.50ns INFO [00001619] Port=0 RD @14
1618.50ns INFO [00001620] Port=0 RD @17
1618.50ns INFO [00001620] Port=1 RD @0C
1619.50ns INFO [00001621] * RD COMPARE * port=0 adr=14 act=2182E1DC exp=2182E1DC
1620.50ns INFO [00001622] * RD COMPARE * port=0 adr=17 act=65C0064D exp=65C0064D
1620.50ns INFO [00001622] * RD COMPARE * port=1 adr=0C act=A9B70B48 exp=A9B70B48
1620.50ns INFO [00001622] Port=0 RD @1C
1621.50ns INFO [00001623] Port=0 RD @1A
1622.50ns INFO [00001624] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639
1622.50ns INFO [00001624] Port=0 WR @1D=E47A5083
1622.50ns INFO [00001624] Port=0 RD @13
1622.50ns INFO [00001624] Port=1 RD @08
1623.50ns INFO [00001625] * RD COMPARE * port=0 adr=1A act=12538489 exp=12538489
1623.50ns INFO [00001625] Port=0 WR @07=F44155C7
1623.50ns INFO [00001625] Port=1 RD @1D
1624.50ns INFO [00001626] * RD COMPARE * port=0 adr=13 act=1591DB43 exp=1591DB43
1624.50ns INFO [00001626] * RD COMPARE * port=1 adr=08 act=416F28C8 exp=416F28C8
1624.50ns INFO [00001626] Port=0 WR @08=6D24D245
1625.50ns INFO [00001627] * RD COMPARE * port=1 adr=1D act=E47A5083 exp=E47A5083
1625.50ns INFO [00001627] Port=1 RD @1E
1626.50ns INFO [00001628] Port=0 RD @1D
1626.50ns INFO [00001628] Port=1 RD @00
1627.50ns INFO [00001629] * RD COMPARE * port=1 adr=1E act=B3F5D83A exp=B3F5D83A
1627.50ns INFO [00001629] Port=0 WR @04=68D1EA8E
1628.50ns INFO [00001630] * RD COMPARE * port=0 adr=1D act=E47A5083 exp=E47A5083
1628.50ns INFO [00001630] * RD COMPARE * port=1 adr=00 act=7FD8739F exp=7FD8739F
1631.50ns INFO [00001633] Port=0 WR @0C=D202878C
1631.50ns INFO [00001633] Port=0 RD @03
1632.50ns INFO [00001634] Port=0 WR @17=CA2B77CF
1632.50ns INFO [00001634] Port=1 RD @14
1633.50ns INFO [00001635] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC
1633.50ns INFO [00001635] Port=0 RD @11
1634.50ns INFO [00001636] * RD COMPARE * port=1 adr=14 act=2182E1DC exp=2182E1DC
1634.50ns INFO [00001636] Port=0 RD @05
1635.50ns INFO [00001637] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338
1635.50ns INFO [00001637] Port=0 RD @11
1636.50ns INFO [00001638] * RD COMPARE * port=0 adr=05 act=975510D8 exp=975510D8
1636.50ns INFO [00001638] Port=0 RD @1F
1637.50ns INFO [00001639] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338
1637.50ns INFO [00001639] Port=0 WR @1A=3A74B925
1637.50ns INFO [00001639] Port=0 RD @02
1638.50ns INFO [00001640] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1639.50ns INFO [00001641] * RD COMPARE * port=0 adr=02 act=06BDDFFA exp=06BDDFFA
1640.50ns INFO [00001642] Port=0 WR @1E=C4926027
1640.50ns INFO [00001642] Port=1 RD @1D
1641.50ns INFO [00001643] Port=1 RD @15
1642.50ns INFO [00001644] * RD COMPARE * port=1 adr=1D act=E47A5083 exp=E47A5083
1642.50ns INFO [00001644] Port=0 WR @00=32198CFF
1643.50ns INFO [00001645] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711
1643.50ns INFO [00001645] Port=0 WR @08=D6CEEFB4
1643.50ns INFO [00001645] Port=1 RD @0C
1645.50ns INFO [00001647] * RD COMPARE * port=1 adr=0C act=D202878C exp=D202878C
1645.50ns INFO [00001647] Port=0 RD @09
1646.50ns INFO [00001648] Port=0 WR @19=A30A1AAB
1647.50ns INFO [00001649] * RD COMPARE * port=0 adr=09 act=8205C4E8 exp=8205C4E8
1647.50ns INFO [00001649] Port=1 RD @08
1648.50ns INFO [00001650] Port=0 WR @02=C4218DA0
1648.50ns INFO [00001650] Port=1 RD @0F
1649.50ns INFO [00001651] * RD COMPARE * port=1 adr=08 act=D6CEEFB4 exp=D6CEEFB4
1649.50ns INFO [00001651] Port=0 RD @07
1649.50ns INFO [00001651] Port=1 RD @0C
1650.50ns INFO [00001652] * RD COMPARE * port=1 adr=0F act=CC9274A7 exp=CC9274A7
1651.50ns INFO [00001653] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1651.50ns INFO [00001653] * RD COMPARE * port=1 adr=0C act=D202878C exp=D202878C
1651.50ns INFO [00001653] Port=0 WR @1D=494022FD
1651.50ns INFO [00001653] Port=0 RD @09
1652.50ns INFO [00001654] Port=1 RD @00
1653.50ns INFO [00001655] * RD COMPARE * port=0 adr=09 act=8205C4E8 exp=8205C4E8
1653.50ns INFO [00001655] Port=0 WR @0B=02249C0A
1653.50ns INFO [00001655] Port=0 RD @16
1654.50ns INFO [00001656] * RD COMPARE * port=1 adr=00 act=32198CFF exp=32198CFF
1654.50ns INFO [00001656] Port=0 WR @10=FB4A6398
1655.50ns INFO [00001657] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1655.50ns INFO [00001657] Port=0 RD @07
1656.50ns INFO [00001658] Port=0 WR @12=62910BE9
1656.50ns INFO [00001658] Port=0 RD @17
1656.50ns INFO [00001658] Port=1 RD @1B
1657.50ns INFO [00001659] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1657.50ns INFO [00001659] Port=0 RD @08
1658.50ns INFO [00001660] * RD COMPARE * port=0 adr=17 act=CA2B77CF exp=CA2B77CF
1658.50ns INFO [00001660] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90
1658.50ns INFO [00001660] Port=0 RD @03
1659.50ns INFO [00001661] * RD COMPARE * port=0 adr=08 act=D6CEEFB4 exp=D6CEEFB4
1659.50ns INFO [00001661] Port=0 RD @1D
1660.50ns INFO [00001662] * RD COMPARE * port=0 adr=03 act=25F083AC exp=25F083AC
1661.50ns INFO [00001663] * RD COMPARE * port=0 adr=1D act=494022FD exp=494022FD
1661.50ns INFO [00001663] Port=0 WR @0F=06D4FED6
1661.50ns INFO [00001663] Port=1 RD @10
1662.50ns INFO [00001664] Port=0 RD @0F
1662.50ns INFO [00001664] Port=1 RD @09
1663.50ns INFO [00001665] * RD COMPARE * port=1 adr=10 act=FB4A6398 exp=FB4A6398
1663.50ns INFO [00001665] Port=0 RD @10
1663.50ns INFO [00001665] Port=1 RD @18
1664.50ns INFO [00001666] * RD COMPARE * port=0 adr=0F act=06D4FED6 exp=06D4FED6
1664.50ns INFO [00001666] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8
1664.50ns INFO [00001666] Port=0 RD @00
1664.50ns INFO [00001666] Port=1 RD @1E
1665.50ns INFO [00001667] * RD COMPARE * port=0 adr=10 act=FB4A6398 exp=FB4A6398
1665.50ns INFO [00001667] * RD COMPARE * port=1 adr=18 act=89B2102C exp=89B2102C
1665.50ns INFO [00001667] Port=0 WR @18=F74ACD03
1665.50ns INFO [00001667] Port=0 RD @07
1666.50ns INFO [00001668] * RD COMPARE * port=0 adr=00 act=32198CFF exp=32198CFF
1666.50ns INFO [00001668] * RD COMPARE * port=1 adr=1E act=C4926027 exp=C4926027
1666.50ns INFO [00001668] Port=0 WR @18=2B34E538
1666.50ns INFO [00001668] Port=0 RD @0B
1667.50ns INFO [00001669] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1668.50ns INFO [00001670] * RD COMPARE * port=0 adr=0B act=02249C0A exp=02249C0A
1669.50ns INFO [00001671] Port=0 RD @1F
1671.50ns INFO [00001673] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1671.50ns INFO [00001673] Port=0 RD @1C
1671.50ns INFO [00001673] Port=1 RD @1B
1673.50ns INFO [00001675] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639
1673.50ns INFO [00001675] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90
1673.50ns INFO [00001675] Port=0 RD @00
1674.50ns INFO [00001676] Port=0 WR @05=01B909C6
1675.50ns INFO [00001677] * RD COMPARE * port=0 adr=00 act=32198CFF exp=32198CFF
1676.50ns INFO [00001678] Port=0 WR @0C=1A6307B7
1676.50ns INFO [00001678] Port=0 RD @0B
1676.50ns INFO [00001678] Port=1 RD @03
1677.50ns INFO [00001679] Port=0 WR @13=A4BD2C95
1677.50ns INFO [00001679] Port=1 RD @09
1678.50ns INFO [00001680] * RD COMPARE * port=0 adr=0B act=02249C0A exp=02249C0A
1678.50ns INFO [00001680] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1678.50ns INFO [00001680] Port=1 RD @1B
1679.50ns INFO [00001681] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8
1679.50ns INFO [00001681] Port=0 WR @1E=4FC277F3
1679.50ns INFO [00001681] Port=1 RD @17
1680.50ns INFO [00001682] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90
1680.50ns INFO [00001682] Port=0 WR @13=EDBEC847
1681.50ns INFO [00001683] * RD COMPARE * port=1 adr=17 act=CA2B77CF exp=CA2B77CF
1682.50ns INFO [00001684] Port=0 RD @07
1682.50ns INFO [00001684] Port=1 RD @04
1683.50ns INFO [00001685] Port=1 RD @1B
1684.50ns INFO [00001686] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1684.50ns INFO [00001686] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E
1685.50ns INFO [00001687] * RD COMPARE * port=1 adr=1B act=4A604B90 exp=4A604B90
1685.50ns INFO [00001687] Port=0 WR @19=FEFF0220
1686.50ns INFO [00001688] Port=1 RD @12
1687.50ns INFO [00001689] Port=0 WR @01=6F41CB58
1687.50ns INFO [00001689] Port=0 RD @06
1687.50ns INFO [00001689] Port=1 RD @04
1688.50ns INFO [00001690] * RD COMPARE * port=1 adr=12 act=62910BE9 exp=62910BE9
1688.50ns INFO [00001690] Port=0 WR @05=FDFCF273
1689.50ns INFO [00001691] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812
1689.50ns INFO [00001691] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E
1689.50ns INFO [00001691] Port=1 RD @03
1690.50ns INFO [00001692] Port=0 WR @03=4D3FFCF2
1690.50ns INFO [00001692] Port=0 RD @18
1690.50ns INFO [00001692] Port=1 RD @0C
1691.50ns INFO [00001693] * RD COMPARE * port=1 adr=03 act=25F083AC exp=25F083AC
1692.50ns INFO [00001694] * RD COMPARE * port=0 adr=18 act=2B34E538 exp=2B34E538
1692.50ns INFO [00001694] * RD COMPARE * port=1 adr=0C act=1A6307B7 exp=1A6307B7
1692.50ns INFO [00001694] Port=0 WR @0E=ED22985C
1692.50ns INFO [00001694] Port=0 RD @0C
1693.50ns INFO [00001695] Port=0 WR @00=F4D0A077
1694.50ns INFO [00001696] * RD COMPARE * port=0 adr=0C act=1A6307B7 exp=1A6307B7
1694.50ns INFO [00001696] Port=1 RD @0A
1695.50ns INFO [00001697] Port=0 WR @1B=23A77A89
1696.50ns INFO [00001698] * RD COMPARE * port=1 adr=0A act=A2FBEA3C exp=A2FBEA3C
1696.50ns INFO [00001698] Port=0 WR @0B=51F14190
1697.50ns INFO [00001699] Port=0 RD @0E
1697.50ns INFO [00001699] Port=1 RD @15
1698.00ns INFO [00001700] [00001700] ...tick...
1698.50ns INFO [00001700] Port=0 WR @00=051D0EC7
1699.50ns INFO [00001701] * RD COMPARE * port=0 adr=0E act=ED22985C exp=ED22985C
1699.50ns INFO [00001701] * RD COMPARE * port=1 adr=15 act=A8AA3711 exp=A8AA3711
1700.50ns INFO [00001702] Port=0 RD @0E
1701.50ns INFO [00001703] Port=1 RD @19
1702.50ns INFO [00001704] * RD COMPARE * port=0 adr=0E act=ED22985C exp=ED22985C
1702.50ns INFO [00001704] Port=0 WR @0B=FF8EA41D
1703.50ns INFO [00001705] * RD COMPARE * port=1 adr=19 act=FEFF0220 exp=FEFF0220
1703.50ns INFO [00001705] Port=0 WR @10=8F73344E
1703.50ns INFO [00001705] Port=1 RD @1A
1704.50ns INFO [00001706] Port=0 RD @02
1705.50ns INFO [00001707] * RD COMPARE * port=1 adr=1A act=3A74B925 exp=3A74B925
1705.50ns INFO [00001707] Port=1 RD @0F
1706.50ns INFO [00001708] * RD COMPARE * port=0 adr=02 act=C4218DA0 exp=C4218DA0
1706.50ns INFO [00001708] Port=0 WR @0F=44A5AAB8
1706.50ns INFO [00001708] Port=1 RD @06
1707.50ns INFO [00001709] * RD COMPARE * port=1 adr=0F act=06D4FED6 exp=06D4FED6
1707.50ns INFO [00001709] Port=0 WR @0E=5AE6F25B
1707.50ns INFO [00001709] Port=1 RD @18
1708.50ns INFO [00001710] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812
1708.50ns INFO [00001710] Port=1 RD @09
1709.50ns INFO [00001711] * RD COMPARE * port=1 adr=18 act=2B34E538 exp=2B34E538
1709.50ns INFO [00001711] Port=0 WR @14=6445067A
1709.50ns INFO [00001711] Port=0 RD @06
1710.50ns INFO [00001712] * RD COMPARE * port=1 adr=09 act=8205C4E8 exp=8205C4E8
1711.50ns INFO [00001713] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812
1711.50ns INFO [00001713] Port=0 RD @10
1711.50ns INFO [00001713] Port=1 RD @00
1712.50ns INFO [00001714] Port=0 WR @0C=346C12E2
1712.50ns INFO [00001714] Port=0 RD @01
1713.50ns INFO [00001715] * RD COMPARE * port=0 adr=10 act=8F73344E exp=8F73344E
1713.50ns INFO [00001715] * RD COMPARE * port=1 adr=00 act=051D0EC7 exp=051D0EC7
1713.50ns INFO [00001715] Port=0 WR @0D=280F610D
1713.50ns INFO [00001715] Port=0 RD @02
1714.50ns INFO [00001716] * RD COMPARE * port=0 adr=01 act=6F41CB58 exp=6F41CB58
1715.50ns INFO [00001717] * RD COMPARE * port=0 adr=02 act=C4218DA0 exp=C4218DA0
1715.50ns INFO [00001717] Port=0 WR @10=44FD58C8
1715.50ns INFO [00001717] Port=0 RD @0E
1717.50ns INFO [00001719] * RD COMPARE * port=0 adr=0E act=5AE6F25B exp=5AE6F25B
1717.50ns INFO [00001719] Port=0 RD @05
1717.50ns INFO [00001719] Port=1 RD @1D
1718.50ns INFO [00001720] Port=0 WR @18=ECA84D57
1718.50ns INFO [00001720] Port=1 RD @06
1719.50ns INFO [00001721] * RD COMPARE * port=0 adr=05 act=FDFCF273 exp=FDFCF273
1719.50ns INFO [00001721] * RD COMPARE * port=1 adr=1D act=494022FD exp=494022FD
1719.50ns INFO [00001721] Port=0 RD @0A
1719.50ns INFO [00001721] Port=1 RD @1C
1720.50ns INFO [00001722] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812
1720.50ns INFO [00001722] Port=0 WR @0F=FB275034
1721.50ns INFO [00001723] * RD COMPARE * port=0 adr=0A act=A2FBEA3C exp=A2FBEA3C
1721.50ns INFO [00001723] * RD COMPARE * port=1 adr=1C act=17929639 exp=17929639
1721.50ns INFO [00001723] Port=0 WR @13=C94DCB6E
1723.50ns INFO [00001725] Port=0 WR @0A=696D2F71
1724.50ns INFO [00001726] Port=0 WR @0E=ED6D6392
1724.50ns INFO [00001726] Port=1 RD @06
1726.50ns INFO [00001728] * RD COMPARE * port=1 adr=06 act=993BE812 exp=993BE812
1727.50ns INFO [00001729] Port=0 RD @19
1727.50ns INFO [00001729] Port=1 RD @04
1728.50ns INFO [00001730] Port=0 WR @01=AAC56228
1729.50ns INFO [00001731] * RD COMPARE * port=0 adr=19 act=FEFF0220 exp=FEFF0220
1729.50ns INFO [00001731] * RD COMPARE * port=1 adr=04 act=68D1EA8E exp=68D1EA8E
1729.50ns INFO [00001731] Port=1 RD @10
1730.50ns INFO [00001732] Port=0 WR @19=B09964D0
1731.50ns INFO [00001733] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8
1731.50ns INFO [00001733] Port=0 WR @1D=D79AE332
1731.50ns INFO [00001733] Port=1 RD @0A
1732.50ns INFO [00001734] Port=0 RD @1F
1733.50ns INFO [00001735] * RD COMPARE * port=1 adr=0A act=696D2F71 exp=696D2F71
1733.50ns INFO [00001735] Port=0 WR @00=27EFB302
1734.50ns INFO [00001736] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1734.50ns INFO [00001736] Port=0 RD @0A
1734.50ns INFO [00001736] Port=1 RD @08
1735.50ns INFO [00001737] Port=0 RD @06
1735.50ns INFO [00001737] Port=1 RD @14
1736.50ns INFO [00001738] * RD COMPARE * port=0 adr=0A act=696D2F71 exp=696D2F71
1736.50ns INFO [00001738] * RD COMPARE * port=1 adr=08 act=D6CEEFB4 exp=D6CEEFB4
1736.50ns INFO [00001738] Port=0 WR @15=2537774D
1737.50ns INFO [00001739] * RD COMPARE * port=0 adr=06 act=993BE812 exp=993BE812
1737.50ns INFO [00001739] * RD COMPARE * port=1 adr=14 act=6445067A exp=6445067A
1737.50ns INFO [00001739] Port=0 WR @01=FCB7E78A
1737.50ns INFO [00001739] Port=1 RD @07
1738.50ns INFO [00001740] Port=0 WR @19=8EA6E311
1738.50ns INFO [00001740] Port=0 RD @03
1738.50ns INFO [00001740] Port=1 RD @0A
1739.50ns INFO [00001741] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7
1739.50ns INFO [00001741] Port=1 RD @0D
1740.50ns INFO [00001742] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1740.50ns INFO [00001742] * RD COMPARE * port=1 adr=0A act=696D2F71 exp=696D2F71
1741.50ns INFO [00001743] * RD COMPARE * port=1 adr=0D act=280F610D exp=280F610D
1742.50ns INFO [00001744] Port=0 WR @1B=983962E7
1744.50ns INFO [00001746] Port=1 RD @1D
1745.50ns INFO [00001747] Port=0 WR @17=D6905AE5
1745.50ns INFO [00001747] Port=0 RD @04
1745.50ns INFO [00001747] Port=1 RD @12
1746.50ns INFO [00001748] * RD COMPARE * port=1 adr=1D act=D79AE332 exp=D79AE332
1746.50ns INFO [00001748] Port=1 RD @1E
1747.50ns INFO [00001749] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E
1747.50ns INFO [00001749] * RD COMPARE * port=1 adr=12 act=62910BE9 exp=62910BE9
1747.50ns INFO [00001749] Port=0 RD @12
1748.50ns INFO [00001750] * RD COMPARE * port=1 adr=1E act=4FC277F3 exp=4FC277F3
1748.50ns INFO [00001750] Port=0 WR @18=95E24834
1749.50ns INFO [00001751] * RD COMPARE * port=0 adr=12 act=62910BE9 exp=62910BE9
1749.50ns INFO [00001751] Port=0 RD @0D
1750.50ns INFO [00001752] Port=0 RD @16
1750.50ns INFO [00001752] Port=1 RD @13
1751.50ns INFO [00001753] * RD COMPARE * port=0 adr=0D act=280F610D exp=280F610D
1751.50ns INFO [00001753] Port=1 RD @01
1752.50ns INFO [00001754] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1752.50ns INFO [00001754] * RD COMPARE * port=1 adr=13 act=C94DCB6E exp=C94DCB6E
1753.50ns INFO [00001755] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A
1753.50ns INFO [00001755] Port=0 WR @12=B749F413
1753.50ns INFO [00001755] Port=1 RD @07
1754.50ns INFO [00001756] Port=0 WR @0F=6EECA45F
1754.50ns INFO [00001756] Port=1 RD @12
1755.50ns INFO [00001757] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7
1756.50ns INFO [00001758] * RD COMPARE * port=1 adr=12 act=B749F413 exp=B749F413
1756.50ns INFO [00001758] Port=0 RD @10
1756.50ns INFO [00001758] Port=1 RD @1C
1757.50ns INFO [00001759] Port=0 WR @06=4B0B3370
1758.50ns INFO [00001760] * RD COMPARE * port=0 adr=10 act=44FD58C8 exp=44FD58C8
1758.50ns INFO [00001760] * RD COMPARE * port=1 adr=1C act=17929639 exp=17929639
1758.50ns INFO [00001760] Port=0 WR @09=EB19BD26
1759.50ns INFO [00001761] Port=1 RD @1B
1761.50ns INFO [00001763] * RD COMPARE * port=1 adr=1B act=983962E7 exp=983962E7
1761.50ns INFO [00001763] Port=0 RD @17
1761.50ns INFO [00001763] Port=1 RD @16
1762.50ns INFO [00001764] Port=0 WR @15=2983385E
1762.50ns INFO [00001764] Port=0 RD @00
1763.50ns INFO [00001765] * RD COMPARE * port=0 adr=17 act=D6905AE5 exp=D6905AE5
1763.50ns INFO [00001765] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1764.50ns INFO [00001766] * RD COMPARE * port=0 adr=00 act=27EFB302 exp=27EFB302
1764.50ns INFO [00001766] Port=0 RD @1F
1765.50ns INFO [00001767] Port=1 RD @03
1766.50ns INFO [00001768] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1766.50ns INFO [00001768] Port=0 RD @04
1766.50ns INFO [00001768] Port=1 RD @11
1767.50ns INFO [00001769] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1767.50ns INFO [00001769] Port=0 WR @15=3AC4BE23
1767.50ns INFO [00001769] Port=0 RD @0C
1768.50ns INFO [00001770] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E
1768.50ns INFO [00001770] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338
1768.50ns INFO [00001770] Port=0 RD @1C
1769.50ns INFO [00001771] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2
1769.50ns INFO [00001771] Port=0 WR @0F=3EB63455
1769.50ns INFO [00001771] Port=0 RD @08
1769.50ns INFO [00001771] Port=1 RD @14
1770.50ns INFO [00001772] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639
1770.50ns INFO [00001772] Port=0 WR @19=DA33C62D
1770.50ns INFO [00001772] Port=0 RD @0E
1771.50ns INFO [00001773] * RD COMPARE * port=0 adr=08 act=D6CEEFB4 exp=D6CEEFB4
1771.50ns INFO [00001773] * RD COMPARE * port=1 adr=14 act=6445067A exp=6445067A
1771.50ns INFO [00001773] Port=1 RD @0C
1772.50ns INFO [00001774] * RD COMPARE * port=0 adr=0E act=ED6D6392 exp=ED6D6392
1772.50ns INFO [00001774] Port=1 RD @1F
1773.50ns INFO [00001775] * RD COMPARE * port=1 adr=0C act=346C12E2 exp=346C12E2
1773.50ns INFO [00001775] Port=0 RD @1B
1773.50ns INFO [00001775] Port=1 RD @11
1774.50ns INFO [00001776] * RD COMPARE * port=1 adr=1F act=797703FE exp=797703FE
1774.50ns INFO [00001776] Port=0 RD @16
1775.50ns INFO [00001777] * RD COMPARE * port=0 adr=1B act=983962E7 exp=983962E7
1775.50ns INFO [00001777] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338
1775.50ns INFO [00001777] Port=0 WR @14=0A8731AA
1775.50ns INFO [00001777] Port=0 RD @01
1776.50ns INFO [00001778] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1776.50ns INFO [00001778] Port=0 WR @08=533A257C
1777.50ns INFO [00001779] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A
1777.50ns INFO [00001779] Port=0 WR @1D=826D67B6
1777.50ns INFO [00001779] Port=0 RD @0C
1777.50ns INFO [00001779] Port=1 RD @16
1779.50ns INFO [00001781] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2
1779.50ns INFO [00001781] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1779.50ns INFO [00001781] Port=0 WR @1D=1D752027
1779.50ns INFO [00001781] Port=0 RD @0C
1780.50ns INFO [00001782] Port=0 WR @02=0A79AD40
1780.50ns INFO [00001782] Port=0 RD @03
1781.50ns INFO [00001783] * RD COMPARE * port=0 adr=0C act=346C12E2 exp=346C12E2
1781.50ns INFO [00001783] Port=0 WR @05=437D4BB3
1782.50ns INFO [00001784] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1782.50ns INFO [00001784] Port=0 WR @0A=1B6EFD14
1782.50ns INFO [00001784] Port=0 RD @11
1782.50ns INFO [00001784] Port=1 RD @0B
1783.50ns INFO [00001785] Port=0 WR @15=A8C2CCF2
1783.50ns INFO [00001785] Port=0 RD @12
1784.50ns INFO [00001786] * RD COMPARE * port=0 adr=11 act=FABD0338 exp=FABD0338
1784.50ns INFO [00001786] * RD COMPARE * port=1 adr=0B act=FF8EA41D exp=FF8EA41D
1784.50ns INFO [00001786] Port=0 WR @13=92927638
1784.50ns INFO [00001786] Port=0 RD @08
1785.50ns INFO [00001787] * RD COMPARE * port=0 adr=12 act=B749F413 exp=B749F413
1785.50ns INFO [00001787] Port=0 RD @07
1785.50ns INFO [00001787] Port=1 RD @17
1786.50ns INFO [00001788] * RD COMPARE * port=0 adr=08 act=533A257C exp=533A257C
1786.50ns INFO [00001788] Port=0 RD @16
1786.50ns INFO [00001788] Port=1 RD @0C
1787.50ns INFO [00001789] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1787.50ns INFO [00001789] * RD COMPARE * port=1 adr=17 act=D6905AE5 exp=D6905AE5
1787.50ns INFO [00001789] Port=0 RD @0B
1788.50ns INFO [00001790] * RD COMPARE * port=0 adr=16 act=875617D4 exp=875617D4
1788.50ns INFO [00001790] * RD COMPARE * port=1 adr=0C act=346C12E2 exp=346C12E2
1789.50ns INFO [00001791] * RD COMPARE * port=0 adr=0B act=FF8EA41D exp=FF8EA41D
1789.50ns INFO [00001791] Port=0 RD @1C
1789.50ns INFO [00001791] Port=1 RD @0F
1791.50ns INFO [00001793] * RD COMPARE * port=0 adr=1C act=17929639 exp=17929639
1791.50ns INFO [00001793] * RD COMPARE * port=1 adr=0F act=3EB63455 exp=3EB63455
1792.50ns INFO [00001794] Port=0 RD @15
1792.50ns INFO [00001794] Port=1 RD @11
1793.50ns INFO [00001795] Port=0 WR @0B=A738B35F
1793.50ns INFO [00001795] Port=0 RD @01
1793.50ns INFO [00001795] Port=1 RD @03
1794.50ns INFO [00001796] * RD COMPARE * port=0 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1794.50ns INFO [00001796] * RD COMPARE * port=1 adr=11 act=FABD0338 exp=FABD0338
1794.50ns INFO [00001796] Port=0 WR @1B=52C3B713
1794.50ns INFO [00001796] Port=1 RD @16
1795.50ns INFO [00001797] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A
1795.50ns INFO [00001797] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1795.50ns INFO [00001797] Port=1 RD @01
1796.50ns INFO [00001798] * RD COMPARE * port=1 adr=16 act=875617D4 exp=875617D4
1796.50ns INFO [00001798] Port=0 WR @1C=24F076ED
1797.50ns INFO [00001799] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A
1798.00ns INFO [00001800] [00001800] ...tick...
1798.50ns INFO [00001800] Port=0 RD @14
1799.50ns INFO [00001801] Port=0 RD @12
1800.50ns INFO [00001802] * RD COMPARE * port=0 adr=14 act=0A8731AA exp=0A8731AA
1800.50ns INFO [00001802] Port=0 RD @10
1800.50ns INFO [00001802] Port=1 RD @10
1801.50ns INFO [00001803] * RD COMPARE * port=0 adr=12 act=B749F413 exp=B749F413
1802.50ns INFO [00001804] * RD COMPARE * port=0 adr=10 act=44FD58C8 exp=44FD58C8
1802.50ns INFO [00001804] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8
1802.50ns INFO [00001804] Port=0 WR @05=E30BC88B
1802.50ns INFO [00001804] Port=1 RD @01
1804.50ns INFO [00001806] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A
1804.50ns INFO [00001806] Port=0 WR @18=4AEEF438
1804.50ns INFO [00001806] Port=0 RD @01
1805.50ns INFO [00001807] Port=1 RD @1C
1806.50ns INFO [00001808] * RD COMPARE * port=0 adr=01 act=FCB7E78A exp=FCB7E78A
1806.50ns INFO [00001808] Port=0 WR @0E=6F1E0A68
1807.50ns INFO [00001809] * RD COMPARE * port=1 adr=1C act=24F076ED exp=24F076ED
1807.50ns INFO [00001809] Port=0 WR @05=0D3A8ADF
1807.50ns INFO [00001809] Port=0 RD @03
1808.50ns INFO [00001810] Port=0 WR @11=5453ABD0
1808.50ns INFO [00001810] Port=0 RD @0E
1809.50ns INFO [00001811] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1809.50ns INFO [00001811] Port=0 WR @0F=2FBDC229
1809.50ns INFO [00001811] Port=0 RD @18
1809.50ns INFO [00001811] Port=1 RD @00
1810.50ns INFO [00001812] * RD COMPARE * port=0 adr=0E act=6F1E0A68 exp=6F1E0A68
1810.50ns INFO [00001812] Port=1 RD @1E
1811.50ns INFO [00001813] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438
1811.50ns INFO [00001813] * RD COMPARE * port=1 adr=00 act=27EFB302 exp=27EFB302
1812.50ns INFO [00001814] * RD COMPARE * port=1 adr=1E act=4FC277F3 exp=4FC277F3
1812.50ns INFO [00001814] Port=0 WR @16=38547306
1813.50ns INFO [00001815] Port=1 RD @11
1814.50ns INFO [00001816] Port=1 RD @07
1815.50ns INFO [00001817] * RD COMPARE * port=1 adr=11 act=5453ABD0 exp=5453ABD0
1815.50ns INFO [00001817] Port=1 RD @11
1816.50ns INFO [00001818] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7
1816.50ns INFO [00001818] Port=0 WR @16=F1C67A45
1816.50ns INFO [00001818] Port=1 RD @0F
1817.50ns INFO [00001819] * RD COMPARE * port=1 adr=11 act=5453ABD0 exp=5453ABD0
1818.50ns INFO [00001820] * RD COMPARE * port=1 adr=0F act=2FBDC229 exp=2FBDC229
1818.50ns INFO [00001820] Port=0 WR @1C=D8E7472F
1819.50ns INFO [00001821] Port=0 RD @04
1820.50ns INFO [00001822] Port=0 WR @0B=59AB7D3D
1820.50ns INFO [00001822] Port=0 RD @00
1820.50ns INFO [00001822] Port=1 RD @09
1821.50ns INFO [00001823] * RD COMPARE * port=0 adr=04 act=68D1EA8E exp=68D1EA8E
1822.50ns INFO [00001824] * RD COMPARE * port=0 adr=00 act=27EFB302 exp=27EFB302
1822.50ns INFO [00001824] * RD COMPARE * port=1 adr=09 act=EB19BD26 exp=EB19BD26
1822.50ns INFO [00001824] Port=0 RD @0A
1823.50ns INFO [00001825] Port=0 WR @04=5F6240B9
1823.50ns INFO [00001825] Port=1 RD @18
1824.50ns INFO [00001826] * RD COMPARE * port=0 adr=0A act=1B6EFD14 exp=1B6EFD14
1825.50ns INFO [00001827] * RD COMPARE * port=1 adr=18 act=4AEEF438 exp=4AEEF438
1825.50ns INFO [00001827] Port=0 WR @0C=ADC1DE32
1826.50ns INFO [00001828] Port=1 RD @14
1827.50ns INFO [00001829] Port=0 WR @04=408A3AD3
1828.50ns INFO [00001830] * RD COMPARE * port=1 adr=14 act=0A8731AA exp=0A8731AA
1828.50ns INFO [00001830] Port=0 WR @09=4A9A062F
1828.50ns INFO [00001830] Port=0 RD @07
1829.50ns INFO [00001831] Port=0 RD @18
1830.50ns INFO [00001832] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1831.50ns INFO [00001833] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438
1831.50ns INFO [00001833] Port=0 WR @0F=2267D41E
1831.50ns INFO [00001833] Port=1 RD @19
1833.50ns INFO [00001835] * RD COMPARE * port=1 adr=19 act=DA33C62D exp=DA33C62D
1835.50ns INFO [00001837] Port=1 RD @02
1837.50ns INFO [00001839] * RD COMPARE * port=1 adr=02 act=0A79AD40 exp=0A79AD40
1837.50ns INFO [00001839] Port=1 RD @00
1838.50ns INFO [00001840] Port=0 RD @14
1838.50ns INFO [00001840] Port=1 RD @1C
1839.50ns INFO [00001841] * RD COMPARE * port=1 adr=00 act=27EFB302 exp=27EFB302
1839.50ns INFO [00001841] Port=1 RD @17
1840.50ns INFO [00001842] * RD COMPARE * port=0 adr=14 act=0A8731AA exp=0A8731AA
1840.50ns INFO [00001842] * RD COMPARE * port=1 adr=1C act=D8E7472F exp=D8E7472F
1841.50ns INFO [00001843] * RD COMPARE * port=1 adr=17 act=D6905AE5 exp=D6905AE5
1841.50ns INFO [00001843] Port=0 RD @0C
1842.50ns INFO [00001844] Port=1 RD @09
1843.50ns INFO [00001845] * RD COMPARE * port=0 adr=0C act=ADC1DE32 exp=ADC1DE32
1844.50ns INFO [00001846] * RD COMPARE * port=1 adr=09 act=4A9A062F exp=4A9A062F
1844.50ns INFO [00001846] Port=1 RD @02
1845.50ns INFO [00001847] Port=0 RD @1C
1845.50ns INFO [00001847] Port=1 RD @10
1846.50ns INFO [00001848] * RD COMPARE * port=1 adr=02 act=0A79AD40 exp=0A79AD40
1846.50ns INFO [00001848] Port=0 WR @00=889C289E
1846.50ns INFO [00001848] Port=1 RD @09
1847.50ns INFO [00001849] * RD COMPARE * port=0 adr=1C act=D8E7472F exp=D8E7472F
1847.50ns INFO [00001849] * RD COMPARE * port=1 adr=10 act=44FD58C8 exp=44FD58C8
1848.50ns INFO [00001850] * RD COMPARE * port=1 adr=09 act=4A9A062F exp=4A9A062F
1848.50ns INFO [00001850] Port=0 WR @1C=D719A781
1849.50ns INFO [00001851] Port=0 WR @10=EA6648A2
1849.50ns INFO [00001851] Port=0 RD @07
1849.50ns INFO [00001851] Port=1 RD @01
1850.50ns INFO [00001852] Port=0 WR @17=0FE465C7
1850.50ns INFO [00001852] Port=0 RD @18
1851.50ns INFO [00001853] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1851.50ns INFO [00001853] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A
1851.50ns INFO [00001853] Port=1 RD @00
1852.50ns INFO [00001854] * RD COMPARE * port=0 adr=18 act=4AEEF438 exp=4AEEF438
1852.50ns INFO [00001854] Port=0 WR @14=3351C892
1853.50ns INFO [00001855] * RD COMPARE * port=1 adr=00 act=889C289E exp=889C289E
1854.50ns INFO [00001856] Port=0 RD @05
1856.50ns INFO [00001858] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
1856.50ns INFO [00001858] Port=0 WR @12=C91BA5B9
1857.50ns INFO [00001859] Port=0 RD @1F
1858.50ns INFO [00001860] Port=1 RD @00
1859.50ns INFO [00001861] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1859.50ns INFO [00001861] Port=0 RD @17
1860.50ns INFO [00001862] * RD COMPARE * port=1 adr=00 act=889C289E exp=889C289E
1861.50ns INFO [00001863] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7
1861.50ns INFO [00001863] Port=1 RD @15
1862.50ns INFO [00001864] Port=0 WR @08=B0D8445E
1862.50ns INFO [00001864] Port=1 RD @1D
1863.50ns INFO [00001865] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1863.50ns INFO [00001865] Port=0 WR @0F=0D775649
1863.50ns INFO [00001865] Port=0 RD @10
1864.50ns INFO [00001866] * RD COMPARE * port=1 adr=1D act=1D752027 exp=1D752027
1865.50ns INFO [00001867] * RD COMPARE * port=0 adr=10 act=EA6648A2 exp=EA6648A2
1865.50ns INFO [00001867] Port=0 WR @09=4E0D1A11
1865.50ns INFO [00001867] Port=0 RD @1E
1867.50ns INFO [00001869] * RD COMPARE * port=0 adr=1E act=4FC277F3 exp=4FC277F3
1867.50ns INFO [00001869] Port=0 WR @1B=0C41CB90
1867.50ns INFO [00001869] Port=0 RD @1F
1867.50ns INFO [00001869] Port=1 RD @0D
1869.50ns INFO [00001871] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1869.50ns INFO [00001871] * RD COMPARE * port=1 adr=0D act=280F610D exp=280F610D
1870.50ns INFO [00001872] Port=0 RD @0B
1870.50ns INFO [00001872] Port=1 RD @0A
1871.50ns INFO [00001873] Port=0 WR @0E=BC4AE6F9
1871.50ns INFO [00001873] Port=0 RD @1C
1871.50ns INFO [00001873] Port=1 RD @03
1872.50ns INFO [00001874] * RD COMPARE * port=0 adr=0B act=59AB7D3D exp=59AB7D3D
1872.50ns INFO [00001874] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14
1872.50ns INFO [00001874] Port=0 RD @0E
1873.50ns INFO [00001875] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781
1873.50ns INFO [00001875] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1873.50ns INFO [00001875] Port=0 WR @1D=BAA5E948
1874.50ns INFO [00001876] * RD COMPARE * port=0 adr=0E act=BC4AE6F9 exp=BC4AE6F9
1875.50ns INFO [00001877] Port=0 WR @0F=97596B83
1875.50ns INFO [00001877] Port=0 RD @05
1876.50ns INFO [00001878] Port=1 RD @15
1877.50ns INFO [00001879] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
1878.50ns INFO [00001880] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1878.50ns INFO [00001880] Port=0 WR @0B=D5855C2E
1878.50ns INFO [00001880] Port=0 RD @1B
1878.50ns INFO [00001880] Port=1 RD @13
1880.50ns INFO [00001882] * RD COMPARE * port=0 adr=1B act=0C41CB90 exp=0C41CB90
1880.50ns INFO [00001882] * RD COMPARE * port=1 adr=13 act=92927638 exp=92927638
1880.50ns INFO [00001882] Port=0 WR @10=4A64F4A2
1881.50ns INFO [00001883] Port=0 RD @09
1882.50ns INFO [00001884] Port=1 RD @0C
1883.50ns INFO [00001885] * RD COMPARE * port=0 adr=09 act=4E0D1A11 exp=4E0D1A11
1883.50ns INFO [00001885] Port=0 RD @1A
1883.50ns INFO [00001885] Port=1 RD @16
1884.50ns INFO [00001886] * RD COMPARE * port=1 adr=0C act=ADC1DE32 exp=ADC1DE32
1884.50ns INFO [00001886] Port=1 RD @12
1885.50ns INFO [00001887] * RD COMPARE * port=0 adr=1A act=3A74B925 exp=3A74B925
1885.50ns INFO [00001887] * RD COMPARE * port=1 adr=16 act=F1C67A45 exp=F1C67A45
1886.50ns INFO [00001888] * RD COMPARE * port=1 adr=12 act=C91BA5B9 exp=C91BA5B9
1886.50ns INFO [00001888] Port=0 RD @03
1886.50ns INFO [00001888] Port=1 RD @01
1887.50ns INFO [00001889] Port=0 RD @14
1888.50ns INFO [00001890] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1888.50ns INFO [00001890] * RD COMPARE * port=1 adr=01 act=FCB7E78A exp=FCB7E78A
1888.50ns INFO [00001890] Port=0 RD @1B
1889.50ns INFO [00001891] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892
1889.50ns INFO [00001891] Port=0 WR @0B=76F3FE80
1889.50ns INFO [00001891] Port=0 RD @15
1890.50ns INFO [00001892] * RD COMPARE * port=0 adr=1B act=0C41CB90 exp=0C41CB90
1891.50ns INFO [00001893] * RD COMPARE * port=0 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1891.50ns INFO [00001893] Port=0 WR @0D=97BAFD54
1891.50ns INFO [00001893] Port=0 RD @10
1892.50ns INFO [00001894] Port=0 WR @06=B18EF070
1892.50ns INFO [00001894] Port=0 RD @1F
1893.50ns INFO [00001895] * RD COMPARE * port=0 adr=10 act=4A64F4A2 exp=4A64F4A2
1893.50ns INFO [00001895] Port=0 RD @07
1894.50ns INFO [00001896] * RD COMPARE * port=0 adr=1F act=797703FE exp=797703FE
1894.50ns INFO [00001896] Port=0 WR @13=4E6757A8
1894.50ns INFO [00001896] Port=1 RD @07
1895.50ns INFO [00001897] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1895.50ns INFO [00001897] Port=0 WR @1F=669A5D64
1896.50ns INFO [00001898] * RD COMPARE * port=1 adr=07 act=F44155C7 exp=F44155C7
1896.50ns INFO [00001898] Port=0 WR @01=4043D81E
1898.00ns INFO [00001900] [00001900] ...tick...
1898.50ns INFO [00001900] Port=0 WR @0B=E75AE467
1899.50ns INFO [00001901] Port=0 WR @0E=4D9C2147
1901.50ns INFO [00001903] Port=1 RD @05
1902.50ns INFO [00001904] Port=0 RD @05
1903.50ns INFO [00001905] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF
1904.50ns INFO [00001906] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
1904.50ns INFO [00001906] Port=0 WR @19=08008C1F
1904.50ns INFO [00001906] Port=0 RD @05
1905.50ns INFO [00001907] Port=0 RD @1E
1906.50ns INFO [00001908] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
1906.50ns INFO [00001908] Port=0 WR @00=4E4B5962
1907.50ns INFO [00001909] * RD COMPARE * port=0 adr=1E act=4FC277F3 exp=4FC277F3
1907.50ns INFO [00001909] Port=0 WR @0D=C3136FE6
1907.50ns INFO [00001909] Port=0 RD @07
1908.50ns INFO [00001910] Port=1 RD @14
1909.50ns INFO [00001911] * RD COMPARE * port=0 adr=07 act=F44155C7 exp=F44155C7
1910.50ns INFO [00001912] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892
1910.50ns INFO [00001912] Port=0 WR @1B=C8283049
1911.50ns INFO [00001913] Port=1 RD @00
1913.50ns INFO [00001915] * RD COMPARE * port=1 adr=00 act=4E4B5962 exp=4E4B5962
1913.50ns INFO [00001915] Port=0 WR @09=C234E0C3
1913.50ns INFO [00001915] Port=1 RD @1F
1915.50ns INFO [00001917] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64
1915.50ns INFO [00001917] Port=0 WR @18=F1BC521F
1915.50ns INFO [00001917] Port=1 RD @0D
1916.50ns INFO [00001918] Port=0 WR @13=FCEE58E4
1916.50ns INFO [00001918] Port=0 RD @03
1917.50ns INFO [00001919] * RD COMPARE * port=1 adr=0D act=C3136FE6 exp=C3136FE6
1917.50ns INFO [00001919] Port=0 WR @00=5C96E7EF
1917.50ns INFO [00001919] Port=1 RD @0B
1918.50ns INFO [00001920] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1918.50ns INFO [00001920] Port=0 WR @0C=3C70B909
1918.50ns INFO [00001920] Port=1 RD @17
1919.50ns INFO [00001921] * RD COMPARE * port=1 adr=0B act=E75AE467 exp=E75AE467
1919.50ns INFO [00001921] Port=0 RD @0B
1920.50ns INFO [00001922] * RD COMPARE * port=1 adr=17 act=0FE465C7 exp=0FE465C7
1920.50ns INFO [00001922] Port=1 RD @17
1921.50ns INFO [00001923] * RD COMPARE * port=0 adr=0B act=E75AE467 exp=E75AE467
1921.50ns INFO [00001923] Port=1 RD @0A
1922.50ns INFO [00001924] * RD COMPARE * port=1 adr=17 act=0FE465C7 exp=0FE465C7
1922.50ns INFO [00001924] Port=1 RD @1C
1923.50ns INFO [00001925] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14
1924.50ns INFO [00001926] * RD COMPARE * port=1 adr=1C act=D719A781 exp=D719A781
1924.50ns INFO [00001926] Port=1 RD @13
1925.50ns INFO [00001927] Port=0 WR @1E=93BCD901
1925.50ns INFO [00001927] Port=0 RD @1B
1925.50ns INFO [00001927] Port=1 RD @1B
1926.50ns INFO [00001928] * RD COMPARE * port=1 adr=13 act=FCEE58E4 exp=FCEE58E4
1926.50ns INFO [00001928] Port=1 RD @0A
1927.50ns INFO [00001929] * RD COMPARE * port=0 adr=1B act=C8283049 exp=C8283049
1927.50ns INFO [00001929] * RD COMPARE * port=1 adr=1B act=C8283049 exp=C8283049
1927.50ns INFO [00001929] Port=0 RD @11
1928.50ns INFO [00001930] * RD COMPARE * port=1 adr=0A act=1B6EFD14 exp=1B6EFD14
1928.50ns INFO [00001930] Port=0 WR @07=C61EDCE8
1929.50ns INFO [00001931] * RD COMPARE * port=0 adr=11 act=5453ABD0 exp=5453ABD0
1930.50ns INFO [00001932] Port=0 WR @19=0B2F63D6
1930.50ns INFO [00001932] Port=0 RD @17
1930.50ns INFO [00001932] Port=1 RD @05
1931.50ns INFO [00001933] Port=0 WR @10=F42FFE75
1931.50ns INFO [00001933] Port=0 RD @11
1932.50ns INFO [00001934] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7
1932.50ns INFO [00001934] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF
1932.50ns INFO [00001934] Port=0 RD @19
1933.50ns INFO [00001935] * RD COMPARE * port=0 adr=11 act=5453ABD0 exp=5453ABD0
1933.50ns INFO [00001935] Port=0 WR @01=F97371F6
1933.50ns INFO [00001935] Port=1 RD @0E
1934.50ns INFO [00001936] * RD COMPARE * port=0 adr=19 act=0B2F63D6 exp=0B2F63D6
1934.50ns INFO [00001936] Port=0 RD @16
1935.50ns INFO [00001937] * RD COMPARE * port=1 adr=0E act=4D9C2147 exp=4D9C2147
1936.50ns INFO [00001938] * RD COMPARE * port=0 adr=16 act=F1C67A45 exp=F1C67A45
1936.50ns INFO [00001938] Port=0 WR @01=9A846155
1936.50ns INFO [00001938] Port=1 RD @14
1937.50ns INFO [00001939] Port=0 WR @07=B280D365
1937.50ns INFO [00001939] Port=0 RD @04
1938.50ns INFO [00001940] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892
1938.50ns INFO [00001940] Port=0 WR @0E=C3F731A2
1938.50ns INFO [00001940] Port=1 RD @16
1939.50ns INFO [00001941] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3
1940.50ns INFO [00001942] * RD COMPARE * port=1 adr=16 act=F1C67A45 exp=F1C67A45
1940.50ns INFO [00001942] Port=0 WR @1A=C6AF8F0B
1940.50ns INFO [00001942] Port=1 RD @04
1941.50ns INFO [00001943] Port=0 RD @0A
1941.50ns INFO [00001943] Port=1 RD @0E
1942.50ns INFO [00001944] * RD COMPARE * port=1 adr=04 act=408A3AD3 exp=408A3AD3
1942.50ns INFO [00001944] Port=0 RD @01
1943.50ns INFO [00001945] * RD COMPARE * port=0 adr=0A act=1B6EFD14 exp=1B6EFD14
1943.50ns INFO [00001945] * RD COMPARE * port=1 adr=0E act=C3F731A2 exp=C3F731A2
1943.50ns INFO [00001945] Port=1 RD @14
1944.50ns INFO [00001946] * RD COMPARE * port=0 adr=01 act=9A846155 exp=9A846155
1944.50ns INFO [00001946] Port=0 WR @16=8B3A8442
1945.50ns INFO [00001947] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892
1945.50ns INFO [00001947] Port=1 RD @1C
1946.50ns INFO [00001948] Port=0 RD @04
1947.50ns INFO [00001949] * RD COMPARE * port=1 adr=1C act=D719A781 exp=D719A781
1947.50ns INFO [00001949] Port=0 WR @07=9CC0E5C5
1947.50ns INFO [00001949] Port=0 RD @0F
1948.50ns INFO [00001950] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3
1948.50ns INFO [00001950] Port=0 RD @06
1948.50ns INFO [00001950] Port=1 RD @0D
1949.50ns INFO [00001951] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83
1949.50ns INFO [00001951] Port=0 WR @1E=3E08C230
1950.50ns INFO [00001952] * RD COMPARE * port=0 adr=06 act=B18EF070 exp=B18EF070
1950.50ns INFO [00001952] * RD COMPARE * port=1 adr=0D act=C3136FE6 exp=C3136FE6
1951.50ns INFO [00001953] Port=0 WR @01=66FBDCFD
1951.50ns INFO [00001953] Port=1 RD @19
1952.50ns INFO [00001954] Port=0 WR @09=9B5C8C56
1952.50ns INFO [00001954] Port=1 RD @1B
1953.50ns INFO [00001955] * RD COMPARE * port=1 adr=19 act=0B2F63D6 exp=0B2F63D6
1953.50ns INFO [00001955] Port=0 RD @1E
1954.50ns INFO [00001956] * RD COMPARE * port=1 adr=1B act=C8283049 exp=C8283049
1954.50ns INFO [00001956] Port=0 WR @0E=ED52436C
1954.50ns INFO [00001956] Port=0 RD @09
1955.50ns INFO [00001957] * RD COMPARE * port=0 adr=1E act=3E08C230 exp=3E08C230
1955.50ns INFO [00001957] Port=0 WR @0D=23E33926
1955.50ns INFO [00001957] Port=0 RD @17
1956.50ns INFO [00001958] * RD COMPARE * port=0 adr=09 act=9B5C8C56 exp=9B5C8C56
1956.50ns INFO [00001958] Port=0 WR @0E=D4608937
1957.50ns INFO [00001959] * RD COMPARE * port=0 adr=17 act=0FE465C7 exp=0FE465C7
1957.50ns INFO [00001959] Port=1 RD @1D
1958.50ns INFO [00001960] Port=0 WR @0A=BFD11B9C
1959.50ns INFO [00001961] * RD COMPARE * port=1 adr=1D act=BAA5E948 exp=BAA5E948
1959.50ns INFO [00001961] Port=0 WR @1B=A4A1AC23
1959.50ns INFO [00001961] Port=0 RD @19
1959.50ns INFO [00001961] Port=1 RD @1D
1961.50ns INFO [00001963] * RD COMPARE * port=0 adr=19 act=0B2F63D6 exp=0B2F63D6
1961.50ns INFO [00001963] * RD COMPARE * port=1 adr=1D act=BAA5E948 exp=BAA5E948
1961.50ns INFO [00001963] Port=0 WR @07=8B9F97A5
1961.50ns INFO [00001963] Port=1 RD @03
1963.50ns INFO [00001965] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1963.50ns INFO [00001965] Port=0 WR @11=75427E4C
1963.50ns INFO [00001965] Port=0 RD @03
1963.50ns INFO [00001965] Port=1 RD @15
1965.50ns INFO [00001967] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1965.50ns INFO [00001967] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1966.50ns INFO [00001968] Port=0 RD @0F
1966.50ns INFO [00001968] Port=1 RD @0C
1967.50ns INFO [00001969] Port=0 WR @1A=4FBB165A
1968.50ns INFO [00001970] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83
1968.50ns INFO [00001970] * RD COMPARE * port=1 adr=0C act=3C70B909 exp=3C70B909
1968.50ns INFO [00001970] Port=0 RD @10
1969.50ns INFO [00001971] Port=0 RD @04
1970.50ns INFO [00001972] * RD COMPARE * port=0 adr=10 act=F42FFE75 exp=F42FFE75
1970.50ns INFO [00001972] Port=0 WR @10=23E5C5BB
1970.50ns INFO [00001972] Port=0 RD @07
1971.50ns INFO [00001973] * RD COMPARE * port=0 adr=04 act=408A3AD3 exp=408A3AD3
1971.50ns INFO [00001973] Port=0 WR @07=9C462D55
1971.50ns INFO [00001973] Port=1 RD @15
1972.50ns INFO [00001974] * RD COMPARE * port=0 adr=07 act=8B9F97A5 exp=8B9F97A5
1972.50ns INFO [00001974] Port=1 RD @0A
1973.50ns INFO [00001975] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2
1974.50ns INFO [00001976] * RD COMPARE * port=1 adr=0A act=BFD11B9C exp=BFD11B9C
1974.50ns INFO [00001976] Port=0 RD @1F
1974.50ns INFO [00001976] Port=1 RD @14
1976.50ns INFO [00001978] * RD COMPARE * port=0 adr=1F act=669A5D64 exp=669A5D64
1976.50ns INFO [00001978] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892
1976.50ns INFO [00001978] Port=0 WR @12=2A7DF2E7
1977.50ns INFO [00001979] Port=0 WR @0A=AC73097A
1978.50ns INFO [00001980] Port=0 WR @0E=88927C5C
1980.50ns INFO [00001982] Port=0 RD @00
1981.50ns INFO [00001983] Port=0 WR @02=13EBA1F2
1981.50ns INFO [00001983] Port=1 RD @03
1982.50ns INFO [00001984] * RD COMPARE * port=0 adr=00 act=5C96E7EF exp=5C96E7EF
1982.50ns INFO [00001984] Port=0 WR @17=417BCE80
1982.50ns INFO [00001984] Port=0 RD @10
1983.50ns INFO [00001985] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1983.50ns INFO [00001985] Port=1 RD @03
1984.50ns INFO [00001986] * RD COMPARE * port=0 adr=10 act=23E5C5BB exp=23E5C5BB
1985.50ns INFO [00001987] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
1985.50ns INFO [00001987] Port=0 WR @02=EF202479
1985.50ns INFO [00001987] Port=0 RD @07
1986.50ns INFO [00001988] Port=0 RD @0A
1987.50ns INFO [00001989] * RD COMPARE * port=0 adr=07 act=9C462D55 exp=9C462D55
1988.50ns INFO [00001990] * RD COMPARE * port=0 adr=0A act=AC73097A exp=AC73097A
1989.50ns INFO [00001991] Port=0 RD @16
1989.50ns INFO [00001991] Port=1 RD @19
1991.50ns INFO [00001993] * RD COMPARE * port=0 adr=16 act=8B3A8442 exp=8B3A8442
1991.50ns INFO [00001993] * RD COMPARE * port=1 adr=19 act=0B2F63D6 exp=0B2F63D6
1991.50ns INFO [00001993] Port=0 WR @06=8C8F4748
1991.50ns INFO [00001993] Port=0 RD @08
1992.50ns INFO [00001994] Port=0 RD @11
1992.50ns INFO [00001994] Port=1 RD @02
1993.50ns INFO [00001995] * RD COMPARE * port=0 adr=08 act=B0D8445E exp=B0D8445E
1994.50ns INFO [00001996] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C
1994.50ns INFO [00001996] * RD COMPARE * port=1 adr=02 act=EF202479 exp=EF202479
1994.50ns INFO [00001996] Port=0 RD @0C
1996.50ns INFO [00001998] * RD COMPARE * port=0 adr=0C act=3C70B909 exp=3C70B909
1996.50ns INFO [00001998] Port=0 WR @19=7B16CD71
1997.50ns INFO [00001999] Port=0 WR @08=205FB132
1997.50ns INFO [00001999] Port=1 RD @06
1998.00ns INFO [00002000] [00002000] ...tick...
1998.50ns INFO [00002000] Port=1 RD @12
1999.50ns INFO [00002001] * RD COMPARE * port=1 adr=06 act=8C8F4748 exp=8C8F4748
1999.50ns INFO [00002001] Port=0 RD @14
2000.50ns INFO [00002002] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7
2000.50ns INFO [00002002] Port=0 RD @11
2001.50ns INFO [00002003] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892
2001.50ns INFO [00002003] Port=0 WR @0C=6741E31A
2002.50ns INFO [00002004] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C
2002.50ns INFO [00002004] Port=0 RD @1C
2003.50ns INFO [00002005] Port=1 RD @17
2004.50ns INFO [00002006] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781
2004.50ns INFO [00002006] Port=1 RD @19
2005.50ns INFO [00002007] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2005.50ns INFO [00002007] Port=0 WR @1E=BC11536B
2005.50ns INFO [00002007] Port=1 RD @0E
2006.50ns INFO [00002008] * RD COMPARE * port=1 adr=19 act=7B16CD71 exp=7B16CD71
2006.50ns INFO [00002008] Port=0 RD @0F
2007.50ns INFO [00002009] * RD COMPARE * port=1 adr=0E act=88927C5C exp=88927C5C
2007.50ns INFO [00002009] Port=0 WR @10=E3A530B1
2007.50ns INFO [00002009] Port=0 RD @0B
2008.50ns INFO [00002010] * RD COMPARE * port=0 adr=0F act=97596B83 exp=97596B83
2008.50ns INFO [00002010] Port=0 WR @00=9127F266
2008.50ns INFO [00002010] Port=0 RD @09
2009.50ns INFO [00002011] * RD COMPARE * port=0 adr=0B act=E75AE467 exp=E75AE467
2009.50ns INFO [00002011] Port=0 RD @03
2009.50ns INFO [00002011] Port=1 RD @0E
2010.50ns INFO [00002012] * RD COMPARE * port=0 adr=09 act=9B5C8C56 exp=9B5C8C56
2010.50ns INFO [00002012] Port=0 WR @0F=28352417
2010.50ns INFO [00002012] Port=1 RD @0C
2011.50ns INFO [00002013] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2011.50ns INFO [00002013] * RD COMPARE * port=1 adr=0E act=88927C5C exp=88927C5C
2011.50ns INFO [00002013] Port=0 WR @0A=E91D9479
2011.50ns INFO [00002013] Port=1 RD @08
2012.50ns INFO [00002014] * RD COMPARE * port=1 adr=0C act=6741E31A exp=6741E31A
2012.50ns INFO [00002014] Port=0 WR @0B=5C658701
2012.50ns INFO [00002014] Port=0 RD @11
2013.50ns INFO [00002015] * RD COMPARE * port=1 adr=08 act=205FB132 exp=205FB132
2014.50ns INFO [00002016] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C
2015.50ns INFO [00002017] Port=0 WR @00=9B3AFED7
2015.50ns INFO [00002017] Port=1 RD @0F
2016.50ns INFO [00002018] Port=0 WR @06=17D4ADCD
2017.50ns INFO [00002019] * RD COMPARE * port=1 adr=0F act=28352417 exp=28352417
2017.50ns INFO [00002019] Port=0 WR @04=9998B1B7
2017.50ns INFO [00002019] Port=1 RD @00
2018.50ns INFO [00002020] Port=0 WR @0E=08FFEABC
2019.50ns INFO [00002021] * RD COMPARE * port=1 adr=00 act=9B3AFED7 exp=9B3AFED7
2019.50ns INFO [00002021] Port=0 WR @07=F66F6CE7
2019.50ns INFO [00002021] Port=1 RD @1F
2020.50ns INFO [00002022] Port=0 RD @0B
2021.50ns INFO [00002023] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64
2021.50ns INFO [00002023] Port=0 WR @09=3624C04E
2021.50ns INFO [00002023] Port=0 RD @19
2021.50ns INFO [00002023] Port=1 RD @13
2022.50ns INFO [00002024] * RD COMPARE * port=0 adr=0B act=5C658701 exp=5C658701
2022.50ns INFO [00002024] Port=0 WR @1B=C06FC6A0
2023.50ns INFO [00002025] * RD COMPARE * port=0 adr=19 act=7B16CD71 exp=7B16CD71
2023.50ns INFO [00002025] * RD COMPARE * port=1 adr=13 act=FCEE58E4 exp=FCEE58E4
2024.50ns INFO [00002026] Port=0 WR @13=A1276D99
2024.50ns INFO [00002026] Port=0 RD @01
2025.50ns INFO [00002027] Port=1 RD @1F
2026.50ns INFO [00002028] * RD COMPARE * port=0 adr=01 act=66FBDCFD exp=66FBDCFD
2027.50ns INFO [00002029] * RD COMPARE * port=1 adr=1F act=669A5D64 exp=669A5D64
2027.50ns INFO [00002029] Port=0 WR @0C=546595CD
2028.50ns INFO [00002030] Port=0 WR @08=CB946A5E
2028.50ns INFO [00002030] Port=0 RD @04
2029.50ns INFO [00002031] Port=1 RD @16
2030.50ns INFO [00002032] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2030.50ns INFO [00002032] Port=1 RD @13
2031.50ns INFO [00002033] * RD COMPARE * port=1 adr=16 act=8B3A8442 exp=8B3A8442
2031.50ns INFO [00002033] Port=0 WR @13=10705533
2031.50ns INFO [00002033] Port=0 RD @0E
2031.50ns INFO [00002033] Port=1 RD @1A
2032.50ns INFO [00002034] * RD COMPARE * port=1 adr=13 act=A1276D99 exp=A1276D99
2032.50ns INFO [00002034] Port=0 WR @1E=4E022AFA
2032.50ns INFO [00002034] Port=0 RD @1B
2033.50ns INFO [00002035] * RD COMPARE * port=0 adr=0E act=08FFEABC exp=08FFEABC
2033.50ns INFO [00002035] * RD COMPARE * port=1 adr=1A act=4FBB165A exp=4FBB165A
2033.50ns INFO [00002035] Port=0 WR @01=03D92484
2033.50ns INFO [00002035] Port=0 RD @05
2034.50ns INFO [00002036] * RD COMPARE * port=0 adr=1B act=C06FC6A0 exp=C06FC6A0
2034.50ns INFO [00002036] Port=0 WR @0F=2034A180
2034.50ns INFO [00002036] Port=1 RD @17
2035.50ns INFO [00002037] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
2035.50ns INFO [00002037] Port=0 WR @1A=C0248E91
2035.50ns INFO [00002037] Port=0 RD @11
2036.50ns INFO [00002038] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2036.50ns INFO [00002038] Port=0 WR @08=A563E1A1
2036.50ns INFO [00002038] Port=1 RD @18
2037.50ns INFO [00002039] * RD COMPARE * port=0 adr=11 act=75427E4C exp=75427E4C
2037.50ns INFO [00002039] Port=0 RD @0D
2038.50ns INFO [00002040] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2038.50ns INFO [00002040] Port=1 RD @15
2039.50ns INFO [00002041] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926
2040.50ns INFO [00002042] * RD COMPARE * port=1 adr=15 act=A8C2CCF2 exp=A8C2CCF2
2041.50ns INFO [00002043] Port=0 WR @09=A9B105D5
2041.50ns INFO [00002043] Port=0 RD @14
2041.50ns INFO [00002043] Port=1 RD @14
2042.50ns INFO [00002044] Port=0 WR @06=69CF1D19
2043.50ns INFO [00002045] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892
2043.50ns INFO [00002045] * RD COMPARE * port=1 adr=14 act=3351C892 exp=3351C892
2043.50ns INFO [00002045] Port=0 RD @1C
2043.50ns INFO [00002045] Port=1 RD @03
2044.50ns INFO [00002046] Port=0 WR @07=8061FDD8
2044.50ns INFO [00002046] Port=1 RD @0F
2045.50ns INFO [00002047] * RD COMPARE * port=0 adr=1C act=D719A781 exp=D719A781
2045.50ns INFO [00002047] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2045.50ns INFO [00002047] Port=0 RD @1B
2046.50ns INFO [00002048] * RD COMPARE * port=1 adr=0F act=2034A180 exp=2034A180
2047.50ns INFO [00002049] * RD COMPARE * port=0 adr=1B act=C06FC6A0 exp=C06FC6A0
2049.50ns INFO [00002051] Port=0 WR @19=07210C50
2049.50ns INFO [00002051] Port=0 RD @1A
2051.50ns INFO [00002053] * RD COMPARE * port=0 adr=1A act=C0248E91 exp=C0248E91
2053.50ns INFO [00002055] Port=1 RD @16
2054.50ns INFO [00002056] Port=0 WR @1C=BD23E0D2
2055.50ns INFO [00002057] * RD COMPARE * port=1 adr=16 act=8B3A8442 exp=8B3A8442
2055.50ns INFO [00002057] Port=0 WR @0C=6C1FA9DD
2056.50ns INFO [00002058] Port=0 WR @10=5E261A8F
2056.50ns INFO [00002058] Port=0 RD @05
2056.50ns INFO [00002058] Port=1 RD @0C
2057.50ns INFO [00002059] Port=0 WR @02=105F021A
2057.50ns INFO [00002059] Port=0 RD @09
2058.50ns INFO [00002060] * RD COMPARE * port=0 adr=05 act=0D3A8ADF exp=0D3A8ADF
2058.50ns INFO [00002060] * RD COMPARE * port=1 adr=0C act=6C1FA9DD exp=6C1FA9DD
2058.50ns INFO [00002060] Port=0 WR @01=3385834C
2058.50ns INFO [00002060] Port=0 RD @0C
2059.50ns INFO [00002061] * RD COMPARE * port=0 adr=09 act=A9B105D5 exp=A9B105D5
2060.50ns INFO [00002062] * RD COMPARE * port=0 adr=0C act=6C1FA9DD exp=6C1FA9DD
2060.50ns INFO [00002062] Port=0 RD @07
2060.50ns INFO [00002062] Port=1 RD @03
2061.50ns INFO [00002063] Port=0 WR @02=497918C4
2061.50ns INFO [00002063] Port=0 RD @1D
2062.50ns INFO [00002064] * RD COMPARE * port=0 adr=07 act=8061FDD8 exp=8061FDD8
2062.50ns INFO [00002064] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2062.50ns INFO [00002064] Port=1 RD @03
2063.50ns INFO [00002065] * RD COMPARE * port=0 adr=1D act=BAA5E948 exp=BAA5E948
2063.50ns INFO [00002065] Port=0 RD @14
2063.50ns INFO [00002065] Port=1 RD @17
2064.50ns INFO [00002066] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2064.50ns INFO [00002066] Port=0 RD @18
2064.50ns INFO [00002066] Port=1 RD @05
2065.50ns INFO [00002067] * RD COMPARE * port=0 adr=14 act=3351C892 exp=3351C892
2065.50ns INFO [00002067] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2066.50ns INFO [00002068] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F
2066.50ns INFO [00002068] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF
2066.50ns INFO [00002068] Port=1 RD @05
2068.50ns INFO [00002070] * RD COMPARE * port=1 adr=05 act=0D3A8ADF exp=0D3A8ADF
2068.50ns INFO [00002070] Port=1 RD @18
2070.50ns INFO [00002072] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2071.50ns INFO [00002073] Port=0 WR @02=6841BDB1
2071.50ns INFO [00002073] Port=0 RD @10
2073.50ns INFO [00002075] * RD COMPARE * port=0 adr=10 act=5E261A8F exp=5E261A8F
2073.50ns INFO [00002075] Port=1 RD @18
2075.50ns INFO [00002077] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2075.50ns INFO [00002077] Port=1 RD @09
2076.50ns INFO [00002078] Port=1 RD @1E
2077.50ns INFO [00002079] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5
2078.50ns INFO [00002080] * RD COMPARE * port=1 adr=1E act=4E022AFA exp=4E022AFA
2078.50ns INFO [00002080] Port=0 WR @07=C8F457E2
2078.50ns INFO [00002080] Port=1 RD @11
2080.50ns INFO [00002082] * RD COMPARE * port=1 adr=11 act=75427E4C exp=75427E4C
2080.50ns INFO [00002082] Port=1 RD @0A
2081.50ns INFO [00002083] Port=1 RD @02
2082.50ns INFO [00002084] * RD COMPARE * port=1 adr=0A act=E91D9479 exp=E91D9479
2083.50ns INFO [00002085] * RD COMPARE * port=1 adr=02 act=6841BDB1 exp=6841BDB1
2083.50ns INFO [00002085] Port=0 RD @08
2085.50ns INFO [00002087] * RD COMPARE * port=0 adr=08 act=A563E1A1 exp=A563E1A1
2085.50ns INFO [00002087] Port=0 WR @00=9052CC9B
2086.50ns INFO [00002088] Port=0 WR @05=4697E749
2086.50ns INFO [00002088] Port=1 RD @02
2088.50ns INFO [00002090] * RD COMPARE * port=1 adr=02 act=6841BDB1 exp=6841BDB1
2088.50ns INFO [00002090] Port=0 WR @14=D87F552E
2088.50ns INFO [00002090] Port=1 RD @06
2089.50ns INFO [00002091] Port=0 RD @05
2089.50ns INFO [00002091] Port=1 RD @08
2090.50ns INFO [00002092] * RD COMPARE * port=1 adr=06 act=69CF1D19 exp=69CF1D19
2090.50ns INFO [00002092] Port=0 RD @0C
2091.50ns INFO [00002093] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749
2091.50ns INFO [00002093] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1
2091.50ns INFO [00002093] Port=0 WR @0A=8115EEEE
2091.50ns INFO [00002093] Port=0 RD @13
2091.50ns INFO [00002093] Port=1 RD @03
2092.50ns INFO [00002094] * RD COMPARE * port=0 adr=0C act=6C1FA9DD exp=6C1FA9DD
2092.50ns INFO [00002094] Port=0 RD @19
2093.50ns INFO [00002095] * RD COMPARE * port=0 adr=13 act=10705533 exp=10705533
2093.50ns INFO [00002095] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2093.50ns INFO [00002095] Port=1 RD @03
2094.50ns INFO [00002096] * RD COMPARE * port=0 adr=19 act=07210C50 exp=07210C50
2094.50ns INFO [00002096] Port=0 WR @1B=2496AA68
2095.50ns INFO [00002097] * RD COMPARE * port=1 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2096.50ns INFO [00002098] Port=0 WR @02=1A6CDBCB
2096.50ns INFO [00002098] Port=0 RD @06
2097.50ns INFO [00002099] Port=0 RD @14
2097.50ns INFO [00002099] Port=1 RD @10
2098.00ns INFO [00002100] [00002100] ...tick...
2098.50ns INFO [00002100] * RD COMPARE * port=0 adr=06 act=69CF1D19 exp=69CF1D19
2098.50ns INFO [00002100] Port=0 WR @16=68BA2230
2098.50ns INFO [00002100] Port=0 RD @04
2099.50ns INFO [00002101] * RD COMPARE * port=0 adr=14 act=D87F552E exp=D87F552E
2099.50ns INFO [00002101] * RD COMPARE * port=1 adr=10 act=5E261A8F exp=5E261A8F
2099.50ns INFO [00002101] Port=0 WR @1F=44DC8678
2099.50ns INFO [00002101] Port=1 RD @1E
2100.50ns INFO [00002102] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2100.50ns INFO [00002102] Port=0 WR @0B=07DC4B86
2100.50ns INFO [00002102] Port=0 RD @19
2101.50ns INFO [00002103] * RD COMPARE * port=1 adr=1E act=4E022AFA exp=4E022AFA
2101.50ns INFO [00002103] Port=0 WR @10=EE122D65
2102.50ns INFO [00002104] * RD COMPARE * port=0 adr=19 act=07210C50 exp=07210C50
2102.50ns INFO [00002104] Port=0 WR @19=4D0E2E21
2102.50ns INFO [00002104] Port=0 RD @0B
2103.50ns INFO [00002105] Port=0 WR @19=54F9B753
2104.50ns INFO [00002106] * RD COMPARE * port=0 adr=0B act=07DC4B86 exp=07DC4B86
2104.50ns INFO [00002106] Port=0 RD @1D
2106.50ns INFO [00002108] * RD COMPARE * port=0 adr=1D act=BAA5E948 exp=BAA5E948
2107.50ns INFO [00002109] Port=0 WR @15=6B1501E3
2107.50ns INFO [00002109] Port=1 RD @0D
2108.50ns INFO [00002110] Port=0 RD @04
2109.50ns INFO [00002111] * RD COMPARE * port=1 adr=0D act=23E33926 exp=23E33926
2109.50ns INFO [00002111] Port=0 WR @16=97A0CCD9
2109.50ns INFO [00002111] Port=1 RD @18
2110.50ns INFO [00002112] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2110.50ns INFO [00002112] Port=0 WR @1D=51B13E3B
2110.50ns INFO [00002112] Port=1 RD @08
2111.50ns INFO [00002113] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2111.50ns INFO [00002113] Port=1 RD @18
2112.50ns INFO [00002114] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1
2112.50ns INFO [00002114] Port=1 RD @09
2113.50ns INFO [00002115] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2113.50ns INFO [00002115] Port=0 RD @16
2114.50ns INFO [00002116] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5
2115.50ns INFO [00002117] * RD COMPARE * port=0 adr=16 act=97A0CCD9 exp=97A0CCD9
2116.50ns INFO [00002118] Port=0 WR @13=D4C63DE8
2116.50ns INFO [00002118] Port=1 RD @05
2117.50ns INFO [00002119] Port=0 WR @0F=03B6B48F
2117.50ns INFO [00002119] Port=0 RD @0A
2117.50ns INFO [00002119] Port=1 RD @09
2118.50ns INFO [00002120] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749
2119.50ns INFO [00002121] * RD COMPARE * port=0 adr=0A act=8115EEEE exp=8115EEEE
2119.50ns INFO [00002121] * RD COMPARE * port=1 adr=09 act=A9B105D5 exp=A9B105D5
2119.50ns INFO [00002121] Port=1 RD @0E
2121.50ns INFO [00002123] * RD COMPARE * port=1 adr=0E act=08FFEABC exp=08FFEABC
2121.50ns INFO [00002123] Port=1 RD @16
2122.50ns INFO [00002124] Port=0 WR @0C=F9695CDC
2122.50ns INFO [00002124] Port=1 RD @17
2123.50ns INFO [00002125] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9
2123.50ns INFO [00002125] Port=1 RD @10
2124.50ns INFO [00002126] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2124.50ns INFO [00002126] Port=0 RD @0A
2125.50ns INFO [00002127] * RD COMPARE * port=1 adr=10 act=EE122D65 exp=EE122D65
2125.50ns INFO [00002127] Port=0 WR @13=0360AE4E
2126.50ns INFO [00002128] * RD COMPARE * port=0 adr=0A act=8115EEEE exp=8115EEEE
2126.50ns INFO [00002128] Port=0 WR @01=4E4F18C1
2128.50ns INFO [00002130] Port=0 WR @15=A9EB2766
2129.50ns INFO [00002131] Port=0 RD @1D
2129.50ns INFO [00002131] Port=1 RD @0E
2130.50ns INFO [00002132] Port=1 RD @00
2131.50ns INFO [00002133] * RD COMPARE * port=0 adr=1D act=51B13E3B exp=51B13E3B
2131.50ns INFO [00002133] * RD COMPARE * port=1 adr=0E act=08FFEABC exp=08FFEABC
2131.50ns INFO [00002133] Port=1 RD @0F
2132.50ns INFO [00002134] * RD COMPARE * port=1 adr=00 act=9052CC9B exp=9052CC9B
2132.50ns INFO [00002134] Port=0 RD @0D
2132.50ns INFO [00002134] Port=1 RD @12
2133.50ns INFO [00002135] * RD COMPARE * port=1 adr=0F act=03B6B48F exp=03B6B48F
2134.50ns INFO [00002136] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926
2134.50ns INFO [00002136] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7
2135.50ns INFO [00002137] Port=0 WR @0F=3746E96E
2136.50ns INFO [00002138] Port=0 RD @01
2137.50ns INFO [00002139] Port=0 WR @13=B7649EB0
2138.50ns INFO [00002140] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2138.50ns INFO [00002140] Port=1 RD @1D
2139.50ns INFO [00002141] Port=0 WR @0F=523D7FAC
2139.50ns INFO [00002141] Port=0 RD @0C
2140.50ns INFO [00002142] * RD COMPARE * port=1 adr=1D act=51B13E3B exp=51B13E3B
2140.50ns INFO [00002142] Port=1 RD @0B
2141.50ns INFO [00002143] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC
2141.50ns INFO [00002143] Port=0 WR @09=7A2599A5
2141.50ns INFO [00002143] Port=0 RD @16
2142.50ns INFO [00002144] * RD COMPARE * port=1 adr=0B act=07DC4B86 exp=07DC4B86
2142.50ns INFO [00002144] Port=1 RD @14
2143.50ns INFO [00002145] * RD COMPARE * port=0 adr=16 act=97A0CCD9 exp=97A0CCD9
2143.50ns INFO [00002145] Port=0 RD @03
2143.50ns INFO [00002145] Port=1 RD @18
2144.50ns INFO [00002146] * RD COMPARE * port=1 adr=14 act=D87F552E exp=D87F552E
2144.50ns INFO [00002146] Port=0 WR @1E=4C4B75D7
2144.50ns INFO [00002146] Port=0 RD @04
2145.50ns INFO [00002147] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2145.50ns INFO [00002147] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2145.50ns INFO [00002147] Port=0 RD @14
2146.50ns INFO [00002148] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2146.50ns INFO [00002148] Port=1 RD @15
2147.50ns INFO [00002149] * RD COMPARE * port=0 adr=14 act=D87F552E exp=D87F552E
2147.50ns INFO [00002149] Port=0 RD @02
2148.50ns INFO [00002150] * RD COMPARE * port=1 adr=15 act=A9EB2766 exp=A9EB2766
2149.50ns INFO [00002151] * RD COMPARE * port=0 adr=02 act=1A6CDBCB exp=1A6CDBCB
2149.50ns INFO [00002151] Port=0 WR @00=7BACC024
2151.50ns INFO [00002153] Port=1 RD @16
2153.50ns INFO [00002155] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9
2153.50ns INFO [00002155] Port=0 WR @1E=3DB175B6
2153.50ns INFO [00002155] Port=0 RD @15
2154.50ns INFO [00002156] Port=1 RD @08
2155.50ns INFO [00002157] * RD COMPARE * port=0 adr=15 act=A9EB2766 exp=A9EB2766
2155.50ns INFO [00002157] Port=0 WR @0B=BFAA254F
2156.50ns INFO [00002158] * RD COMPARE * port=1 adr=08 act=A563E1A1 exp=A563E1A1
2156.50ns INFO [00002158] Port=1 RD @05
2157.50ns INFO [00002159] Port=0 WR @1D=3CAFF93E
2158.50ns INFO [00002160] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749
2158.50ns INFO [00002160] Port=0 RD @05
2159.50ns INFO [00002161] Port=0 WR @13=A53FFCCF
2159.50ns INFO [00002161] Port=0 RD @15
2160.50ns INFO [00002162] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749
2160.50ns INFO [00002162] Port=1 RD @14
2161.50ns INFO [00002163] * RD COMPARE * port=0 adr=15 act=A9EB2766 exp=A9EB2766
2161.50ns INFO [00002163] Port=0 WR @1A=0B760397
2161.50ns INFO [00002163] Port=0 RD @06
2162.50ns INFO [00002164] * RD COMPARE * port=1 adr=14 act=D87F552E exp=D87F552E
2162.50ns INFO [00002164] Port=0 WR @0A=5F9E3060
2163.50ns INFO [00002165] * RD COMPARE * port=0 adr=06 act=69CF1D19 exp=69CF1D19
2163.50ns INFO [00002165] Port=0 WR @07=95FD48EC
2163.50ns INFO [00002165] Port=0 RD @03
2163.50ns INFO [00002165] Port=1 RD @01
2164.50ns INFO [00002166] Port=1 RD @11
2165.50ns INFO [00002167] * RD COMPARE * port=0 adr=03 act=4D3FFCF2 exp=4D3FFCF2
2165.50ns INFO [00002167] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2165.50ns INFO [00002167] Port=1 RD @07
2166.50ns INFO [00002168] * RD COMPARE * port=1 adr=11 act=75427E4C exp=75427E4C
2166.50ns INFO [00002168] Port=0 WR @1C=7AAD7119
2166.50ns INFO [00002168] Port=0 RD @0D
2166.50ns INFO [00002168] Port=1 RD @18
2167.50ns INFO [00002169] * RD COMPARE * port=1 adr=07 act=95FD48EC exp=95FD48EC
2168.50ns INFO [00002170] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926
2168.50ns INFO [00002170] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2169.50ns INFO [00002171] Port=0 WR @1D=52B756FF
2170.50ns INFO [00002172] Port=0 WR @10=0856B27D
2170.50ns INFO [00002172] Port=0 RD @0D
2171.50ns INFO [00002173] Port=1 RD @1E
2172.50ns INFO [00002174] * RD COMPARE * port=0 adr=0D act=23E33926 exp=23E33926
2172.50ns INFO [00002174] Port=0 WR @0E=E4F673D5
2172.50ns INFO [00002174] Port=1 RD @0D
2173.50ns INFO [00002175] * RD COMPARE * port=1 adr=1E act=3DB175B6 exp=3DB175B6
2173.50ns INFO [00002175] Port=1 RD @01
2174.50ns INFO [00002176] * RD COMPARE * port=1 adr=0D act=23E33926 exp=23E33926
2174.50ns INFO [00002176] Port=1 RD @1A
2175.50ns INFO [00002177] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2175.50ns INFO [00002177] Port=0 WR @0D=54706D61
2175.50ns INFO [00002177] Port=1 RD @06
2176.50ns INFO [00002178] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397
2176.50ns INFO [00002178] Port=0 WR @1C=E8072940
2176.50ns INFO [00002178] Port=0 RD @09
2177.50ns INFO [00002179] * RD COMPARE * port=1 adr=06 act=69CF1D19 exp=69CF1D19
2178.50ns INFO [00002180] * RD COMPARE * port=0 adr=09 act=7A2599A5 exp=7A2599A5
2180.50ns INFO [00002182] Port=0 WR @19=5D48C280
2180.50ns INFO [00002182] Port=0 RD @00
2181.50ns INFO [00002183] Port=1 RD @0C
2182.50ns INFO [00002184] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024
2182.50ns INFO [00002184] Port=0 RD @13
2182.50ns INFO [00002184] Port=1 RD @01
2183.50ns INFO [00002185] * RD COMPARE * port=1 adr=0C act=F9695CDC exp=F9695CDC
2184.50ns INFO [00002186] * RD COMPARE * port=0 adr=13 act=A53FFCCF exp=A53FFCCF
2184.50ns INFO [00002186] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2184.50ns INFO [00002186] Port=0 WR @14=366C652F
2184.50ns INFO [00002186] Port=1 RD @12
2185.50ns INFO [00002187] Port=0 WR @1B=71649CF2
2185.50ns INFO [00002187] Port=0 RD @01
2185.50ns INFO [00002187] Port=1 RD @05
2186.50ns INFO [00002188] * RD COMPARE * port=1 adr=12 act=2A7DF2E7 exp=2A7DF2E7
2186.50ns INFO [00002188] Port=0 RD @00
2187.50ns INFO [00002189] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2187.50ns INFO [00002189] * RD COMPARE * port=1 adr=05 act=4697E749 exp=4697E749
2187.50ns INFO [00002189] Port=0 WR @14=FB3D90F7
2188.50ns INFO [00002190] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024
2188.50ns INFO [00002190] Port=0 WR @06=17BB8659
2188.50ns INFO [00002190] Port=0 RD @04
2188.50ns INFO [00002190] Port=1 RD @15
2189.50ns INFO [00002191] Port=0 RD @04
2190.50ns INFO [00002192] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2190.50ns INFO [00002192] * RD COMPARE * port=1 adr=15 act=A9EB2766 exp=A9EB2766
2191.50ns INFO [00002193] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2191.50ns INFO [00002193] Port=0 WR @02=EA893DD4
2193.50ns INFO [00002195] Port=0 WR @07=D016C893
2194.50ns INFO [00002196] Port=1 RD @1A
2195.50ns INFO [00002197] Port=0 RD @02
2195.50ns INFO [00002197] Port=1 RD @04
2196.50ns INFO [00002198] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397
2197.50ns INFO [00002199] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4
2197.50ns INFO [00002199] * RD COMPARE * port=1 adr=04 act=9998B1B7 exp=9998B1B7
2198.00ns INFO [00002200] [00002200] ...tick...
2198.50ns INFO [00002200] Port=1 RD @04
2199.50ns INFO [00002201] Port=0 RD @05
2200.50ns INFO [00002202] * RD COMPARE * port=1 adr=04 act=9998B1B7 exp=9998B1B7
2201.50ns INFO [00002203] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749
2201.50ns INFO [00002203] Port=1 RD @18
2202.50ns INFO [00002204] Port=0 WR @15=D43A2B2D
2202.50ns INFO [00002204] Port=0 RD @05
2202.50ns INFO [00002204] Port=1 RD @19
2203.50ns INFO [00002205] * RD COMPARE * port=1 adr=18 act=F1BC521F exp=F1BC521F
2203.50ns INFO [00002205] Port=1 RD @0E
2204.50ns INFO [00002206] * RD COMPARE * port=0 adr=05 act=4697E749 exp=4697E749
2204.50ns INFO [00002206] * RD COMPARE * port=1 adr=19 act=5D48C280 exp=5D48C280
2204.50ns INFO [00002206] Port=0 WR @12=3FA9D453
2205.50ns INFO [00002207] * RD COMPARE * port=1 adr=0E act=E4F673D5 exp=E4F673D5
2205.50ns INFO [00002207] Port=1 RD @0E
2207.50ns INFO [00002209] * RD COMPARE * port=1 adr=0E act=E4F673D5 exp=E4F673D5
2207.50ns INFO [00002209] Port=0 RD @09
2208.50ns INFO [00002210] Port=0 WR @09=1AA787EE
2209.50ns INFO [00002211] * RD COMPARE * port=0 adr=09 act=7A2599A5 exp=7A2599A5
2209.50ns INFO [00002211] Port=0 RD @0C
2210.50ns INFO [00002212] Port=0 RD @1C
2211.50ns INFO [00002213] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC
2211.50ns INFO [00002213] Port=0 WR @15=55A90707
2211.50ns INFO [00002213] Port=0 RD @1D
2212.50ns INFO [00002214] * RD COMPARE * port=0 adr=1C act=E8072940 exp=E8072940
2212.50ns INFO [00002214] Port=0 WR @0D=49F8EA71
2212.50ns INFO [00002214] Port=0 RD @09
2213.50ns INFO [00002215] * RD COMPARE * port=0 adr=1D act=52B756FF exp=52B756FF
2213.50ns INFO [00002215] Port=0 RD @0D
2213.50ns INFO [00002215] Port=1 RD @1B
2214.50ns INFO [00002216] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE
2214.50ns INFO [00002216] Port=1 RD @1A
2215.50ns INFO [00002217] * RD COMPARE * port=0 adr=0D act=49F8EA71 exp=49F8EA71
2215.50ns INFO [00002217] * RD COMPARE * port=1 adr=1B act=71649CF2 exp=71649CF2
2216.50ns INFO [00002218] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397
2217.50ns INFO [00002219] Port=0 RD @02
2218.50ns INFO [00002220] Port=1 RD @1D
2219.50ns INFO [00002221] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4
2219.50ns INFO [00002221] Port=0 WR @13=1F038C91
2219.50ns INFO [00002221] Port=1 RD @09
2220.50ns INFO [00002222] * RD COMPARE * port=1 adr=1D act=52B756FF exp=52B756FF
2220.50ns INFO [00002222] Port=0 RD @09
2221.50ns INFO [00002223] * RD COMPARE * port=1 adr=09 act=1AA787EE exp=1AA787EE
2222.50ns INFO [00002224] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE
2222.50ns INFO [00002224] Port=0 WR @08=C010F798
2222.50ns INFO [00002224] Port=1 RD @01
2223.50ns INFO [00002225] Port=0 RD @14
2224.50ns INFO [00002226] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2224.50ns INFO [00002226] Port=0 RD @04
2225.50ns INFO [00002227] * RD COMPARE * port=0 adr=14 act=FB3D90F7 exp=FB3D90F7
2225.50ns INFO [00002227] Port=0 WR @11=260D96DB
2226.50ns INFO [00002228] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2226.50ns INFO [00002228] Port=0 WR @03=E23591EE
2228.50ns INFO [00002230] Port=0 RD @04
2229.50ns INFO [00002231] Port=0 RD @0F
2229.50ns INFO [00002231] Port=1 RD @08
2230.50ns INFO [00002232] * RD COMPARE * port=0 adr=04 act=9998B1B7 exp=9998B1B7
2230.50ns INFO [00002232] Port=0 RD @0A
2231.50ns INFO [00002233] * RD COMPARE * port=0 adr=0F act=523D7FAC exp=523D7FAC
2231.50ns INFO [00002233] * RD COMPARE * port=1 adr=08 act=C010F798 exp=C010F798
2231.50ns INFO [00002233] Port=0 WR @05=45F4ED3A
2232.50ns INFO [00002234] * RD COMPARE * port=0 adr=0A act=5F9E3060 exp=5F9E3060
2232.50ns INFO [00002234] Port=1 RD @1A
2233.50ns INFO [00002235] Port=0 WR @1D=1406BDD5
2234.50ns INFO [00002236] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397
2235.50ns INFO [00002237] Port=0 RD @0C
2235.50ns INFO [00002237] Port=1 RD @0A
2237.50ns INFO [00002239] * RD COMPARE * port=0 adr=0C act=F9695CDC exp=F9695CDC
2237.50ns INFO [00002239] * RD COMPARE * port=1 adr=0A act=5F9E3060 exp=5F9E3060
2237.50ns INFO [00002239] Port=0 WR @15=B13161A4
2237.50ns INFO [00002239] Port=0 RD @09
2237.50ns INFO [00002239] Port=1 RD @1F
2238.50ns INFO [00002240] Port=0 WR @10=78629213
2238.50ns INFO [00002240] Port=1 RD @01
2239.50ns INFO [00002241] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE
2239.50ns INFO [00002241] * RD COMPARE * port=1 adr=1F act=44DC8678 exp=44DC8678
2239.50ns INFO [00002241] Port=0 WR @03=8355D401
2239.50ns INFO [00002241] Port=1 RD @14
2240.50ns INFO [00002242] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2241.50ns INFO [00002243] * RD COMPARE * port=1 adr=14 act=FB3D90F7 exp=FB3D90F7
2241.50ns INFO [00002243] Port=0 RD @15
2241.50ns INFO [00002243] Port=1 RD @0C
2243.50ns INFO [00002245] * RD COMPARE * port=0 adr=15 act=B13161A4 exp=B13161A4
2243.50ns INFO [00002245] * RD COMPARE * port=1 adr=0C act=F9695CDC exp=F9695CDC
2243.50ns INFO [00002245] Port=0 RD @1F
2244.50ns INFO [00002246] Port=0 WR @10=616B02C3
2245.50ns INFO [00002247] * RD COMPARE * port=0 adr=1F act=44DC8678 exp=44DC8678
2245.50ns INFO [00002247] Port=0 RD @08
2245.50ns INFO [00002247] Port=1 RD @11
2246.50ns INFO [00002248] Port=1 RD @16
2247.50ns INFO [00002249] * RD COMPARE * port=0 adr=08 act=C010F798 exp=C010F798
2247.50ns INFO [00002249] * RD COMPARE * port=1 adr=11 act=260D96DB exp=260D96DB
2248.50ns INFO [00002250] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9
2248.50ns INFO [00002250] Port=0 RD @07
2249.50ns INFO [00002251] Port=1 RD @0D
2250.50ns INFO [00002252] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893
2250.50ns INFO [00002252] Port=0 RD @07
2251.50ns INFO [00002253] * RD COMPARE * port=1 adr=0D act=49F8EA71 exp=49F8EA71
2251.50ns INFO [00002253] Port=0 WR @06=C65EAC52
2251.50ns INFO [00002253] Port=1 RD @1A
2252.50ns INFO [00002254] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893
2252.50ns INFO [00002254] Port=0 RD @15
2252.50ns INFO [00002254] Port=1 RD @17
2253.50ns INFO [00002255] * RD COMPARE * port=1 adr=1A act=0B760397 exp=0B760397
2253.50ns INFO [00002255] Port=0 WR @0D=056DEDA3
2253.50ns INFO [00002255] Port=1 RD @08
2254.50ns INFO [00002256] * RD COMPARE * port=0 adr=15 act=B13161A4 exp=B13161A4
2254.50ns INFO [00002256] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2254.50ns INFO [00002256] Port=0 RD @11
2254.50ns INFO [00002256] Port=1 RD @0B
2255.50ns INFO [00002257] * RD COMPARE * port=1 adr=08 act=C010F798 exp=C010F798
2256.50ns INFO [00002258] * RD COMPARE * port=0 adr=11 act=260D96DB exp=260D96DB
2256.50ns INFO [00002258] * RD COMPARE * port=1 adr=0B act=BFAA254F exp=BFAA254F
2256.50ns INFO [00002258] Port=0 WR @14=51ED3FB9
2257.50ns INFO [00002259] Port=0 RD @18
2257.50ns INFO [00002259] Port=1 RD @14
2258.50ns INFO [00002260] Port=0 RD @01
2259.50ns INFO [00002261] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F
2259.50ns INFO [00002261] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9
2260.50ns INFO [00002262] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2260.50ns INFO [00002262] Port=0 WR @1A=BBAAFAD6
2261.50ns INFO [00002263] Port=0 WR @0C=C520CFFB
2261.50ns INFO [00002263] Port=0 RD @1A
2262.50ns INFO [00002264] Port=0 WR @12=E4924E96
2262.50ns INFO [00002264] Port=0 RD @0B
2262.50ns INFO [00002264] Port=1 RD @17
2263.50ns INFO [00002265] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6
2263.50ns INFO [00002265] Port=0 WR @12=26D53FC1
2264.50ns INFO [00002266] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F
2264.50ns INFO [00002266] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2265.50ns INFO [00002267] Port=0 RD @0C
2266.50ns INFO [00002268] Port=0 WR @1F=7904B5AE
2267.50ns INFO [00002269] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB
2267.50ns INFO [00002269] Port=1 RD @0F
2269.50ns INFO [00002271] * RD COMPARE * port=1 adr=0F act=523D7FAC exp=523D7FAC
2270.50ns INFO [00002272] Port=1 RD @13
2272.50ns INFO [00002274] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91
2272.50ns INFO [00002274] Port=0 WR @06=9839EDF7
2273.50ns INFO [00002275] Port=0 WR @1D=9D46BD74
2275.50ns INFO [00002277] Port=0 RD @17
2275.50ns INFO [00002277] Port=1 RD @03
2276.50ns INFO [00002278] Port=0 WR @08=46142AFE
2277.50ns INFO [00002279] * RD COMPARE * port=0 adr=17 act=417BCE80 exp=417BCE80
2277.50ns INFO [00002279] * RD COMPARE * port=1 adr=03 act=8355D401 exp=8355D401
2277.50ns INFO [00002279] Port=0 WR @1D=884F9719
2277.50ns INFO [00002279] Port=0 RD @00
2277.50ns INFO [00002279] Port=1 RD @0D
2278.50ns INFO [00002280] Port=0 WR @1E=647B34DA
2278.50ns INFO [00002280] Port=1 RD @02
2279.50ns INFO [00002281] * RD COMPARE * port=0 adr=00 act=7BACC024 exp=7BACC024
2279.50ns INFO [00002281] * RD COMPARE * port=1 adr=0D act=056DEDA3 exp=056DEDA3
2279.50ns INFO [00002281] Port=1 RD @15
2280.50ns INFO [00002282] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4
2280.50ns INFO [00002282] Port=0 RD @1B
2281.50ns INFO [00002283] * RD COMPARE * port=1 adr=15 act=B13161A4 exp=B13161A4
2282.50ns INFO [00002284] * RD COMPARE * port=0 adr=1B act=71649CF2 exp=71649CF2
2282.50ns INFO [00002284] Port=0 RD @0C
2282.50ns INFO [00002284] Port=1 RD @14
2283.50ns INFO [00002285] Port=0 WR @08=1DA0E483
2283.50ns INFO [00002285] Port=0 RD @0C
2283.50ns INFO [00002285] Port=1 RD @1B
2284.50ns INFO [00002286] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB
2284.50ns INFO [00002286] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9
2284.50ns INFO [00002286] Port=1 RD @11
2285.50ns INFO [00002287] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB
2285.50ns INFO [00002287] * RD COMPARE * port=1 adr=1B act=71649CF2 exp=71649CF2
2285.50ns INFO [00002287] Port=1 RD @1E
2286.50ns INFO [00002288] * RD COMPARE * port=1 adr=11 act=260D96DB exp=260D96DB
2287.50ns INFO [00002289] * RD COMPARE * port=1 adr=1E act=647B34DA exp=647B34DA
2288.50ns INFO [00002290] Port=1 RD @13
2289.50ns INFO [00002291] Port=0 RD @07
2290.50ns INFO [00002292] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91
2290.50ns INFO [00002292] Port=0 RD @10
2290.50ns INFO [00002292] Port=1 RD @14
2291.50ns INFO [00002293] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893
2291.50ns INFO [00002293] Port=0 WR @03=3BCE02C9
2292.50ns INFO [00002294] * RD COMPARE * port=0 adr=10 act=616B02C3 exp=616B02C3
2292.50ns INFO [00002294] * RD COMPARE * port=1 adr=14 act=51ED3FB9 exp=51ED3FB9
2293.50ns INFO [00002295] Port=0 WR @0F=C15C13F1
2295.50ns INFO [00002297] Port=0 WR @11=7A2741FF
2296.50ns INFO [00002298] Port=0 RD @14
2297.50ns INFO [00002299] Port=0 RD @1E
2298.00ns INFO [00002300] [00002300] ...tick...
2298.50ns INFO [00002300] * RD COMPARE * port=0 adr=14 act=51ED3FB9 exp=51ED3FB9
2299.50ns INFO [00002301] * RD COMPARE * port=0 adr=1E act=647B34DA exp=647B34DA
2299.50ns INFO [00002301] Port=1 RD @0B
2300.50ns INFO [00002302] Port=1 RD @1D
2301.50ns INFO [00002303] * RD COMPARE * port=1 adr=0B act=BFAA254F exp=BFAA254F
2301.50ns INFO [00002303] Port=1 RD @0F
2302.50ns INFO [00002304] * RD COMPARE * port=1 adr=1D act=884F9719 exp=884F9719
2302.50ns INFO [00002304] Port=0 WR @06=E52473E5
2302.50ns INFO [00002304] Port=0 RD @0B
2302.50ns INFO [00002304] Port=1 RD @10
2303.50ns INFO [00002305] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1
2303.50ns INFO [00002305] Port=0 WR @08=26346948
2303.50ns INFO [00002305] Port=0 RD @11
2304.50ns INFO [00002306] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F
2304.50ns INFO [00002306] * RD COMPARE * port=1 adr=10 act=616B02C3 exp=616B02C3
2304.50ns INFO [00002306] Port=0 WR @10=222EE67E
2304.50ns INFO [00002306] Port=1 RD @0F
2305.50ns INFO [00002307] * RD COMPARE * port=0 adr=11 act=7A2741FF exp=7A2741FF
2305.50ns INFO [00002307] Port=1 RD @10
2306.50ns INFO [00002308] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1
2306.50ns INFO [00002308] Port=0 WR @14=A77F068B
2306.50ns INFO [00002308] Port=1 RD @16
2307.50ns INFO [00002309] * RD COMPARE * port=1 adr=10 act=222EE67E exp=222EE67E
2307.50ns INFO [00002309] Port=0 WR @0A=C655A516
2308.50ns INFO [00002310] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9
2308.50ns INFO [00002310] Port=0 RD @19
2309.50ns INFO [00002311] Port=0 WR @10=F2AA1992
2310.50ns INFO [00002312] * RD COMPARE * port=0 adr=19 act=5D48C280 exp=5D48C280
2310.50ns INFO [00002312] Port=1 RD @06
2311.50ns INFO [00002313] Port=0 RD @05
2312.50ns INFO [00002314] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5
2312.50ns INFO [00002314] Port=0 RD @1A
2312.50ns INFO [00002314] Port=1 RD @15
2313.50ns INFO [00002315] * RD COMPARE * port=0 adr=05 act=45F4ED3A exp=45F4ED3A
2313.50ns INFO [00002315] Port=1 RD @08
2314.50ns INFO [00002316] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6
2314.50ns INFO [00002316] * RD COMPARE * port=1 adr=15 act=B13161A4 exp=B13161A4
2314.50ns INFO [00002316] Port=1 RD @17
2315.50ns INFO [00002317] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948
2315.50ns INFO [00002317] Port=0 WR @00=A0522A55
2316.50ns INFO [00002318] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2316.50ns INFO [00002318] Port=0 RD @06
2318.50ns INFO [00002320] * RD COMPARE * port=0 adr=06 act=E52473E5 exp=E52473E5
2318.50ns INFO [00002320] Port=0 RD @07
2318.50ns INFO [00002320] Port=1 RD @1A
2319.50ns INFO [00002321] Port=0 RD @08
2320.50ns INFO [00002322] * RD COMPARE * port=0 adr=07 act=D016C893 exp=D016C893
2320.50ns INFO [00002322] * RD COMPARE * port=1 adr=1A act=BBAAFAD6 exp=BBAAFAD6
2320.50ns INFO [00002322] Port=0 WR @1E=EB4B7DB4
2320.50ns INFO [00002322] Port=0 RD @02
2321.50ns INFO [00002323] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948
2321.50ns INFO [00002323] Port=0 WR @1F=7AC88875
2321.50ns INFO [00002323] Port=0 RD @14
2322.50ns INFO [00002324] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4
2322.50ns INFO [00002324] Port=0 WR @07=3DAA559C
2323.50ns INFO [00002325] * RD COMPARE * port=0 adr=14 act=A77F068B exp=A77F068B
2324.50ns INFO [00002326] Port=0 WR @1B=066C8196
2326.50ns INFO [00002328] Port=0 RD @1F
2327.50ns INFO [00002329] Port=0 WR @05=4AE15F43
2327.50ns INFO [00002329] Port=1 RD @06
2328.50ns INFO [00002330] * RD COMPARE * port=0 adr=1F act=7AC88875 exp=7AC88875
2328.50ns INFO [00002330] Port=0 WR @0A=6EF3E156
2329.50ns INFO [00002331] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5
2329.50ns INFO [00002331] Port=0 RD @1A
2331.50ns INFO [00002333] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6
2331.50ns INFO [00002333] Port=0 WR @00=8319CD93
2331.50ns INFO [00002333] Port=0 RD @0B
2333.50ns INFO [00002335] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F
2334.50ns INFO [00002336] Port=0 WR @07=4AE901D6
2335.50ns INFO [00002337] Port=1 RD @16
2336.50ns INFO [00002338] Port=0 WR @07=5D15B696
2336.50ns INFO [00002338] Port=0 RD @09
2337.50ns INFO [00002339] * RD COMPARE * port=1 adr=16 act=97A0CCD9 exp=97A0CCD9
2337.50ns INFO [00002339] Port=0 RD @07
2337.50ns INFO [00002339] Port=1 RD @14
2338.50ns INFO [00002340] * RD COMPARE * port=0 adr=09 act=1AA787EE exp=1AA787EE
2338.50ns INFO [00002340] Port=0 RD @1B
2338.50ns INFO [00002340] Port=1 RD @00
2339.50ns INFO [00002341] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696
2339.50ns INFO [00002341] * RD COMPARE * port=1 adr=14 act=A77F068B exp=A77F068B
2339.50ns INFO [00002341] Port=0 WR @14=DDB7D3A4
2340.50ns INFO [00002342] * RD COMPARE * port=0 adr=1B act=066C8196 exp=066C8196
2340.50ns INFO [00002342] * RD COMPARE * port=1 adr=00 act=8319CD93 exp=8319CD93
2340.50ns INFO [00002342] Port=0 WR @03=E6ABACB4
2340.50ns INFO [00002342] Port=1 RD @19
2341.50ns INFO [00002343] Port=0 WR @04=2216C00A
2341.50ns INFO [00002343] Port=0 RD @0A
2342.50ns INFO [00002344] * RD COMPARE * port=1 adr=19 act=5D48C280 exp=5D48C280
2342.50ns INFO [00002344] Port=0 RD @19
2342.50ns INFO [00002344] Port=1 RD @06
2343.50ns INFO [00002345] * RD COMPARE * port=0 adr=0A act=6EF3E156 exp=6EF3E156
2343.50ns INFO [00002345] Port=0 WR @06=E86BE011
2343.50ns INFO [00002345] Port=0 RD @0F
2344.50ns INFO [00002346] * RD COMPARE * port=0 adr=19 act=5D48C280 exp=5D48C280
2344.50ns INFO [00002346] * RD COMPARE * port=1 adr=06 act=E52473E5 exp=E52473E5
2344.50ns INFO [00002346] Port=0 RD @12
2345.50ns INFO [00002347] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1
2345.50ns INFO [00002347] Port=0 RD @12
2345.50ns INFO [00002347] Port=1 RD @08
2346.50ns INFO [00002348] * RD COMPARE * port=0 adr=12 act=26D53FC1 exp=26D53FC1
2346.50ns INFO [00002348] Port=1 RD @0C
2347.50ns INFO [00002349] * RD COMPARE * port=0 adr=12 act=26D53FC1 exp=26D53FC1
2347.50ns INFO [00002349] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948
2347.50ns INFO [00002349] Port=0 WR @19=3D48C9ED
2347.50ns INFO [00002349] Port=1 RD @08
2348.50ns INFO [00002350] * RD COMPARE * port=1 adr=0C act=C520CFFB exp=C520CFFB
2348.50ns INFO [00002350] Port=0 WR @12=610D5812
2348.50ns INFO [00002350] Port=0 RD @1E
2349.50ns INFO [00002351] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948
2350.50ns INFO [00002352] * RD COMPARE * port=0 adr=1E act=EB4B7DB4 exp=EB4B7DB4
2350.50ns INFO [00002352] Port=0 WR @0E=E417F802
2351.50ns INFO [00002353] Port=0 RD @03
2352.50ns INFO [00002354] Port=0 WR @12=A5E2F5CF
2352.50ns INFO [00002354] Port=0 RD @0F
2353.50ns INFO [00002355] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2353.50ns INFO [00002355] Port=1 RD @11
2354.50ns INFO [00002356] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1
2354.50ns INFO [00002356] Port=0 WR @09=DE0782CA
2354.50ns INFO [00002356] Port=1 RD @0F
2355.50ns INFO [00002357] * RD COMPARE * port=1 adr=11 act=7A2741FF exp=7A2741FF
2355.50ns INFO [00002357] Port=0 RD @18
2356.50ns INFO [00002358] * RD COMPARE * port=1 adr=0F act=C15C13F1 exp=C15C13F1
2356.50ns INFO [00002358] Port=0 WR @15=A6A8D2F1
2356.50ns INFO [00002358] Port=0 RD @0F
2357.50ns INFO [00002359] * RD COMPARE * port=0 adr=18 act=F1BC521F exp=F1BC521F
2357.50ns INFO [00002359] Port=0 RD @1A
2358.50ns INFO [00002360] * RD COMPARE * port=0 adr=0F act=C15C13F1 exp=C15C13F1
2359.50ns INFO [00002361] * RD COMPARE * port=0 adr=1A act=BBAAFAD6 exp=BBAAFAD6
2359.50ns INFO [00002361] Port=0 RD @0D
2359.50ns INFO [00002361] Port=1 RD @14
2360.50ns INFO [00002362] Port=0 WR @05=76214AFF
2360.50ns INFO [00002362] Port=0 RD @1E
2361.50ns INFO [00002363] * RD COMPARE * port=0 adr=0D act=056DEDA3 exp=056DEDA3
2361.50ns INFO [00002363] * RD COMPARE * port=1 adr=14 act=DDB7D3A4 exp=DDB7D3A4
2361.50ns INFO [00002363] Port=0 RD @02
2362.50ns INFO [00002364] * RD COMPARE * port=0 adr=1E act=EB4B7DB4 exp=EB4B7DB4
2362.50ns INFO [00002364] Port=0 RD @0D
2363.50ns INFO [00002365] * RD COMPARE * port=0 adr=02 act=EA893DD4 exp=EA893DD4
2363.50ns INFO [00002365] Port=0 WR @18=08783F87
2364.50ns INFO [00002366] * RD COMPARE * port=0 adr=0D act=056DEDA3 exp=056DEDA3
2364.50ns INFO [00002366] Port=0 RD @0C
2364.50ns INFO [00002366] Port=1 RD @14
2365.50ns INFO [00002367] Port=0 RD @07
2365.50ns INFO [00002367] Port=1 RD @07
2366.50ns INFO [00002368] * RD COMPARE * port=0 adr=0C act=C520CFFB exp=C520CFFB
2366.50ns INFO [00002368] * RD COMPARE * port=1 adr=14 act=DDB7D3A4 exp=DDB7D3A4
2366.50ns INFO [00002368] Port=0 RD @0B
2367.50ns INFO [00002369] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696
2367.50ns INFO [00002369] * RD COMPARE * port=1 adr=07 act=5D15B696 exp=5D15B696
2367.50ns INFO [00002369] Port=0 WR @0E=754634D2
2368.50ns INFO [00002370] * RD COMPARE * port=0 adr=0B act=BFAA254F exp=BFAA254F
2368.50ns INFO [00002370] Port=1 RD @1E
2369.50ns INFO [00002371] Port=0 WR @11=8AFD8E80
2369.50ns INFO [00002371] Port=1 RD @19
2370.50ns INFO [00002372] * RD COMPARE * port=1 adr=1E act=EB4B7DB4 exp=EB4B7DB4
2370.50ns INFO [00002372] Port=0 WR @1A=94D4A573
2371.50ns INFO [00002373] * RD COMPARE * port=1 adr=19 act=3D48C9ED exp=3D48C9ED
2371.50ns INFO [00002373] Port=0 RD @07
2371.50ns INFO [00002373] Port=1 RD @17
2372.50ns INFO [00002374] Port=0 WR @1D=B52A9F49
2373.50ns INFO [00002375] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696
2373.50ns INFO [00002375] * RD COMPARE * port=1 adr=17 act=417BCE80 exp=417BCE80
2373.50ns INFO [00002375] Port=0 WR @0F=8955730F
2373.50ns INFO [00002375] Port=0 RD @08
2375.50ns INFO [00002377] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948
2377.50ns INFO [00002379] Port=0 WR @17=E8F639F2
2378.50ns INFO [00002380] Port=0 RD @01
2379.50ns INFO [00002381] Port=0 RD @07
2380.50ns INFO [00002382] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2380.50ns INFO [00002382] Port=0 WR @07=BE8E8B46
2380.50ns INFO [00002382] Port=1 RD @09
2381.50ns INFO [00002383] * RD COMPARE * port=0 adr=07 act=5D15B696 exp=5D15B696
2381.50ns INFO [00002383] Port=0 RD @1C
2382.50ns INFO [00002384] * RD COMPARE * port=1 adr=09 act=DE0782CA exp=DE0782CA
2382.50ns INFO [00002384] Port=0 WR @1C=095FAFC5
2382.50ns INFO [00002384] Port=0 RD @01
2383.50ns INFO [00002385] * RD COMPARE * port=0 adr=1C act=E8072940 exp=E8072940
2383.50ns INFO [00002385] Port=0 RD @19
2384.50ns INFO [00002386] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2385.50ns INFO [00002387] * RD COMPARE * port=0 adr=19 act=3D48C9ED exp=3D48C9ED
2385.50ns INFO [00002387] Port=0 WR @17=FB68E312
2385.50ns INFO [00002387] Port=1 RD @02
2386.50ns INFO [00002388] Port=1 RD @17
2387.50ns INFO [00002389] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4
2388.50ns INFO [00002390] * RD COMPARE * port=1 adr=17 act=FB68E312 exp=FB68E312
2388.50ns INFO [00002390] Port=0 WR @0D=D0FBD575
2389.50ns INFO [00002391] Port=0 WR @0C=6009A48C
2390.50ns INFO [00002392] Port=0 WR @19=382A2946
2390.50ns INFO [00002392] Port=0 RD @08
2391.50ns INFO [00002393] Port=0 WR @09=742563CD
2391.50ns INFO [00002393] Port=1 RD @17
2392.50ns INFO [00002394] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948
2393.50ns INFO [00002395] * RD COMPARE * port=1 adr=17 act=FB68E312 exp=FB68E312
2393.50ns INFO [00002395] Port=0 RD @12
2393.50ns INFO [00002395] Port=1 RD @0D
2394.50ns INFO [00002396] Port=0 WR @16=7E544045
2395.50ns INFO [00002397] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF
2395.50ns INFO [00002397] * RD COMPARE * port=1 adr=0D act=D0FBD575 exp=D0FBD575
2395.50ns INFO [00002397] Port=0 RD @15
2396.50ns INFO [00002398] Port=0 RD @0C
2396.50ns INFO [00002398] Port=1 RD @09
2397.50ns INFO [00002399] * RD COMPARE * port=0 adr=15 act=A6A8D2F1 exp=A6A8D2F1
2397.50ns INFO [00002399] Port=0 RD @13
2397.50ns INFO [00002399] Port=1 RD @1C
2398.00ns INFO [00002400] [00002400] ...tick...
2398.50ns INFO [00002400] * RD COMPARE * port=0 adr=0C act=6009A48C exp=6009A48C
2398.50ns INFO [00002400] * RD COMPARE * port=1 adr=09 act=742563CD exp=742563CD
2398.50ns INFO [00002400] Port=1 RD @15
2399.50ns INFO [00002401] * RD COMPARE * port=0 adr=13 act=1F038C91 exp=1F038C91
2399.50ns INFO [00002401] * RD COMPARE * port=1 adr=1C act=095FAFC5 exp=095FAFC5
2399.50ns INFO [00002401] Port=0 WR @0F=4643AC43
2400.50ns INFO [00002402] * RD COMPARE * port=1 adr=15 act=A6A8D2F1 exp=A6A8D2F1
2400.50ns INFO [00002402] Port=0 WR @1C=BE63950B
2400.50ns INFO [00002402] Port=0 RD @05
2401.50ns INFO [00002403] Port=0 WR @17=9A8686E5
2401.50ns INFO [00002403] Port=1 RD @15
2402.50ns INFO [00002404] * RD COMPARE * port=0 adr=05 act=76214AFF exp=76214AFF
2402.50ns INFO [00002404] Port=0 WR @07=2D8EBF54
2402.50ns INFO [00002404] Port=0 RD @18
2403.50ns INFO [00002405] * RD COMPARE * port=1 adr=15 act=A6A8D2F1 exp=A6A8D2F1
2404.50ns INFO [00002406] * RD COMPARE * port=0 adr=18 act=08783F87 exp=08783F87
2404.50ns INFO [00002406] Port=0 WR @1B=6917EC54
2405.50ns INFO [00002407] Port=0 WR @15=4748847D
2407.50ns INFO [00002409] Port=0 WR @09=2935502D
2407.50ns INFO [00002409] Port=1 RD @01
2409.50ns INFO [00002411] * RD COMPARE * port=1 adr=01 act=4E4F18C1 exp=4E4F18C1
2410.50ns INFO [00002412] Port=0 WR @0A=36CB2956
2412.50ns INFO [00002414] Port=0 RD @08
2413.50ns INFO [00002415] Port=1 RD @08
2414.50ns INFO [00002416] * RD COMPARE * port=0 adr=08 act=26346948 exp=26346948
2414.50ns INFO [00002416] Port=0 WR @04=7039B990
2415.50ns INFO [00002417] * RD COMPARE * port=1 adr=08 act=26346948 exp=26346948
2416.50ns INFO [00002418] Port=0 WR @1B=DD4510FD
2417.50ns INFO [00002419] Port=0 WR @0F=EA26998B
2417.50ns INFO [00002419] Port=0 RD @19
2418.50ns INFO [00002420] Port=1 RD @0A
2419.50ns INFO [00002421] * RD COMPARE * port=0 adr=19 act=382A2946 exp=382A2946
2419.50ns INFO [00002421] Port=0 WR @05=7BF8C13A
2419.50ns INFO [00002421] Port=0 RD @12
2420.50ns INFO [00002422] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956
2420.50ns INFO [00002422] Port=0 WR @1E=79E4F8F2
2421.50ns INFO [00002423] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF
2421.50ns INFO [00002423] Port=0 RD @07
2422.50ns INFO [00002424] Port=0 RD @04
2423.50ns INFO [00002425] * RD COMPARE * port=0 adr=07 act=2D8EBF54 exp=2D8EBF54
2424.50ns INFO [00002426] * RD COMPARE * port=0 adr=04 act=7039B990 exp=7039B990
2425.50ns INFO [00002427] Port=0 WR @08=AACB1ECE
2426.50ns INFO [00002428] Port=0 RD @09
2428.50ns INFO [00002430] * RD COMPARE * port=0 adr=09 act=2935502D exp=2935502D
2428.50ns INFO [00002430] Port=0 WR @0B=88573EA8
2430.50ns INFO [00002432] Port=0 WR @00=B6B77EF8
2431.50ns INFO [00002433] Port=0 RD @09
2432.50ns INFO [00002434] Port=0 WR @08=E207B077
2432.50ns INFO [00002434] Port=0 RD @12
2433.50ns INFO [00002435] * RD COMPARE * port=0 adr=09 act=2935502D exp=2935502D
2434.50ns INFO [00002436] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF
2435.50ns INFO [00002437] Port=0 WR @18=5A9F7C60
2435.50ns INFO [00002437] Port=1 RD @13
2436.50ns INFO [00002438] Port=0 WR @1C=18358808
2436.50ns INFO [00002438] Port=0 RD @1B
2436.50ns INFO [00002438] Port=1 RD @08
2437.50ns INFO [00002439] * RD COMPARE * port=1 adr=13 act=1F038C91 exp=1F038C91
2438.50ns INFO [00002440] * RD COMPARE * port=0 adr=1B act=DD4510FD exp=DD4510FD
2438.50ns INFO [00002440] * RD COMPARE * port=1 adr=08 act=E207B077 exp=E207B077
2439.50ns INFO [00002441] Port=1 RD @0E
2441.50ns INFO [00002443] * RD COMPARE * port=1 adr=0E act=754634D2 exp=754634D2
2441.50ns INFO [00002443] Port=0 WR @09=BF75B56B
2443.50ns INFO [00002445] Port=0 WR @14=851EE730
2443.50ns INFO [00002445] Port=1 RD @07
2444.50ns INFO [00002446] Port=0 RD @19
2445.50ns INFO [00002447] * RD COMPARE * port=1 adr=07 act=2D8EBF54 exp=2D8EBF54
2446.50ns INFO [00002448] * RD COMPARE * port=0 adr=19 act=382A2946 exp=382A2946
2446.50ns INFO [00002448] Port=0 WR @17=425002A4
2447.50ns INFO [00002449] Port=0 WR @13=624B7821
2447.50ns INFO [00002449] Port=0 RD @01
2449.50ns INFO [00002451] * RD COMPARE * port=0 adr=01 act=4E4F18C1 exp=4E4F18C1
2449.50ns INFO [00002451] Port=0 WR @07=129A7D2B
2449.50ns INFO [00002451] Port=0 RD @0C
2451.50ns INFO [00002453] * RD COMPARE * port=0 adr=0C act=6009A48C exp=6009A48C
2451.50ns INFO [00002453] Port=1 RD @19
2452.50ns INFO [00002454] Port=0 WR @01=66E02175
2452.50ns INFO [00002454] Port=0 RD @14
2453.50ns INFO [00002455] * RD COMPARE * port=1 adr=19 act=382A2946 exp=382A2946
2453.50ns INFO [00002455] Port=1 RD @02
2454.50ns INFO [00002456] * RD COMPARE * port=0 adr=14 act=851EE730 exp=851EE730
2454.50ns INFO [00002456] Port=1 RD @1D
2455.50ns INFO [00002457] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4
2455.50ns INFO [00002457] Port=0 RD @12
2456.50ns INFO [00002458] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49
2456.50ns INFO [00002458] Port=0 RD @1A
2456.50ns INFO [00002458] Port=1 RD @06
2457.50ns INFO [00002459] * RD COMPARE * port=0 adr=12 act=A5E2F5CF exp=A5E2F5CF
2457.50ns INFO [00002459] Port=0 WR @06=AB231D4D
2458.50ns INFO [00002460] * RD COMPARE * port=0 adr=1A act=94D4A573 exp=94D4A573
2458.50ns INFO [00002460] * RD COMPARE * port=1 adr=06 act=E86BE011 exp=E86BE011
2458.50ns INFO [00002460] Port=0 WR @10=B669B1C4
2458.50ns INFO [00002460] Port=1 RD @16
2460.50ns INFO [00002462] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045
2460.50ns INFO [00002462] Port=0 WR @0C=1AA48E77
2460.50ns INFO [00002462] Port=1 RD @08
2461.50ns INFO [00002463] Port=0 WR @11=27179630
2462.50ns INFO [00002464] * RD COMPARE * port=1 adr=08 act=E207B077 exp=E207B077
2462.50ns INFO [00002464] Port=0 WR @13=C4296E2E
2464.50ns INFO [00002466] Port=0 WR @19=371613ED
2464.50ns INFO [00002466] Port=1 RD @00
2465.50ns INFO [00002467] Port=1 RD @11
2466.50ns INFO [00002468] * RD COMPARE * port=1 adr=00 act=B6B77EF8 exp=B6B77EF8
2466.50ns INFO [00002468] Port=0 WR @1B=4CAB61D9
2466.50ns INFO [00002468] Port=1 RD @06
2467.50ns INFO [00002469] * RD COMPARE * port=1 adr=11 act=27179630 exp=27179630
2468.50ns INFO [00002470] * RD COMPARE * port=1 adr=06 act=AB231D4D exp=AB231D4D
2468.50ns INFO [00002470] Port=0 RD @03
2469.50ns INFO [00002471] Port=0 RD @1C
2469.50ns INFO [00002471] Port=1 RD @19
2470.50ns INFO [00002472] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2470.50ns INFO [00002472] Port=0 RD @19
2470.50ns INFO [00002472] Port=1 RD @1A
2471.50ns INFO [00002473] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808
2471.50ns INFO [00002473] * RD COMPARE * port=1 adr=19 act=371613ED exp=371613ED
2471.50ns INFO [00002473] Port=0 WR @1A=1F0C81A2
2471.50ns INFO [00002473] Port=0 RD @0D
2472.50ns INFO [00002474] * RD COMPARE * port=0 adr=19 act=371613ED exp=371613ED
2472.50ns INFO [00002474] * RD COMPARE * port=1 adr=1A act=94D4A573 exp=94D4A573
2472.50ns INFO [00002474] Port=0 WR @1E=D4C12698
2473.50ns INFO [00002475] * RD COMPARE * port=0 adr=0D act=D0FBD575 exp=D0FBD575
2473.50ns INFO [00002475] Port=0 WR @1E=013818FB
2475.50ns INFO [00002477] Port=0 WR @0E=5B62E07A
2475.50ns INFO [00002477] Port=0 RD @03
2475.50ns INFO [00002477] Port=1 RD @0B
2476.50ns INFO [00002478] Port=0 RD @19
2477.50ns INFO [00002479] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2477.50ns INFO [00002479] * RD COMPARE * port=1 adr=0B act=88573EA8 exp=88573EA8
2477.50ns INFO [00002479] Port=0 WR @08=2418174B
2477.50ns INFO [00002479] Port=0 RD @1C
2477.50ns INFO [00002479] Port=1 RD @01
2478.50ns INFO [00002480] * RD COMPARE * port=0 adr=19 act=371613ED exp=371613ED
2478.50ns INFO [00002480] Port=0 RD @0F
2479.50ns INFO [00002481] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808
2479.50ns INFO [00002481] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175
2479.50ns INFO [00002481] Port=0 WR @07=0F2A6AEE
2480.50ns INFO [00002482] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B
2480.50ns INFO [00002482] Port=0 RD @0E
2480.50ns INFO [00002482] Port=1 RD @05
2481.50ns INFO [00002483] Port=0 WR @17=248C9B66
2481.50ns INFO [00002483] Port=0 RD @0E
2482.50ns INFO [00002484] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2482.50ns INFO [00002484] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A
2482.50ns INFO [00002484] Port=0 RD @0A
2483.50ns INFO [00002485] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2483.50ns INFO [00002485] Port=0 WR @12=76CE20AE
2483.50ns INFO [00002485] Port=1 RD @08
2484.50ns INFO [00002486] * RD COMPARE * port=0 adr=0A act=36CB2956 exp=36CB2956
2484.50ns INFO [00002486] Port=0 RD @03
2484.50ns INFO [00002486] Port=1 RD @17
2485.50ns INFO [00002487] * RD COMPARE * port=1 adr=08 act=2418174B exp=2418174B
2485.50ns INFO [00002487] Port=0 WR @1B=A6FCFD04
2486.50ns INFO [00002488] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2486.50ns INFO [00002488] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66
2486.50ns INFO [00002488] Port=0 RD @17
2487.50ns INFO [00002489] Port=1 RD @00
2488.50ns INFO [00002490] * RD COMPARE * port=0 adr=17 act=248C9B66 exp=248C9B66
2488.50ns INFO [00002490] Port=0 RD @1E
2488.50ns INFO [00002490] Port=1 RD @09
2489.50ns INFO [00002491] * RD COMPARE * port=1 adr=00 act=B6B77EF8 exp=B6B77EF8
2489.50ns INFO [00002491] Port=0 RD @1B
2490.50ns INFO [00002492] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB
2490.50ns INFO [00002492] * RD COMPARE * port=1 adr=09 act=BF75B56B exp=BF75B56B
2490.50ns INFO [00002492] Port=1 RD @1D
2491.50ns INFO [00002493] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04
2491.50ns INFO [00002493] Port=0 RD @00
2492.50ns INFO [00002494] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49
2492.50ns INFO [00002494] Port=0 RD @16
2493.50ns INFO [00002495] * RD COMPARE * port=0 adr=00 act=B6B77EF8 exp=B6B77EF8
2493.50ns INFO [00002495] Port=0 WR @06=16C3702A
2494.50ns INFO [00002496] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045
2494.50ns INFO [00002496] Port=0 WR @19=4A40AC5C
2494.50ns INFO [00002496] Port=1 RD @0A
2495.50ns INFO [00002497] Port=1 RD @0D
2496.50ns INFO [00002498] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956
2496.50ns INFO [00002498] Port=0 WR @0C=17EB0B41
2496.50ns INFO [00002498] Port=1 RD @0A
2497.50ns INFO [00002499] * RD COMPARE * port=1 adr=0D act=D0FBD575 exp=D0FBD575
2497.50ns INFO [00002499] Port=0 WR @15=5AF3FC25
2498.00ns INFO [00002500] [00002500] ...tick...
2498.50ns INFO [00002500] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956
2499.50ns INFO [00002501] Port=1 RD @08
2500.50ns INFO [00002502] Port=0 WR @1F=0F075355
2500.50ns INFO [00002502] Port=0 RD @12
2501.50ns INFO [00002503] * RD COMPARE * port=1 adr=08 act=2418174B exp=2418174B
2501.50ns INFO [00002503] Port=0 RD @0E
2501.50ns INFO [00002503] Port=1 RD @1C
2502.50ns INFO [00002504] * RD COMPARE * port=0 adr=12 act=76CE20AE exp=76CE20AE
2502.50ns INFO [00002504] Port=0 WR @12=20989FC1
2502.50ns INFO [00002504] Port=0 RD @01
2503.50ns INFO [00002505] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2503.50ns INFO [00002505] * RD COMPARE * port=1 adr=1C act=18358808 exp=18358808
2503.50ns INFO [00002505] Port=0 WR @07=355E20A2
2503.50ns INFO [00002505] Port=0 RD @09
2504.50ns INFO [00002506] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2504.50ns INFO [00002506] Port=0 WR @10=A2F58E43
2505.50ns INFO [00002507] * RD COMPARE * port=0 adr=09 act=BF75B56B exp=BF75B56B
2506.50ns INFO [00002508] Port=0 WR @18=C41EE2F8
2507.50ns INFO [00002509] Port=0 WR @10=5C2EEF7C
2508.50ns INFO [00002510] Port=1 RD @06
2510.50ns INFO [00002512] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A
2510.50ns INFO [00002512] Port=0 WR @08=E11C539A
2511.50ns INFO [00002513] Port=0 RD @1C
2513.50ns INFO [00002515] * RD COMPARE * port=0 adr=1C act=18358808 exp=18358808
2514.50ns INFO [00002516] Port=1 RD @13
2515.50ns INFO [00002517] Port=0 RD @03
2516.50ns INFO [00002518] * RD COMPARE * port=1 adr=13 act=C4296E2E exp=C4296E2E
2516.50ns INFO [00002518] Port=0 WR @0D=924A0970
2517.50ns INFO [00002519] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2517.50ns INFO [00002519] Port=0 RD @1B
2518.50ns INFO [00002520] Port=0 RD @10
2518.50ns INFO [00002520] Port=1 RD @05
2519.50ns INFO [00002521] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04
2520.50ns INFO [00002522] * RD COMPARE * port=0 adr=10 act=5C2EEF7C exp=5C2EEF7C
2520.50ns INFO [00002522] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A
2520.50ns INFO [00002522] Port=0 RD @07
2522.50ns INFO [00002524] * RD COMPARE * port=0 adr=07 act=355E20A2 exp=355E20A2
2522.50ns INFO [00002524] Port=0 WR @1C=DC98A5D9
2522.50ns INFO [00002524] Port=1 RD @0E
2523.50ns INFO [00002525] Port=1 RD @12
2524.50ns INFO [00002526] * RD COMPARE * port=1 adr=0E act=5B62E07A exp=5B62E07A
2524.50ns INFO [00002526] Port=0 RD @1E
2525.50ns INFO [00002527] * RD COMPARE * port=1 adr=12 act=20989FC1 exp=20989FC1
2525.50ns INFO [00002527] Port=0 RD @1B
2525.50ns INFO [00002527] Port=1 RD @1B
2526.50ns INFO [00002528] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB
2526.50ns INFO [00002528] Port=0 WR @1B=D91935E3
2526.50ns INFO [00002528] Port=0 RD @09
2527.50ns INFO [00002529] * RD COMPARE * port=0 adr=1B act=A6FCFD04 exp=A6FCFD04
2527.50ns INFO [00002529] * RD COMPARE * port=1 adr=1B act=A6FCFD04 exp=A6FCFD04
2528.50ns INFO [00002530] * RD COMPARE * port=0 adr=09 act=BF75B56B exp=BF75B56B
2529.50ns INFO [00002531] Port=0 WR @10=6F962326
2530.50ns INFO [00002532] Port=1 RD @1A
2531.50ns INFO [00002533] Port=0 RD @0E
2532.50ns INFO [00002534] * RD COMPARE * port=1 adr=1A act=1F0C81A2 exp=1F0C81A2
2532.50ns INFO [00002534] Port=1 RD @17
2533.50ns INFO [00002535] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2533.50ns INFO [00002535] Port=0 RD @01
2533.50ns INFO [00002535] Port=1 RD @0E
2534.50ns INFO [00002536] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66
2534.50ns INFO [00002536] Port=0 WR @15=E93C933F
2535.50ns INFO [00002537] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2535.50ns INFO [00002537] * RD COMPARE * port=1 adr=0E act=5B62E07A exp=5B62E07A
2535.50ns INFO [00002537] Port=0 WR @07=1ED609F7
2535.50ns INFO [00002537] Port=0 RD @01
2536.50ns INFO [00002538] Port=0 RD @00
2537.50ns INFO [00002539] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2538.50ns INFO [00002540] * RD COMPARE * port=0 adr=00 act=B6B77EF8 exp=B6B77EF8
2538.50ns INFO [00002540] Port=1 RD @18
2539.50ns INFO [00002541] Port=0 WR @1B=A0B2652E
2540.50ns INFO [00002542] * RD COMPARE * port=1 adr=18 act=C41EE2F8 exp=C41EE2F8
2540.50ns INFO [00002542] Port=0 WR @09=069C1244
2540.50ns INFO [00002542] Port=1 RD @06
2541.50ns INFO [00002543] Port=0 WR @14=F262F8D2
2541.50ns INFO [00002543] Port=1 RD @05
2542.50ns INFO [00002544] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A
2543.50ns INFO [00002545] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A
2544.50ns INFO [00002546] Port=1 RD @1B
2545.50ns INFO [00002547] Port=1 RD @06
2546.50ns INFO [00002548] * RD COMPARE * port=1 adr=1B act=A0B2652E exp=A0B2652E
2547.50ns INFO [00002549] * RD COMPARE * port=1 adr=06 act=16C3702A exp=16C3702A
2547.50ns INFO [00002549] Port=1 RD @0B
2548.50ns INFO [00002550] Port=0 WR @1A=B0EE511D
2548.50ns INFO [00002550] Port=1 RD @0A
2549.50ns INFO [00002551] * RD COMPARE * port=1 adr=0B act=88573EA8 exp=88573EA8
2549.50ns INFO [00002551] Port=1 RD @1F
2550.50ns INFO [00002552] * RD COMPARE * port=1 adr=0A act=36CB2956 exp=36CB2956
2550.50ns INFO [00002552] Port=1 RD @19
2551.50ns INFO [00002553] * RD COMPARE * port=1 adr=1F act=0F075355 exp=0F075355
2551.50ns INFO [00002553] Port=0 WR @08=9EA1BB6F
2551.50ns INFO [00002553] Port=0 RD @1D
2551.50ns INFO [00002553] Port=1 RD @10
2552.50ns INFO [00002554] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C
2553.50ns INFO [00002555] * RD COMPARE * port=0 adr=1D act=B52A9F49 exp=B52A9F49
2553.50ns INFO [00002555] * RD COMPARE * port=1 adr=10 act=6F962326 exp=6F962326
2553.50ns INFO [00002555] Port=1 RD @15
2554.50ns INFO [00002556] Port=0 RD @15
2554.50ns INFO [00002556] Port=1 RD @0D
2555.50ns INFO [00002557] * RD COMPARE * port=1 adr=15 act=E93C933F exp=E93C933F
2555.50ns INFO [00002557] Port=0 RD @12
2555.50ns INFO [00002557] Port=1 RD @0D
2556.50ns INFO [00002558] * RD COMPARE * port=0 adr=15 act=E93C933F exp=E93C933F
2556.50ns INFO [00002558] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970
2556.50ns INFO [00002558] Port=0 RD @07
2557.50ns INFO [00002559] * RD COMPARE * port=0 adr=12 act=20989FC1 exp=20989FC1
2557.50ns INFO [00002559] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970
2557.50ns INFO [00002559] Port=0 WR @0B=0AF0750D
2557.50ns INFO [00002559] Port=1 RD @1A
2558.50ns INFO [00002560] * RD COMPARE * port=0 adr=07 act=1ED609F7 exp=1ED609F7
2558.50ns INFO [00002560] Port=0 WR @04=77FB3E36
2559.50ns INFO [00002561] * RD COMPARE * port=1 adr=1A act=B0EE511D exp=B0EE511D
2559.50ns INFO [00002561] Port=0 WR @04=0E1816EE
2560.50ns INFO [00002562] Port=0 WR @10=90B354FF
2560.50ns INFO [00002562] Port=1 RD @0B
2561.50ns INFO [00002563] Port=0 RD @07
2561.50ns INFO [00002563] Port=1 RD @02
2562.50ns INFO [00002564] * RD COMPARE * port=1 adr=0B act=0AF0750D exp=0AF0750D
2563.50ns INFO [00002565] * RD COMPARE * port=0 adr=07 act=1ED609F7 exp=1ED609F7
2563.50ns INFO [00002565] * RD COMPARE * port=1 adr=02 act=EA893DD4 exp=EA893DD4
2564.50ns INFO [00002566] Port=0 WR @11=46FD094E
2565.50ns INFO [00002567] Port=0 WR @18=A9AD2BC0
2566.50ns INFO [00002568] Port=1 RD @1D
2567.50ns INFO [00002569] Port=0 WR @1F=40DB3E28
2567.50ns INFO [00002569] Port=0 RD @1C
2567.50ns INFO [00002569] Port=1 RD @1C
2568.50ns INFO [00002570] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49
2568.50ns INFO [00002570] Port=0 WR @0A=2FACE98B
2568.50ns INFO [00002570] Port=0 RD @0E
2569.50ns INFO [00002571] * RD COMPARE * port=0 adr=1C act=DC98A5D9 exp=DC98A5D9
2569.50ns INFO [00002571] * RD COMPARE * port=1 adr=1C act=DC98A5D9 exp=DC98A5D9
2569.50ns INFO [00002571] Port=0 RD @0D
2570.50ns INFO [00002572] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2570.50ns INFO [00002572] Port=1 RD @04
2571.50ns INFO [00002573] * RD COMPARE * port=0 adr=0D act=924A0970 exp=924A0970
2572.50ns INFO [00002574] * RD COMPARE * port=1 adr=04 act=0E1816EE exp=0E1816EE
2572.50ns INFO [00002574] Port=0 WR @0B=C1F543FB
2573.50ns INFO [00002575] Port=0 RD @01
2574.50ns INFO [00002576] Port=0 RD @06
2574.50ns INFO [00002576] Port=1 RD @14
2575.50ns INFO [00002577] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2575.50ns INFO [00002577] Port=0 WR @14=E348D997
2575.50ns INFO [00002577] Port=1 RD @03
2576.50ns INFO [00002578] * RD COMPARE * port=0 adr=06 act=16C3702A exp=16C3702A
2576.50ns INFO [00002578] * RD COMPARE * port=1 adr=14 act=F262F8D2 exp=F262F8D2
2577.50ns INFO [00002579] * RD COMPARE * port=1 adr=03 act=E6ABACB4 exp=E6ABACB4
2577.50ns INFO [00002579] Port=0 WR @10=340170A2
2578.50ns INFO [00002580] Port=0 WR @1B=4EE53D77
2578.50ns INFO [00002580] Port=1 RD @16
2579.50ns INFO [00002581] Port=0 RD @10
2580.50ns INFO [00002582] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045
2581.50ns INFO [00002583] * RD COMPARE * port=0 adr=10 act=340170A2 exp=340170A2
2581.50ns INFO [00002583] Port=0 RD @10
2583.50ns INFO [00002585] * RD COMPARE * port=0 adr=10 act=340170A2 exp=340170A2
2583.50ns INFO [00002585] Port=0 WR @13=2A0FDEA1
2583.50ns INFO [00002585] Port=0 RD @09
2584.50ns INFO [00002586] Port=1 RD @19
2585.50ns INFO [00002587] * RD COMPARE * port=0 adr=09 act=069C1244 exp=069C1244
2585.50ns INFO [00002587] Port=1 RD @10
2586.50ns INFO [00002588] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C
2586.50ns INFO [00002588] Port=0 RD @0E
2587.50ns INFO [00002589] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2
2587.50ns INFO [00002589] Port=0 WR @09=D7E50C5C
2587.50ns INFO [00002589] Port=0 RD @01
2588.50ns INFO [00002590] * RD COMPARE * port=0 adr=0E act=5B62E07A exp=5B62E07A
2589.50ns INFO [00002591] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2589.50ns INFO [00002591] Port=0 RD @14
2590.50ns INFO [00002592] Port=0 WR @18=EEFBC6DE
2590.50ns INFO [00002592] Port=0 RD @04
2591.50ns INFO [00002593] * RD COMPARE * port=0 adr=14 act=E348D997 exp=E348D997
2592.50ns INFO [00002594] * RD COMPARE * port=0 adr=04 act=0E1816EE exp=0E1816EE
2593.50ns INFO [00002595] Port=0 WR @06=64A3F954
2593.50ns INFO [00002595] Port=0 RD @03
2593.50ns INFO [00002595] Port=1 RD @03
2594.50ns INFO [00002596] Port=0 WR @07=D93DD1C1
2594.50ns INFO [00002596] Port=0 RD @0A
2594.50ns INFO [00002596] Port=1 RD @19
2595.50ns INFO [00002597] * RD COMPARE * port=0 adr=03 act=E6ABACB4 exp=E6ABACB4
2595.50ns INFO [00002597] * RD COMPARE * port=1 adr=03 act=E6ABACB4 exp=E6ABACB4
2595.50ns INFO [00002597] Port=1 RD @09
2596.50ns INFO [00002598] * RD COMPARE * port=0 adr=0A act=2FACE98B exp=2FACE98B
2596.50ns INFO [00002598] * RD COMPARE * port=1 adr=19 act=4A40AC5C exp=4A40AC5C
2596.50ns INFO [00002598] Port=0 WR @12=D986A488
2597.50ns INFO [00002599] * RD COMPARE * port=1 adr=09 act=D7E50C5C exp=D7E50C5C
2597.50ns INFO [00002599] Port=0 RD @1C
2597.50ns INFO [00002599] Port=1 RD @0D
2598.00ns INFO [00002600] [00002600] ...tick...
2598.50ns INFO [00002600] Port=0 RD @1B
2599.50ns INFO [00002601] * RD COMPARE * port=0 adr=1C act=DC98A5D9 exp=DC98A5D9
2599.50ns INFO [00002601] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970
2599.50ns INFO [00002601] Port=0 RD @1E
2600.50ns INFO [00002602] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77
2600.50ns INFO [00002602] Port=0 WR @09=90E8F991
2601.50ns INFO [00002603] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB
2601.50ns INFO [00002603] Port=0 WR @06=A62FDA11
2602.50ns INFO [00002604] Port=0 RD @16
2604.50ns INFO [00002606] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045
2604.50ns INFO [00002606] Port=0 WR @1C=FDCED2CA
2604.50ns INFO [00002606] Port=1 RD @1D
2605.50ns INFO [00002607] Port=0 WR @00=5D4D50C8
2605.50ns INFO [00002607] Port=1 RD @1A
2606.50ns INFO [00002608] * RD COMPARE * port=1 adr=1D act=B52A9F49 exp=B52A9F49
2606.50ns INFO [00002608] Port=0 RD @1C
2607.50ns INFO [00002609] * RD COMPARE * port=1 adr=1A act=B0EE511D exp=B0EE511D
2607.50ns INFO [00002609] Port=0 WR @19=07687E7B
2607.50ns INFO [00002609] Port=0 RD @1E
2608.50ns INFO [00002610] * RD COMPARE * port=0 adr=1C act=FDCED2CA exp=FDCED2CA
2608.50ns INFO [00002610] Port=0 RD @0B
2608.50ns INFO [00002610] Port=1 RD @14
2609.50ns INFO [00002611] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB
2609.50ns INFO [00002611] Port=1 RD @09
2610.50ns INFO [00002612] * RD COMPARE * port=0 adr=0B act=C1F543FB exp=C1F543FB
2610.50ns INFO [00002612] * RD COMPARE * port=1 adr=14 act=E348D997 exp=E348D997
2610.50ns INFO [00002612] Port=0 WR @02=D1F065AE
2611.50ns INFO [00002613] * RD COMPARE * port=1 adr=09 act=90E8F991 exp=90E8F991
2611.50ns INFO [00002613] Port=0 WR @04=A20ED0AC
2611.50ns INFO [00002613] Port=0 RD @1E
2611.50ns INFO [00002613] Port=1 RD @13
2613.50ns INFO [00002615] * RD COMPARE * port=0 adr=1E act=013818FB exp=013818FB
2613.50ns INFO [00002615] * RD COMPARE * port=1 adr=13 act=2A0FDEA1 exp=2A0FDEA1
2613.50ns INFO [00002615] Port=0 WR @0B=DA124FAF
2613.50ns INFO [00002615] Port=0 RD @07
2614.50ns INFO [00002616] Port=0 WR @00=46E1D757
2614.50ns INFO [00002616] Port=0 RD @16
2615.50ns INFO [00002617] * RD COMPARE * port=0 adr=07 act=D93DD1C1 exp=D93DD1C1
2616.50ns INFO [00002618] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045
2617.50ns INFO [00002619] Port=0 WR @1A=F649B225
2619.50ns INFO [00002621] Port=0 RD @1C
2620.50ns INFO [00002622] Port=0 RD @19
2621.50ns INFO [00002623] * RD COMPARE * port=0 adr=1C act=FDCED2CA exp=FDCED2CA
2621.50ns INFO [00002623] Port=0 WR @03=0318AEA3
2621.50ns INFO [00002623] Port=1 RD @05
2622.50ns INFO [00002624] * RD COMPARE * port=0 adr=19 act=07687E7B exp=07687E7B
2622.50ns INFO [00002624] Port=0 WR @1E=D9DF3ECC
2623.50ns INFO [00002625] * RD COMPARE * port=1 adr=05 act=7BF8C13A exp=7BF8C13A
2623.50ns INFO [00002625] Port=0 WR @1D=610DE33D
2624.50ns INFO [00002626] Port=0 RD @14
2624.50ns INFO [00002626] Port=1 RD @0B
2625.50ns INFO [00002627] Port=1 RD @1C
2626.50ns INFO [00002628] * RD COMPARE * port=0 adr=14 act=E348D997 exp=E348D997
2626.50ns INFO [00002628] * RD COMPARE * port=1 adr=0B act=DA124FAF exp=DA124FAF
2626.50ns INFO [00002628] Port=0 WR @18=8237F994
2627.50ns INFO [00002629] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA
2628.50ns INFO [00002630] Port=0 WR @09=E6E19480
2628.50ns INFO [00002630] Port=0 RD @03
2629.50ns INFO [00002631] Port=0 RD @01
2629.50ns INFO [00002631] Port=1 RD @10
2630.50ns INFO [00002632] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3
2630.50ns INFO [00002632] Port=0 RD @0F
2630.50ns INFO [00002632] Port=1 RD @03
2631.50ns INFO [00002633] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2631.50ns INFO [00002633] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2
2632.50ns INFO [00002634] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B
2632.50ns INFO [00002634] * RD COMPARE * port=1 adr=03 act=0318AEA3 exp=0318AEA3
2632.50ns INFO [00002634] Port=0 WR @0E=1B2B960E
2633.50ns INFO [00002635] Port=0 RD @01
2634.50ns INFO [00002636] Port=0 WR @1F=5CD9FD28
2634.50ns INFO [00002636] Port=1 RD @03
2635.50ns INFO [00002637] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2635.50ns INFO [00002637] Port=1 RD @1C
2636.50ns INFO [00002638] * RD COMPARE * port=1 adr=03 act=0318AEA3 exp=0318AEA3
2636.50ns INFO [00002638] Port=0 WR @07=B9490022
2636.50ns INFO [00002638] Port=1 RD @1B
2637.50ns INFO [00002639] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA
2638.50ns INFO [00002640] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77
2638.50ns INFO [00002640] Port=0 RD @19
2640.50ns INFO [00002642] * RD COMPARE * port=0 adr=19 act=07687E7B exp=07687E7B
2641.50ns INFO [00002643] Port=0 RD @13
2642.50ns INFO [00002644] Port=1 RD @14
2643.50ns INFO [00002645] * RD COMPARE * port=0 adr=13 act=2A0FDEA1 exp=2A0FDEA1
2643.50ns INFO [00002645] Port=0 WR @09=1A0DC5E9
2644.50ns INFO [00002646] * RD COMPARE * port=1 adr=14 act=E348D997 exp=E348D997
2644.50ns INFO [00002646] Port=1 RD @19
2645.50ns INFO [00002647] Port=0 RD @1E
2645.50ns INFO [00002647] Port=1 RD @1F
2646.50ns INFO [00002648] * RD COMPARE * port=1 adr=19 act=07687E7B exp=07687E7B
2646.50ns INFO [00002648] Port=1 RD @01
2647.50ns INFO [00002649] * RD COMPARE * port=0 adr=1E act=D9DF3ECC exp=D9DF3ECC
2647.50ns INFO [00002649] * RD COMPARE * port=1 adr=1F act=5CD9FD28 exp=5CD9FD28
2647.50ns INFO [00002649] Port=0 WR @05=8B81DA7C
2647.50ns INFO [00002649] Port=1 RD @19
2648.50ns INFO [00002650] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175
2649.50ns INFO [00002651] * RD COMPARE * port=1 adr=19 act=07687E7B exp=07687E7B
2651.50ns INFO [00002653] Port=0 RD @0C
2651.50ns INFO [00002653] Port=1 RD @11
2652.50ns INFO [00002654] Port=0 WR @13=943F1854
2653.50ns INFO [00002655] * RD COMPARE * port=0 adr=0C act=17EB0B41 exp=17EB0B41
2653.50ns INFO [00002655] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E
2653.50ns INFO [00002655] Port=1 RD @0C
2654.50ns INFO [00002656] Port=0 RD @09
2654.50ns INFO [00002656] Port=1 RD @05
2655.50ns INFO [00002657] * RD COMPARE * port=1 adr=0C act=17EB0B41 exp=17EB0B41
2655.50ns INFO [00002657] Port=0 RD @04
2656.50ns INFO [00002658] * RD COMPARE * port=0 adr=09 act=1A0DC5E9 exp=1A0DC5E9
2656.50ns INFO [00002658] * RD COMPARE * port=1 adr=05 act=8B81DA7C exp=8B81DA7C
2656.50ns INFO [00002658] Port=1 RD @0D
2657.50ns INFO [00002659] * RD COMPARE * port=0 adr=04 act=A20ED0AC exp=A20ED0AC
2657.50ns INFO [00002659] Port=0 RD @1B
2658.50ns INFO [00002660] * RD COMPARE * port=1 adr=0D act=924A0970 exp=924A0970
2658.50ns INFO [00002660] Port=0 WR @0B=EEE65085
2658.50ns INFO [00002660] Port=1 RD @08
2659.50ns INFO [00002661] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77
2659.50ns INFO [00002661] Port=0 WR @13=EDB52F1B
2660.50ns INFO [00002662] * RD COMPARE * port=1 adr=08 act=9EA1BB6F exp=9EA1BB6F
2660.50ns INFO [00002662] Port=0 RD @07
2661.50ns INFO [00002663] Port=0 WR @14=6DC5317B
2662.50ns INFO [00002664] * RD COMPARE * port=0 adr=07 act=B9490022 exp=B9490022
2662.50ns INFO [00002664] Port=0 WR @0B=C8BD5191
2663.50ns INFO [00002665] Port=0 WR @09=02AE3B2F
2664.50ns INFO [00002666] Port=1 RD @1A
2665.50ns INFO [00002667] Port=0 RD @03
2665.50ns INFO [00002667] Port=1 RD @17
2666.50ns INFO [00002668] * RD COMPARE * port=1 adr=1A act=F649B225 exp=F649B225
2666.50ns INFO [00002668] Port=0 WR @05=B3DC3EAB
2666.50ns INFO [00002668] Port=0 RD @08
2667.50ns INFO [00002669] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3
2667.50ns INFO [00002669] * RD COMPARE * port=1 adr=17 act=248C9B66 exp=248C9B66
2667.50ns INFO [00002669] Port=1 RD @1B
2668.50ns INFO [00002670] * RD COMPARE * port=0 adr=08 act=9EA1BB6F exp=9EA1BB6F
2668.50ns INFO [00002670] Port=0 RD @1B
2669.50ns INFO [00002671] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77
2669.50ns INFO [00002671] Port=0 RD @0F
2669.50ns INFO [00002671] Port=1 RD @07
2670.50ns INFO [00002672] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77
2671.50ns INFO [00002673] * RD COMPARE * port=0 adr=0F act=EA26998B exp=EA26998B
2671.50ns INFO [00002673] * RD COMPARE * port=1 adr=07 act=B9490022 exp=B9490022
2671.50ns INFO [00002673] Port=0 WR @18=DF700E16
2671.50ns INFO [00002673] Port=1 RD @04
2672.50ns INFO [00002674] Port=0 RD @14
2673.50ns INFO [00002675] * RD COMPARE * port=1 adr=04 act=A20ED0AC exp=A20ED0AC
2673.50ns INFO [00002675] Port=0 RD @0A
2673.50ns INFO [00002675] Port=1 RD @15
2674.50ns INFO [00002676] * RD COMPARE * port=0 adr=14 act=6DC5317B exp=6DC5317B
2674.50ns INFO [00002676] Port=0 WR @17=EE41F74A
2674.50ns INFO [00002676] Port=1 RD @04
2675.50ns INFO [00002677] * RD COMPARE * port=0 adr=0A act=2FACE98B exp=2FACE98B
2675.50ns INFO [00002677] * RD COMPARE * port=1 adr=15 act=E93C933F exp=E93C933F
2676.50ns INFO [00002678] * RD COMPARE * port=1 adr=04 act=A20ED0AC exp=A20ED0AC
2676.50ns INFO [00002678] Port=0 WR @0A=F9B3C0C5
2677.50ns INFO [00002679] Port=0 RD @11
2678.50ns INFO [00002680] Port=1 RD @01
2679.50ns INFO [00002681] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E
2679.50ns INFO [00002681] Port=0 WR @0F=389BA3CB
2680.50ns INFO [00002682] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175
2680.50ns INFO [00002682] Port=0 RD @0A
2681.50ns INFO [00002683] Port=0 WR @09=01B436B4
2682.50ns INFO [00002684] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2682.50ns INFO [00002684] Port=1 RD @14
2683.50ns INFO [00002685] Port=1 RD @10
2684.50ns INFO [00002686] * RD COMPARE * port=1 adr=14 act=6DC5317B exp=6DC5317B
2684.50ns INFO [00002686] Port=0 WR @10=01DF7D71
2685.50ns INFO [00002687] * RD COMPARE * port=1 adr=10 act=340170A2 exp=340170A2
2686.50ns INFO [00002688] Port=0 WR @0D=3089840C
2686.50ns INFO [00002688] Port=0 RD @17
2687.50ns INFO [00002689] Port=0 RD @06
2688.50ns INFO [00002690] * RD COMPARE * port=0 adr=17 act=EE41F74A exp=EE41F74A
2688.50ns INFO [00002690] Port=0 RD @0E
2689.50ns INFO [00002691] * RD COMPARE * port=0 adr=06 act=A62FDA11 exp=A62FDA11
2689.50ns INFO [00002691] Port=0 RD @00
2689.50ns INFO [00002691] Port=1 RD @0A
2690.50ns INFO [00002692] * RD COMPARE * port=0 adr=0E act=1B2B960E exp=1B2B960E
2690.50ns INFO [00002692] Port=0 WR @0B=811C756D
2690.50ns INFO [00002692] Port=1 RD @0D
2691.50ns INFO [00002693] * RD COMPARE * port=0 adr=00 act=46E1D757 exp=46E1D757
2691.50ns INFO [00002693] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2692.50ns INFO [00002694] * RD COMPARE * port=1 adr=0D act=3089840C exp=3089840C
2692.50ns INFO [00002694] Port=0 WR @17=D4BE0EF5
2692.50ns INFO [00002694] Port=0 RD @01
2693.50ns INFO [00002695] Port=0 WR @06=F6A2B0C3
2693.50ns INFO [00002695] Port=1 RD @0A
2694.50ns INFO [00002696] * RD COMPARE * port=0 adr=01 act=66E02175 exp=66E02175
2694.50ns INFO [00002696] Port=1 RD @12
2695.50ns INFO [00002697] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2695.50ns INFO [00002697] Port=0 WR @0F=AE87AEFF
2696.50ns INFO [00002698] * RD COMPARE * port=1 adr=12 act=D986A488 exp=D986A488
2697.50ns INFO [00002699] Port=0 WR @19=032C3D2F
2697.50ns INFO [00002699] Port=0 RD @1F
2698.00ns INFO [00002700] [00002700] ...tick...
2699.50ns INFO [00002701] * RD COMPARE * port=0 adr=1F act=5CD9FD28 exp=5CD9FD28
2699.50ns INFO [00002701] Port=0 WR @0E=83384C74
2700.50ns INFO [00002702] Port=0 WR @06=49E35025
2700.50ns INFO [00002702] Port=0 RD @17
2702.50ns INFO [00002704] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2702.50ns INFO [00002704] Port=1 RD @11
2703.50ns INFO [00002705] Port=0 RD @13
2704.50ns INFO [00002706] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E
2704.50ns INFO [00002706] Port=0 RD @03
2704.50ns INFO [00002706] Port=1 RD @1C
2705.50ns INFO [00002707] * RD COMPARE * port=0 adr=13 act=EDB52F1B exp=EDB52F1B
2705.50ns INFO [00002707] Port=0 RD @10
2706.50ns INFO [00002708] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3
2706.50ns INFO [00002708] * RD COMPARE * port=1 adr=1C act=FDCED2CA exp=FDCED2CA
2706.50ns INFO [00002708] Port=0 WR @1F=5AC9F3FC
2706.50ns INFO [00002708] Port=0 RD @19
2707.50ns INFO [00002709] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71
2708.50ns INFO [00002710] * RD COMPARE * port=0 adr=19 act=032C3D2F exp=032C3D2F
2709.50ns INFO [00002711] Port=1 RD @18
2710.50ns INFO [00002712] Port=0 WR @04=82276EBC
2710.50ns INFO [00002712] Port=0 RD @18
2711.50ns INFO [00002713] * RD COMPARE * port=1 adr=18 act=DF700E16 exp=DF700E16
2712.50ns INFO [00002714] * RD COMPARE * port=0 adr=18 act=DF700E16 exp=DF700E16
2712.50ns INFO [00002714] Port=0 WR @12=3A795BEE
2714.50ns INFO [00002716] Port=1 RD @19
2715.50ns INFO [00002717] Port=0 RD @1B
2715.50ns INFO [00002717] Port=1 RD @08
2716.50ns INFO [00002718] * RD COMPARE * port=1 adr=19 act=032C3D2F exp=032C3D2F
2716.50ns INFO [00002718] Port=0 WR @12=6D99EBE0
2717.50ns INFO [00002719] * RD COMPARE * port=0 adr=1B act=4EE53D77 exp=4EE53D77
2717.50ns INFO [00002719] * RD COMPARE * port=1 adr=08 act=9EA1BB6F exp=9EA1BB6F
2717.50ns INFO [00002719] Port=0 WR @08=C476FA9C
2717.50ns INFO [00002719] Port=0 RD @06
2718.50ns INFO [00002720] Port=1 RD @1E
2719.50ns INFO [00002721] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025
2719.50ns INFO [00002721] Port=0 RD @09
2719.50ns INFO [00002721] Port=1 RD @17
2720.50ns INFO [00002722] * RD COMPARE * port=1 adr=1E act=D9DF3ECC exp=D9DF3ECC
2721.50ns INFO [00002723] * RD COMPARE * port=0 adr=09 act=01B436B4 exp=01B436B4
2721.50ns INFO [00002723] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2721.50ns INFO [00002723] Port=0 WR @1A=6C7CB1EB
2723.50ns INFO [00002725] Port=0 WR @0D=0CCC2373
2723.50ns INFO [00002725] Port=0 RD @17
2724.50ns INFO [00002726] Port=0 WR @14=251468DE
2724.50ns INFO [00002726] Port=1 RD @05
2725.50ns INFO [00002727] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2726.50ns INFO [00002728] * RD COMPARE * port=1 adr=05 act=B3DC3EAB exp=B3DC3EAB
2726.50ns INFO [00002728] Port=1 RD @1A
2727.50ns INFO [00002729] Port=0 WR @0B=D6EB6548
2727.50ns INFO [00002729] Port=0 RD @10
2728.50ns INFO [00002730] * RD COMPARE * port=1 adr=1A act=6C7CB1EB exp=6C7CB1EB
2728.50ns INFO [00002730] Port=0 RD @1A
2728.50ns INFO [00002730] Port=1 RD @10
2729.50ns INFO [00002731] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71
2729.50ns INFO [00002731] Port=0 RD @1E
2729.50ns INFO [00002731] Port=1 RD @0A
2730.50ns INFO [00002732] * RD COMPARE * port=0 adr=1A act=6C7CB1EB exp=6C7CB1EB
2730.50ns INFO [00002732] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71
2731.50ns INFO [00002733] * RD COMPARE * port=0 adr=1E act=D9DF3ECC exp=D9DF3ECC
2731.50ns INFO [00002733] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2731.50ns INFO [00002733] Port=1 RD @0D
2733.50ns INFO [00002735] * RD COMPARE * port=1 adr=0D act=0CCC2373 exp=0CCC2373
2736.50ns INFO [00002738] Port=0 RD @11
2736.50ns INFO [00002738] Port=1 RD @08
2737.50ns INFO [00002739] Port=0 RD @07
2737.50ns INFO [00002739] Port=1 RD @11
2738.50ns INFO [00002740] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E
2738.50ns INFO [00002740] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C
2739.50ns INFO [00002741] * RD COMPARE * port=0 adr=07 act=B9490022 exp=B9490022
2739.50ns INFO [00002741] * RD COMPARE * port=1 adr=11 act=46FD094E exp=46FD094E
2740.50ns INFO [00002742] Port=0 RD @1A
2740.50ns INFO [00002742] Port=1 RD @0B
2742.50ns INFO [00002744] * RD COMPARE * port=0 adr=1A act=6C7CB1EB exp=6C7CB1EB
2742.50ns INFO [00002744] * RD COMPARE * port=1 adr=0B act=D6EB6548 exp=D6EB6548
2742.50ns INFO [00002744] Port=0 WR @18=70A400E8
2742.50ns INFO [00002744] Port=0 RD @08
2742.50ns INFO [00002744] Port=1 RD @0E
2743.50ns INFO [00002745] Port=0 WR @18=FFE81B80
2744.50ns INFO [00002746] * RD COMPARE * port=0 adr=08 act=C476FA9C exp=C476FA9C
2744.50ns INFO [00002746] * RD COMPARE * port=1 adr=0E act=83384C74 exp=83384C74
2744.50ns INFO [00002746] Port=1 RD @08
2746.50ns INFO [00002748] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C
2746.50ns INFO [00002748] Port=0 RD @16
2747.50ns INFO [00002749] Port=0 WR @0C=634D600C
2747.50ns INFO [00002749] Port=0 RD @11
2748.50ns INFO [00002750] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045
2748.50ns INFO [00002750] Port=0 RD @1F
2748.50ns INFO [00002750] Port=1 RD @01
2749.50ns INFO [00002751] * RD COMPARE * port=0 adr=11 act=46FD094E exp=46FD094E
2749.50ns INFO [00002751] Port=1 RD @01
2750.50ns INFO [00002752] * RD COMPARE * port=0 adr=1F act=5AC9F3FC exp=5AC9F3FC
2750.50ns INFO [00002752] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175
2751.50ns INFO [00002753] * RD COMPARE * port=1 adr=01 act=66E02175 exp=66E02175
2751.50ns INFO [00002753] Port=0 RD @0D
2752.50ns INFO [00002754] Port=1 RD @06
2753.50ns INFO [00002755] * RD COMPARE * port=0 adr=0D act=0CCC2373 exp=0CCC2373
2753.50ns INFO [00002755] Port=0 WR @1E=9011A690
2753.50ns INFO [00002755] Port=1 RD @02
2754.50ns INFO [00002756] * RD COMPARE * port=1 adr=06 act=49E35025 exp=49E35025
2754.50ns INFO [00002756] Port=0 RD @05
2755.50ns INFO [00002757] * RD COMPARE * port=1 adr=02 act=D1F065AE exp=D1F065AE
2755.50ns INFO [00002757] Port=0 WR @09=33355D3A
2755.50ns INFO [00002757] Port=0 RD @14
2756.50ns INFO [00002758] * RD COMPARE * port=0 adr=05 act=B3DC3EAB exp=B3DC3EAB
2756.50ns INFO [00002758] Port=0 RD @14
2757.50ns INFO [00002759] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE
2757.50ns INFO [00002759] Port=0 WR @0E=20A4DBC9
2757.50ns INFO [00002759] Port=1 RD @1A
2758.50ns INFO [00002760] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE
2758.50ns INFO [00002760] Port=1 RD @00
2759.50ns INFO [00002761] * RD COMPARE * port=1 adr=1A act=6C7CB1EB exp=6C7CB1EB
2759.50ns INFO [00002761] Port=0 RD @0F
2760.50ns INFO [00002762] * RD COMPARE * port=1 adr=00 act=46E1D757 exp=46E1D757
2761.50ns INFO [00002763] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF
2761.50ns INFO [00002763] Port=0 RD @14
2762.50ns INFO [00002764] Port=1 RD @0D
2763.50ns INFO [00002765] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE
2763.50ns INFO [00002765] Port=0 WR @05=8F4579AF
2763.50ns INFO [00002765] Port=0 RD @06
2764.50ns INFO [00002766] * RD COMPARE * port=1 adr=0D act=0CCC2373 exp=0CCC2373
2764.50ns INFO [00002766] Port=0 WR @1C=B268FD1D
2765.50ns INFO [00002767] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025
2765.50ns INFO [00002767] Port=0 WR @19=610248A1
2767.50ns INFO [00002769] Port=0 WR @18=80390CA2
2767.50ns INFO [00002769] Port=1 RD @16
2768.50ns INFO [00002770] Port=1 RD @07
2769.50ns INFO [00002771] * RD COMPARE * port=1 adr=16 act=7E544045 exp=7E544045
2770.50ns INFO [00002772] * RD COMPARE * port=1 adr=07 act=B9490022 exp=B9490022
2770.50ns INFO [00002772] Port=0 RD @1F
2771.50ns INFO [00002773] Port=0 WR @01=DCFE560F
2771.50ns INFO [00002773] Port=0 RD @1C
2772.50ns INFO [00002774] * RD COMPARE * port=0 adr=1F act=5AC9F3FC exp=5AC9F3FC
2772.50ns INFO [00002774] Port=0 WR @07=199C01B3
2773.50ns INFO [00002775] * RD COMPARE * port=0 adr=1C act=B268FD1D exp=B268FD1D
2773.50ns INFO [00002775] Port=0 WR @11=261040A1
2774.50ns INFO [00002776] Port=0 WR @05=E2ED42BC
2775.50ns INFO [00002777] Port=0 WR @01=A4A50EB9
2775.50ns INFO [00002777] Port=1 RD @0E
2776.50ns INFO [00002778] Port=1 RD @0A
2777.50ns INFO [00002779] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2777.50ns INFO [00002779] Port=0 RD @06
2778.50ns INFO [00002780] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2779.50ns INFO [00002781] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025
2779.50ns INFO [00002781] Port=1 RD @12
2780.50ns INFO [00002782] Port=1 RD @0E
2781.50ns INFO [00002783] * RD COMPARE * port=1 adr=12 act=6D99EBE0 exp=6D99EBE0
2781.50ns INFO [00002783] Port=0 RD @18
2781.50ns INFO [00002783] Port=1 RD @17
2782.50ns INFO [00002784] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2783.50ns INFO [00002785] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2
2783.50ns INFO [00002785] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2783.50ns INFO [00002785] Port=0 WR @19=2E2FC447
2784.50ns INFO [00002786] Port=0 WR @02=EF0B8EC3
2784.50ns INFO [00002786] Port=0 RD @1D
2784.50ns INFO [00002786] Port=1 RD @08
2785.50ns INFO [00002787] Port=0 WR @0D=CD0BE625
2785.50ns INFO [00002787] Port=0 RD @16
2786.50ns INFO [00002788] * RD COMPARE * port=0 adr=1D act=610DE33D exp=610DE33D
2786.50ns INFO [00002788] * RD COMPARE * port=1 adr=08 act=C476FA9C exp=C476FA9C
2786.50ns INFO [00002788] Port=1 RD @13
2787.50ns INFO [00002789] * RD COMPARE * port=0 adr=16 act=7E544045 exp=7E544045
2787.50ns INFO [00002789] Port=0 RD @10
2788.50ns INFO [00002790] * RD COMPARE * port=1 adr=13 act=EDB52F1B exp=EDB52F1B
2789.50ns INFO [00002791] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71
2789.50ns INFO [00002791] Port=0 WR @02=D9871F93
2789.50ns INFO [00002791] Port=1 RD @0B
2791.50ns INFO [00002793] * RD COMPARE * port=1 adr=0B act=D6EB6548 exp=D6EB6548
2791.50ns INFO [00002793] Port=0 RD @00
2791.50ns INFO [00002793] Port=1 RD @1D
2792.50ns INFO [00002794] Port=1 RD @0F
2793.50ns INFO [00002795] * RD COMPARE * port=0 adr=00 act=46E1D757 exp=46E1D757
2793.50ns INFO [00002795] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D
2793.50ns INFO [00002795] Port=0 WR @13=EAA5A6FB
2793.50ns INFO [00002795] Port=0 RD @17
2794.50ns INFO [00002796] * RD COMPARE * port=1 adr=0F act=AE87AEFF exp=AE87AEFF
2794.50ns INFO [00002796] Port=0 WR @00=7D7B57BD
2795.50ns INFO [00002797] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2795.50ns INFO [00002797] Port=0 WR @1F=0D678929
2795.50ns INFO [00002797] Port=0 RD @03
2795.50ns INFO [00002797] Port=1 RD @18
2797.50ns INFO [00002799] * RD COMPARE * port=0 adr=03 act=0318AEA3 exp=0318AEA3
2797.50ns INFO [00002799] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2
2797.50ns INFO [00002799] Port=0 RD @0F
2797.50ns INFO [00002799] Port=1 RD @1D
2798.00ns INFO [00002800] [00002800] ...tick...
2798.50ns INFO [00002800] Port=1 RD @0A
2799.50ns INFO [00002801] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF
2799.50ns INFO [00002801] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D
2800.50ns INFO [00002802] * RD COMPARE * port=1 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2800.50ns INFO [00002802] Port=1 RD @1E
2802.50ns INFO [00002804] * RD COMPARE * port=1 adr=1E act=9011A690 exp=9011A690
2802.50ns INFO [00002804] Port=0 WR @09=E67AA538
2802.50ns INFO [00002804] Port=0 RD @02
2804.50ns INFO [00002806] * RD COMPARE * port=0 adr=02 act=D9871F93 exp=D9871F93
2804.50ns INFO [00002806] Port=0 WR @15=A78262F1
2805.50ns INFO [00002807] Port=0 RD @0C
2806.50ns INFO [00002808] Port=1 RD @00
2807.50ns INFO [00002809] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2807.50ns INFO [00002809] Port=0 WR @1A=C1A27806
2808.50ns INFO [00002810] * RD COMPARE * port=1 adr=00 act=7D7B57BD exp=7D7B57BD
2810.50ns INFO [00002812] Port=1 RD @0E
2811.50ns INFO [00002813] Port=1 RD @1B
2812.50ns INFO [00002814] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2813.50ns INFO [00002815] * RD COMPARE * port=1 adr=1B act=4EE53D77 exp=4EE53D77
2813.50ns INFO [00002815] Port=1 RD @13
2814.50ns INFO [00002816] Port=1 RD @06
2815.50ns INFO [00002817] * RD COMPARE * port=1 adr=13 act=EAA5A6FB exp=EAA5A6FB
2815.50ns INFO [00002817] Port=0 WR @02=D146FE0C
2816.50ns INFO [00002818] * RD COMPARE * port=1 adr=06 act=49E35025 exp=49E35025
2816.50ns INFO [00002818] Port=0 RD @0F
2816.50ns INFO [00002818] Port=1 RD @1D
2817.50ns INFO [00002819] Port=0 WR @0B=1EBF01E7
2818.50ns INFO [00002820] * RD COMPARE * port=0 adr=0F act=AE87AEFF exp=AE87AEFF
2818.50ns INFO [00002820] * RD COMPARE * port=1 adr=1D act=610DE33D exp=610DE33D
2818.50ns INFO [00002820] Port=0 WR @16=38A5524E
2818.50ns INFO [00002820] Port=1 RD @10
2819.50ns INFO [00002821] Port=0 RD @05
2819.50ns INFO [00002821] Port=1 RD @1F
2820.50ns INFO [00002822] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71
2820.50ns INFO [00002822] Port=0 RD @09
2821.50ns INFO [00002823] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC
2821.50ns INFO [00002823] * RD COMPARE * port=1 adr=1F act=0D678929 exp=0D678929
2821.50ns INFO [00002823] Port=0 RD @09
2821.50ns INFO [00002823] Port=1 RD @19
2822.50ns INFO [00002824] * RD COMPARE * port=0 adr=09 act=E67AA538 exp=E67AA538
2823.50ns INFO [00002825] * RD COMPARE * port=0 adr=09 act=E67AA538 exp=E67AA538
2823.50ns INFO [00002825] * RD COMPARE * port=1 adr=19 act=2E2FC447 exp=2E2FC447
2824.50ns INFO [00002826] Port=0 RD @1D
2824.50ns INFO [00002826] Port=1 RD @11
2826.50ns INFO [00002828] * RD COMPARE * port=0 adr=1D act=610DE33D exp=610DE33D
2826.50ns INFO [00002828] * RD COMPARE * port=1 adr=11 act=261040A1 exp=261040A1
2826.50ns INFO [00002828] Port=0 WR @0D=30181383
2826.50ns INFO [00002828] Port=0 RD @0A
2827.50ns INFO [00002829] Port=0 RD @1F
2828.50ns INFO [00002830] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2828.50ns INFO [00002830] Port=0 WR @1B=2FC636DD
2828.50ns INFO [00002830] Port=1 RD @15
2829.50ns INFO [00002831] * RD COMPARE * port=0 adr=1F act=0D678929 exp=0D678929
2830.50ns INFO [00002832] * RD COMPARE * port=1 adr=15 act=A78262F1 exp=A78262F1
2831.50ns INFO [00002833] Port=0 WR @1F=A94DE806
2831.50ns INFO [00002833] Port=1 RD @17
2832.50ns INFO [00002834] Port=0 WR @1C=E9C25695
2832.50ns INFO [00002834] Port=1 RD @18
2833.50ns INFO [00002835] * RD COMPARE * port=1 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2833.50ns INFO [00002835] Port=0 WR @15=83056409
2834.50ns INFO [00002836] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2
2834.50ns INFO [00002836] Port=0 WR @1B=8B35D672
2834.50ns INFO [00002836] Port=1 RD @07
2835.50ns INFO [00002837] Port=0 WR @09=704DCC0F
2835.50ns INFO [00002837] Port=0 RD @0C
2836.50ns INFO [00002838] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3
2836.50ns INFO [00002838] Port=1 RD @09
2837.50ns INFO [00002839] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2837.50ns INFO [00002839] Port=0 WR @12=574F81B0
2837.50ns INFO [00002839] Port=0 RD @14
2838.50ns INFO [00002840] * RD COMPARE * port=1 adr=09 act=704DCC0F exp=704DCC0F
2838.50ns INFO [00002840] Port=0 WR @14=F0576BF4
2838.50ns INFO [00002840] Port=1 RD @05
2839.50ns INFO [00002841] * RD COMPARE * port=0 adr=14 act=251468DE exp=251468DE
2839.50ns INFO [00002841] Port=0 WR @1C=2F35C72E
2839.50ns INFO [00002841] Port=0 RD @10
2839.50ns INFO [00002841] Port=1 RD @0B
2840.50ns INFO [00002842] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC
2841.50ns INFO [00002843] * RD COMPARE * port=0 adr=10 act=01DF7D71 exp=01DF7D71
2841.50ns INFO [00002843] * RD COMPARE * port=1 adr=0B act=1EBF01E7 exp=1EBF01E7
2841.50ns INFO [00002843] Port=0 WR @02=45E82DA8
2842.50ns INFO [00002844] Port=0 WR @0D=CF536A1B
2843.50ns INFO [00002845] Port=0 WR @14=7E81FD47
2843.50ns INFO [00002845] Port=0 RD @06
2843.50ns INFO [00002845] Port=1 RD @1E
2845.50ns INFO [00002847] * RD COMPARE * port=0 adr=06 act=49E35025 exp=49E35025
2845.50ns INFO [00002847] * RD COMPARE * port=1 adr=1E act=9011A690 exp=9011A690
2845.50ns INFO [00002847] Port=0 RD @0A
2845.50ns INFO [00002847] Port=1 RD @00
2846.50ns INFO [00002848] Port=0 WR @1C=1D7577F6
2846.50ns INFO [00002848] Port=0 RD @18
2847.50ns INFO [00002849] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2847.50ns INFO [00002849] * RD COMPARE * port=1 adr=00 act=7D7B57BD exp=7D7B57BD
2848.50ns INFO [00002850] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2
2849.50ns INFO [00002851] Port=1 RD @02
2850.50ns INFO [00002852] Port=0 WR @19=DB426209
2850.50ns INFO [00002852] Port=1 RD @09
2851.50ns INFO [00002853] * RD COMPARE * port=1 adr=02 act=45E82DA8 exp=45E82DA8
2851.50ns INFO [00002853] Port=0 WR @11=F5A5E702
2852.50ns INFO [00002854] * RD COMPARE * port=1 adr=09 act=704DCC0F exp=704DCC0F
2853.50ns INFO [00002855] Port=0 RD @0A
2854.50ns INFO [00002856] Port=0 WR @15=90B26C02
2854.50ns INFO [00002856] Port=1 RD @18
2855.50ns INFO [00002857] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2855.50ns INFO [00002857] Port=0 WR @13=D37AEFB7
2856.50ns INFO [00002858] * RD COMPARE * port=1 adr=18 act=80390CA2 exp=80390CA2
2856.50ns INFO [00002858] Port=0 WR @1C=49E8EAD8
2856.50ns INFO [00002858] Port=0 RD @18
2857.50ns INFO [00002859] Port=0 WR @1D=FA02A872
2858.50ns INFO [00002860] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2
2858.50ns INFO [00002860] Port=0 WR @08=893647E3
2858.50ns INFO [00002860] Port=1 RD @04
2860.50ns INFO [00002862] * RD COMPARE * port=1 adr=04 act=82276EBC exp=82276EBC
2860.50ns INFO [00002862] Port=0 WR @09=3B00E307
2860.50ns INFO [00002862] Port=0 RD @08
2860.50ns INFO [00002862] Port=1 RD @0F
2861.50ns INFO [00002863] Port=0 WR @06=D57D3C4F
2861.50ns INFO [00002863] Port=0 RD @00
2862.50ns INFO [00002864] * RD COMPARE * port=0 adr=08 act=893647E3 exp=893647E3
2862.50ns INFO [00002864] * RD COMPARE * port=1 adr=0F act=AE87AEFF exp=AE87AEFF
2863.50ns INFO [00002865] * RD COMPARE * port=0 adr=00 act=7D7B57BD exp=7D7B57BD
2864.50ns INFO [00002866] Port=0 WR @09=015E30FD
2865.50ns INFO [00002867] Port=0 WR @0D=81DFA650
2865.50ns INFO [00002867] Port=1 RD @07
2867.50ns INFO [00002869] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3
2867.50ns INFO [00002869] Port=0 RD @19
2867.50ns INFO [00002869] Port=1 RD @16
2868.50ns INFO [00002870] Port=0 RD @18
2869.50ns INFO [00002871] * RD COMPARE * port=0 adr=19 act=DB426209 exp=DB426209
2869.50ns INFO [00002871] * RD COMPARE * port=1 adr=16 act=38A5524E exp=38A5524E
2869.50ns INFO [00002871] Port=0 WR @1E=7A11BC1B
2869.50ns INFO [00002871] Port=1 RD @19
2870.50ns INFO [00002872] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2
2870.50ns INFO [00002872] Port=0 WR @11=8F9420CA
2871.50ns INFO [00002873] * RD COMPARE * port=1 adr=19 act=DB426209 exp=DB426209
2871.50ns INFO [00002873] Port=1 RD @09
2873.50ns INFO [00002875] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD
2873.50ns INFO [00002875] Port=0 WR @1A=3D64F44F
2873.50ns INFO [00002875] Port=0 RD @14
2874.50ns INFO [00002876] Port=0 WR @0D=FB49ADE6
2875.50ns INFO [00002877] * RD COMPARE * port=0 adr=14 act=7E81FD47 exp=7E81FD47
2875.50ns INFO [00002877] Port=0 WR @08=8CE5F6DD
2875.50ns INFO [00002877] Port=1 RD @05
2876.50ns INFO [00002878] Port=0 RD @13
2876.50ns INFO [00002878] Port=1 RD @10
2877.50ns INFO [00002879] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC
2877.50ns INFO [00002879] Port=0 WR @11=E69DBC98
2877.50ns INFO [00002879] Port=0 RD @12
2877.50ns INFO [00002879] Port=1 RD @06
2878.50ns INFO [00002880] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7
2878.50ns INFO [00002880] * RD COMPARE * port=1 adr=10 act=01DF7D71 exp=01DF7D71
2879.50ns INFO [00002881] * RD COMPARE * port=0 adr=12 act=574F81B0 exp=574F81B0
2879.50ns INFO [00002881] * RD COMPARE * port=1 adr=06 act=D57D3C4F exp=D57D3C4F
2879.50ns INFO [00002881] Port=0 WR @10=9AC0F691
2880.50ns INFO [00002882] Port=0 RD @0B
2880.50ns INFO [00002882] Port=1 RD @09
2882.50ns INFO [00002884] * RD COMPARE * port=0 adr=0B act=1EBF01E7 exp=1EBF01E7
2882.50ns INFO [00002884] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD
2882.50ns INFO [00002884] Port=0 WR @1F=5A1E8F82
2882.50ns INFO [00002884] Port=1 RD @09
2883.50ns INFO [00002885] Port=0 WR @1A=A5A60CEA
2883.50ns INFO [00002885] Port=1 RD @0E
2884.50ns INFO [00002886] * RD COMPARE * port=1 adr=09 act=015E30FD exp=015E30FD
2884.50ns INFO [00002886] Port=0 RD @0A
2884.50ns INFO [00002886] Port=1 RD @14
2885.50ns INFO [00002887] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2886.50ns INFO [00002888] * RD COMPARE * port=0 adr=0A act=F9B3C0C5 exp=F9B3C0C5
2886.50ns INFO [00002888] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47
2886.50ns INFO [00002888] Port=0 WR @09=0631A39F
2886.50ns INFO [00002888] Port=1 RD @0D
2888.50ns INFO [00002890] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6
2888.50ns INFO [00002890] Port=0 WR @08=A174D950
2888.50ns INFO [00002890] Port=0 RD @0B
2888.50ns INFO [00002890] Port=1 RD @0D
2889.50ns INFO [00002891] Port=0 WR @11=AD90799C
2889.50ns INFO [00002891] Port=0 RD @0D
2889.50ns INFO [00002891] Port=1 RD @07
2890.50ns INFO [00002892] * RD COMPARE * port=0 adr=0B act=1EBF01E7 exp=1EBF01E7
2890.50ns INFO [00002892] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6
2891.50ns INFO [00002893] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6
2891.50ns INFO [00002893] * RD COMPARE * port=1 adr=07 act=199C01B3 exp=199C01B3
2891.50ns INFO [00002893] Port=0 WR @02=ACBBFC85
2892.50ns INFO [00002894] Port=1 RD @02
2894.50ns INFO [00002896] * RD COMPARE * port=1 adr=02 act=ACBBFC85 exp=ACBBFC85
2894.50ns INFO [00002896] Port=0 WR @1E=9A16636A
2894.50ns INFO [00002896] Port=1 RD @08
2895.50ns INFO [00002897] Port=0 WR @06=13679672
2895.50ns INFO [00002897] Port=1 RD @09
2896.50ns INFO [00002898] * RD COMPARE * port=1 adr=08 act=A174D950 exp=A174D950
2896.50ns INFO [00002898] Port=0 RD @02
2897.50ns INFO [00002899] * RD COMPARE * port=1 adr=09 act=0631A39F exp=0631A39F
2897.50ns INFO [00002899] Port=0 RD @14
2898.00ns INFO [00002900] [00002900] ...tick...
2898.50ns INFO [00002900] * RD COMPARE * port=0 adr=02 act=ACBBFC85 exp=ACBBFC85
2898.50ns INFO [00002900] Port=0 RD @07
2899.50ns INFO [00002901] * RD COMPARE * port=0 adr=14 act=7E81FD47 exp=7E81FD47
2899.50ns INFO [00002901] Port=0 RD @0C
2899.50ns INFO [00002901] Port=1 RD @05
2900.50ns INFO [00002902] * RD COMPARE * port=0 adr=07 act=199C01B3 exp=199C01B3
2900.50ns INFO [00002902] Port=0 WR @04=75495C30
2901.50ns INFO [00002903] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2901.50ns INFO [00002903] * RD COMPARE * port=1 adr=05 act=E2ED42BC exp=E2ED42BC
2902.50ns INFO [00002904] Port=0 WR @00=1F4DABE5
2902.50ns INFO [00002904] Port=0 RD @13
2902.50ns INFO [00002904] Port=1 RD @15
2903.50ns INFO [00002905] Port=0 RD @18
2904.50ns INFO [00002906] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7
2904.50ns INFO [00002906] * RD COMPARE * port=1 adr=15 act=90B26C02 exp=90B26C02
2904.50ns INFO [00002906] Port=0 WR @0A=9E45130C
2905.50ns INFO [00002907] * RD COMPARE * port=0 adr=18 act=80390CA2 exp=80390CA2
2905.50ns INFO [00002907] Port=0 WR @16=BA3091C2
2905.50ns INFO [00002907] Port=1 RD @0E
2906.50ns INFO [00002908] Port=0 RD @1E
2907.50ns INFO [00002909] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2908.50ns INFO [00002910] * RD COMPARE * port=0 adr=1E act=9A16636A exp=9A16636A
2908.50ns INFO [00002910] Port=0 RD @15
2910.50ns INFO [00002912] * RD COMPARE * port=0 adr=15 act=90B26C02 exp=90B26C02
2910.50ns INFO [00002912] Port=0 WR @1C=4E2E3B10
2910.50ns INFO [00002912] Port=0 RD @13
2912.50ns INFO [00002914] * RD COMPARE * port=0 adr=13 act=D37AEFB7 exp=D37AEFB7
2912.50ns INFO [00002914] Port=0 WR @01=4CD8617F
2912.50ns INFO [00002914] Port=0 RD @0E
2913.50ns INFO [00002915] Port=0 WR @02=E9473F0A
2914.50ns INFO [00002916] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
2914.50ns INFO [00002916] Port=0 WR @11=3A3364BC
2914.50ns INFO [00002916] Port=0 RD @15
2914.50ns INFO [00002916] Port=1 RD @0D
2915.50ns INFO [00002917] Port=1 RD @00
2916.50ns INFO [00002918] * RD COMPARE * port=0 adr=15 act=90B26C02 exp=90B26C02
2916.50ns INFO [00002918] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6
2917.50ns INFO [00002919] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2918.50ns INFO [00002920] Port=0 WR @0F=2E415648
2918.50ns INFO [00002920] Port=0 RD @0A
2919.50ns INFO [00002921] Port=1 RD @00
2920.50ns INFO [00002922] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C
2920.50ns INFO [00002922] Port=0 WR @1B=EC4E90DD
2920.50ns INFO [00002922] Port=0 RD @0C
2920.50ns INFO [00002922] Port=1 RD @00
2921.50ns INFO [00002923] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2921.50ns INFO [00002923] Port=1 RD @15
2922.50ns INFO [00002924] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2922.50ns INFO [00002924] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2923.50ns INFO [00002925] * RD COMPARE * port=1 adr=15 act=90B26C02 exp=90B26C02
2923.50ns INFO [00002925] Port=1 RD @00
2924.50ns INFO [00002926] Port=0 RD @10
2924.50ns INFO [00002926] Port=1 RD @00
2925.50ns INFO [00002927] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2925.50ns INFO [00002927] Port=0 RD @07
2926.50ns INFO [00002928] * RD COMPARE * port=0 adr=10 act=9AC0F691 exp=9AC0F691
2926.50ns INFO [00002928] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2926.50ns INFO [00002928] Port=0 WR @1E=617C5150
2926.50ns INFO [00002928] Port=1 RD @1B
2927.50ns INFO [00002929] * RD COMPARE * port=0 adr=07 act=199C01B3 exp=199C01B3
2927.50ns INFO [00002929] Port=0 WR @07=DCB94002
2927.50ns INFO [00002929] Port=0 RD @16
2927.50ns INFO [00002929] Port=1 RD @08
2928.50ns INFO [00002930] * RD COMPARE * port=1 adr=1B act=EC4E90DD exp=EC4E90DD
2928.50ns INFO [00002930] Port=0 RD @0D
2929.50ns INFO [00002931] * RD COMPARE * port=0 adr=16 act=BA3091C2 exp=BA3091C2
2929.50ns INFO [00002931] * RD COMPARE * port=1 adr=08 act=A174D950 exp=A174D950
2929.50ns INFO [00002931] Port=0 WR @13=87E26397
2929.50ns INFO [00002931] Port=0 RD @17
2930.50ns INFO [00002932] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6
2930.50ns INFO [00002932] Port=0 RD @05
2931.50ns INFO [00002933] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2931.50ns INFO [00002933] Port=1 RD @1F
2932.50ns INFO [00002934] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC
2932.50ns INFO [00002934] Port=1 RD @00
2933.50ns INFO [00002935] * RD COMPARE * port=1 adr=1F act=5A1E8F82 exp=5A1E8F82
2934.50ns INFO [00002936] * RD COMPARE * port=1 adr=00 act=1F4DABE5 exp=1F4DABE5
2934.50ns INFO [00002936] Port=1 RD @11
2936.50ns INFO [00002938] * RD COMPARE * port=1 adr=11 act=3A3364BC exp=3A3364BC
2937.50ns INFO [00002939] Port=0 WR @16=88CC39AC
2937.50ns INFO [00002939] Port=0 RD @1C
2937.50ns INFO [00002939] Port=1 RD @1D
2938.50ns INFO [00002940] Port=0 WR @08=859386D4
2939.50ns INFO [00002941] * RD COMPARE * port=0 adr=1C act=4E2E3B10 exp=4E2E3B10
2939.50ns INFO [00002941] * RD COMPARE * port=1 adr=1D act=FA02A872 exp=FA02A872
2939.50ns INFO [00002941] Port=0 RD @0F
2940.50ns INFO [00002942] Port=0 WR @0B=F12661BB
2940.50ns INFO [00002942] Port=0 RD @12
2940.50ns INFO [00002942] Port=1 RD @14
2941.50ns INFO [00002943] * RD COMPARE * port=0 adr=0F act=2E415648 exp=2E415648
2941.50ns INFO [00002943] Port=0 WR @00=57904FF4
2942.50ns INFO [00002944] * RD COMPARE * port=0 adr=12 act=574F81B0 exp=574F81B0
2942.50ns INFO [00002944] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47
2943.50ns INFO [00002945] Port=1 RD @14
2944.50ns INFO [00002946] Port=0 WR @11=AE4F574F
2944.50ns INFO [00002946] Port=0 RD @1B
2945.50ns INFO [00002947] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47
2945.50ns INFO [00002947] Port=0 WR @16=3AD43FC9
2946.50ns INFO [00002948] * RD COMPARE * port=0 adr=1B act=EC4E90DD exp=EC4E90DD
2946.50ns INFO [00002948] Port=0 WR @04=C164F64F
2946.50ns INFO [00002948] Port=0 RD @05
2947.50ns INFO [00002949] Port=0 WR @02=F61D2FD7
2948.50ns INFO [00002950] * RD COMPARE * port=0 adr=05 act=E2ED42BC exp=E2ED42BC
2948.50ns INFO [00002950] Port=0 WR @04=E5145B30
2949.50ns INFO [00002951] Port=0 WR @18=A6EB7523
2949.50ns INFO [00002951] Port=1 RD @00
2950.50ns INFO [00002952] Port=0 WR @15=1EBCF8EE
2950.50ns INFO [00002952] Port=1 RD @18
2951.50ns INFO [00002953] * RD COMPARE * port=1 adr=00 act=57904FF4 exp=57904FF4
2951.50ns INFO [00002953] Port=0 WR @02=388FE414
2951.50ns INFO [00002953] Port=0 RD @17
2951.50ns INFO [00002953] Port=1 RD @09
2952.50ns INFO [00002954] * RD COMPARE * port=1 adr=18 act=A6EB7523 exp=A6EB7523
2952.50ns INFO [00002954] Port=0 WR @04=490500C4
2952.50ns INFO [00002954] Port=1 RD @10
2953.50ns INFO [00002955] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2953.50ns INFO [00002955] * RD COMPARE * port=1 adr=09 act=0631A39F exp=0631A39F
2954.50ns INFO [00002956] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691
2954.50ns INFO [00002956] Port=0 WR @1C=B03A93CB
2954.50ns INFO [00002956] Port=0 RD @1D
2954.50ns INFO [00002956] Port=1 RD @01
2955.50ns INFO [00002957] Port=0 RD @0D
2956.50ns INFO [00002958] * RD COMPARE * port=0 adr=1D act=FA02A872 exp=FA02A872
2956.50ns INFO [00002958] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F
2956.50ns INFO [00002958] Port=0 WR @05=796385CE
2956.50ns INFO [00002958] Port=0 RD @1C
2957.50ns INFO [00002959] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6
2957.50ns INFO [00002959] Port=0 WR @1C=3AC1E74B
2958.50ns INFO [00002960] * RD COMPARE * port=0 adr=1C act=B03A93CB exp=B03A93CB
2958.50ns INFO [00002960] Port=1 RD @1D
2959.50ns INFO [00002961] Port=0 WR @19=E72A09B0
2959.50ns INFO [00002961] Port=0 RD @1F
2960.50ns INFO [00002962] * RD COMPARE * port=1 adr=1D act=FA02A872 exp=FA02A872
2960.50ns INFO [00002962] Port=0 WR @11=B8820628
2960.50ns INFO [00002962] Port=0 RD @0A
2960.50ns INFO [00002962] Port=1 RD @14
2961.50ns INFO [00002963] * RD COMPARE * port=0 adr=1F act=5A1E8F82 exp=5A1E8F82
2962.50ns INFO [00002964] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C
2962.50ns INFO [00002964] * RD COMPARE * port=1 adr=14 act=7E81FD47 exp=7E81FD47
2962.50ns INFO [00002964] Port=0 WR @08=1DB1FFAB
2962.50ns INFO [00002964] Port=0 RD @10
2963.50ns INFO [00002965] Port=0 WR @1D=5D1972A4
2963.50ns INFO [00002965] Port=0 RD @0F
2963.50ns INFO [00002965] Port=1 RD @10
2964.50ns INFO [00002966] * RD COMPARE * port=0 adr=10 act=9AC0F691 exp=9AC0F691
2964.50ns INFO [00002966] Port=0 WR @14=819ED95C
2964.50ns INFO [00002966] Port=1 RD @06
2965.50ns INFO [00002967] * RD COMPARE * port=0 adr=0F act=2E415648 exp=2E415648
2965.50ns INFO [00002967] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691
2966.50ns INFO [00002968] * RD COMPARE * port=1 adr=06 act=13679672 exp=13679672
2966.50ns INFO [00002968] Port=0 WR @14=0703B806
2966.50ns INFO [00002968] Port=0 RD @04
2967.50ns INFO [00002969] Port=0 RD @17
2968.50ns INFO [00002970] * RD COMPARE * port=0 adr=04 act=490500C4 exp=490500C4
2968.50ns INFO [00002970] Port=0 WR @0F=F95CDB5E
2968.50ns INFO [00002970] Port=0 RD @0A
2969.50ns INFO [00002971] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2969.50ns INFO [00002971] Port=1 RD @11
2970.50ns INFO [00002972] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C
2970.50ns INFO [00002972] Port=0 RD @13
2971.50ns INFO [00002973] * RD COMPARE * port=1 adr=11 act=B8820628 exp=B8820628
2971.50ns INFO [00002973] Port=0 WR @1E=E9295A4D
2972.50ns INFO [00002974] * RD COMPARE * port=0 adr=13 act=87E26397 exp=87E26397
2972.50ns INFO [00002974] Port=1 RD @05
2973.50ns INFO [00002975] Port=0 WR @03=54248495
2973.50ns INFO [00002975] Port=0 RD @14
2973.50ns INFO [00002975] Port=1 RD @19
2974.50ns INFO [00002976] * RD COMPARE * port=1 adr=05 act=796385CE exp=796385CE
2974.50ns INFO [00002976] Port=0 RD @05
2974.50ns INFO [00002976] Port=1 RD @08
2975.50ns INFO [00002977] * RD COMPARE * port=0 adr=14 act=0703B806 exp=0703B806
2975.50ns INFO [00002977] * RD COMPARE * port=1 adr=19 act=E72A09B0 exp=E72A09B0
2975.50ns INFO [00002977] Port=0 WR @04=1B731875
2975.50ns INFO [00002977] Port=0 RD @1C
2976.50ns INFO [00002978] * RD COMPARE * port=0 adr=05 act=796385CE exp=796385CE
2976.50ns INFO [00002978] * RD COMPARE * port=1 adr=08 act=1DB1FFAB exp=1DB1FFAB
2976.50ns INFO [00002978] Port=0 RD @02
2977.50ns INFO [00002979] * RD COMPARE * port=0 adr=1C act=3AC1E74B exp=3AC1E74B
2977.50ns INFO [00002979] Port=0 RD @0E
2978.50ns INFO [00002980] * RD COMPARE * port=0 adr=02 act=388FE414 exp=388FE414
2978.50ns INFO [00002980] Port=1 RD @03
2979.50ns INFO [00002981] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
2979.50ns INFO [00002981] Port=0 RD @15
2980.50ns INFO [00002982] * RD COMPARE * port=1 adr=03 act=54248495 exp=54248495
2980.50ns INFO [00002982] Port=0 WR @04=9B555A43
2981.50ns INFO [00002983] * RD COMPARE * port=0 adr=15 act=1EBCF8EE exp=1EBCF8EE
2981.50ns INFO [00002983] Port=0 WR @18=1E41CC3C
2981.50ns INFO [00002983] Port=0 RD @00
2983.50ns INFO [00002985] * RD COMPARE * port=0 adr=00 act=57904FF4 exp=57904FF4
2983.50ns INFO [00002985] Port=0 WR @1E=D18914A6
2983.50ns INFO [00002985] Port=1 RD @01
2985.50ns INFO [00002987] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F
2985.50ns INFO [00002987] Port=0 RD @0D
2985.50ns INFO [00002987] Port=1 RD @03
2986.50ns INFO [00002988] Port=0 RD @19
2987.50ns INFO [00002989] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6
2987.50ns INFO [00002989] * RD COMPARE * port=1 adr=03 act=54248495 exp=54248495
2987.50ns INFO [00002989] Port=0 WR @08=C243FC19
2987.50ns INFO [00002989] Port=0 RD @17
2988.50ns INFO [00002990] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0
2988.50ns INFO [00002990] Port=0 WR @09=41B4B79C
2989.50ns INFO [00002991] * RD COMPARE * port=0 adr=17 act=D4BE0EF5 exp=D4BE0EF5
2989.50ns INFO [00002991] Port=1 RD @0B
2990.50ns INFO [00002992] Port=0 WR @1F=F80269CC
2990.50ns INFO [00002992] Port=0 RD @01
2991.50ns INFO [00002993] * RD COMPARE * port=1 adr=0B act=F12661BB exp=F12661BB
2991.50ns INFO [00002993] Port=1 RD @00
2992.50ns INFO [00002994] * RD COMPARE * port=0 adr=01 act=4CD8617F exp=4CD8617F
2992.50ns INFO [00002994] Port=0 WR @00=E4A239D1
2992.50ns INFO [00002994] Port=0 RD @0C
2993.50ns INFO [00002995] * RD COMPARE * port=1 adr=00 act=57904FF4 exp=57904FF4
2993.50ns INFO [00002995] Port=0 RD @07
2994.50ns INFO [00002996] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2994.50ns INFO [00002996] Port=0 WR @17=B5E84149
2994.50ns INFO [00002996] Port=1 RD @0E
2995.50ns INFO [00002997] * RD COMPARE * port=0 adr=07 act=DCB94002 exp=DCB94002
2995.50ns INFO [00002997] Port=0 RD @13
2996.50ns INFO [00002998] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
2996.50ns INFO [00002998] Port=0 RD @06
2996.50ns INFO [00002998] Port=1 RD @18
2997.50ns INFO [00002999] * RD COMPARE * port=0 adr=13 act=87E26397 exp=87E26397
2997.50ns INFO [00002999] Port=0 RD @0C
2998.00ns INFO [00003000] [00003000] ...tick...
2998.50ns INFO [00003000] * RD COMPARE * port=0 adr=06 act=13679672 exp=13679672
2998.50ns INFO [00003000] * RD COMPARE * port=1 adr=18 act=1E41CC3C exp=1E41CC3C
2998.50ns INFO [00003000] Port=0 WR @09=C05F5636
2999.50ns INFO [00003001] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
2999.50ns INFO [00003001] Port=0 WR @12=F337ABF8
3000.50ns INFO [00003002] Port=0 RD @16
3000.50ns INFO [00003002] Port=1 RD @19
3001.50ns INFO [00003003] Port=0 WR @0F=D60DAB8B
3002.50ns INFO [00003004] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9
3002.50ns INFO [00003004] * RD COMPARE * port=1 adr=19 act=E72A09B0 exp=E72A09B0
3002.50ns INFO [00003004] Port=0 WR @1C=3B3E12EF
3002.50ns INFO [00003004] Port=0 RD @0E
3003.50ns INFO [00003005] Port=0 RD @18
3003.50ns INFO [00003005] Port=1 RD @01
3004.50ns INFO [00003006] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
3005.50ns INFO [00003007] * RD COMPARE * port=0 adr=18 act=1E41CC3C exp=1E41CC3C
3005.50ns INFO [00003007] * RD COMPARE * port=1 adr=01 act=4CD8617F exp=4CD8617F
3005.50ns INFO [00003007] Port=0 RD @00
3005.50ns INFO [00003007] Port=1 RD @00
3006.50ns INFO [00003008] Port=0 RD @0E
3007.50ns INFO [00003009] * RD COMPARE * port=0 adr=00 act=E4A239D1 exp=E4A239D1
3007.50ns INFO [00003009] * RD COMPARE * port=1 adr=00 act=E4A239D1 exp=E4A239D1
3008.50ns INFO [00003010] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
3009.50ns INFO [00003011] Port=0 WR @12=B7986656
3010.50ns INFO [00003012] Port=0 RD @1D
3011.50ns INFO [00003013] Port=0 RD @0D
3011.50ns INFO [00003013] Port=1 RD @0E
3012.50ns INFO [00003014] * RD COMPARE * port=0 adr=1D act=5D1972A4 exp=5D1972A4
3013.50ns INFO [00003015] * RD COMPARE * port=0 adr=0D act=FB49ADE6 exp=FB49ADE6
3013.50ns INFO [00003015] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
3013.50ns INFO [00003015] Port=0 RD @19
3013.50ns INFO [00003015] Port=1 RD @11
3014.50ns INFO [00003016] Port=0 WR @04=4CA7E92D
3014.50ns INFO [00003016] Port=0 RD @1F
3014.50ns INFO [00003016] Port=1 RD @1E
3015.50ns INFO [00003017] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0
3015.50ns INFO [00003017] * RD COMPARE * port=1 adr=11 act=B8820628 exp=B8820628
3015.50ns INFO [00003017] Port=0 WR @12=EBE4CCC1
3015.50ns INFO [00003017] Port=0 RD @02
3016.50ns INFO [00003018] * RD COMPARE * port=0 adr=1F act=F80269CC exp=F80269CC
3016.50ns INFO [00003018] * RD COMPARE * port=1 adr=1E act=D18914A6 exp=D18914A6
3016.50ns INFO [00003018] Port=0 WR @09=54435FBC
3016.50ns INFO [00003018] Port=0 RD @06
3016.50ns INFO [00003018] Port=1 RD @0B
3017.50ns INFO [00003019] * RD COMPARE * port=0 adr=02 act=388FE414 exp=388FE414
3017.50ns INFO [00003019] Port=1 RD @1F
3018.50ns INFO [00003020] * RD COMPARE * port=0 adr=06 act=13679672 exp=13679672
3018.50ns INFO [00003020] * RD COMPARE * port=1 adr=0B act=F12661BB exp=F12661BB
3019.50ns INFO [00003021] * RD COMPARE * port=1 adr=1F act=F80269CC exp=F80269CC
3020.50ns INFO [00003022] Port=0 RD @0A
3020.50ns INFO [00003022] Port=1 RD @0C
3022.50ns INFO [00003024] * RD COMPARE * port=0 adr=0A act=9E45130C exp=9E45130C
3022.50ns INFO [00003024] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C
3023.50ns INFO [00003025] Port=1 RD @04
3024.50ns INFO [00003026] Port=0 RD @09
3025.50ns INFO [00003027] * RD COMPARE * port=1 adr=04 act=4CA7E92D exp=4CA7E92D
3025.50ns INFO [00003027] Port=0 WR @07=88240F09
3025.50ns INFO [00003027] Port=0 RD @1B
3026.50ns INFO [00003028] * RD COMPARE * port=0 adr=09 act=54435FBC exp=54435FBC
3026.50ns INFO [00003028] Port=0 WR @1F=5698127D
3026.50ns INFO [00003028] Port=1 RD @10
3027.50ns INFO [00003029] * RD COMPARE * port=0 adr=1B act=EC4E90DD exp=EC4E90DD
3028.50ns INFO [00003030] * RD COMPARE * port=1 adr=10 act=9AC0F691 exp=9AC0F691
3028.50ns INFO [00003030] Port=1 RD @0D
3029.50ns INFO [00003031] Port=0 WR @03=114C8ADE
3029.50ns INFO [00003031] Port=1 RD @0C
3030.50ns INFO [00003032] * RD COMPARE * port=1 adr=0D act=FB49ADE6 exp=FB49ADE6
3030.50ns INFO [00003032] Port=0 RD @07
3031.50ns INFO [00003033] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C
3032.50ns INFO [00003034] * RD COMPARE * port=0 adr=07 act=88240F09 exp=88240F09
3032.50ns INFO [00003034] Port=0 WR @15=0D7105F9
3032.50ns INFO [00003034] Port=1 RD @16
3033.50ns INFO [00003035] Port=0 WR @1D=6A62C191
3033.50ns INFO [00003035] Port=1 RD @0C
3034.50ns INFO [00003036] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9
3035.50ns INFO [00003037] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C
3035.50ns INFO [00003037] Port=0 WR @13=A8F8EA57
3035.50ns INFO [00003037] Port=1 RD @1D
3037.50ns INFO [00003039] * RD COMPARE * port=1 adr=1D act=6A62C191 exp=6A62C191
3037.50ns INFO [00003039] Port=0 RD @1A
3037.50ns INFO [00003039] Port=1 RD @16
3038.50ns INFO [00003040] Port=0 WR @11=8C5683E8
3039.50ns INFO [00003041] * RD COMPARE * port=0 adr=1A act=A5A60CEA exp=A5A60CEA
3039.50ns INFO [00003041] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9
3039.50ns INFO [00003041] Port=0 RD @12
3040.50ns INFO [00003042] Port=1 RD @0F
3041.50ns INFO [00003043] * RD COMPARE * port=0 adr=12 act=EBE4CCC1 exp=EBE4CCC1
3041.50ns INFO [00003043] Port=0 WR @18=A38E859A
3042.50ns INFO [00003044] * RD COMPARE * port=1 adr=0F act=D60DAB8B exp=D60DAB8B
3042.50ns INFO [00003044] Port=0 WR @18=0DAB77FD
3042.50ns INFO [00003044] Port=0 RD @00
3043.50ns INFO [00003045] Port=1 RD @03
3044.50ns INFO [00003046] * RD COMPARE * port=0 adr=00 act=E4A239D1 exp=E4A239D1
3044.50ns INFO [00003046] Port=0 WR @13=C1B76A13
3045.50ns INFO [00003047] * RD COMPARE * port=1 adr=03 act=114C8ADE exp=114C8ADE
3045.50ns INFO [00003047] Port=0 WR @13=1C37CC7B
3045.50ns INFO [00003047] Port=1 RD @1C
3046.50ns INFO [00003048] Port=0 WR @00=E4FC0AE8
3047.50ns INFO [00003049] * RD COMPARE * port=1 adr=1C act=3B3E12EF exp=3B3E12EF
3047.50ns INFO [00003049] Port=0 WR @02=8845F26A
3048.50ns INFO [00003050] Port=0 WR @01=457B0C78
3049.50ns INFO [00003051] Port=0 WR @1B=5B305FFD
3049.50ns INFO [00003051] Port=1 RD @16
3050.50ns INFO [00003052] Port=0 RD @00
3051.50ns INFO [00003053] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9
3051.50ns INFO [00003053] Port=0 WR @0A=AC83E0F6
3051.50ns INFO [00003053] Port=0 RD @11
3051.50ns INFO [00003053] Port=1 RD @15
3052.50ns INFO [00003054] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8
3052.50ns INFO [00003054] Port=0 WR @1D=AB50D261
3053.50ns INFO [00003055] * RD COMPARE * port=0 adr=11 act=8C5683E8 exp=8C5683E8
3053.50ns INFO [00003055] * RD COMPARE * port=1 adr=15 act=0D7105F9 exp=0D7105F9
3053.50ns INFO [00003055] Port=0 RD @14
3054.50ns INFO [00003056] Port=0 WR @1D=F601F382
3055.50ns INFO [00003057] * RD COMPARE * port=0 adr=14 act=0703B806 exp=0703B806
3055.50ns INFO [00003057] Port=0 WR @10=D6100B9E
3057.50ns INFO [00003059] Port=1 RD @0C
3058.50ns INFO [00003060] Port=0 RD @00
3059.50ns INFO [00003061] * RD COMPARE * port=1 adr=0C act=634D600C exp=634D600C
3059.50ns INFO [00003061] Port=0 WR @1D=EB1C396F
3059.50ns INFO [00003061] Port=1 RD @06
3060.50ns INFO [00003062] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8
3060.50ns INFO [00003062] Port=1 RD @14
3061.50ns INFO [00003063] * RD COMPARE * port=1 adr=06 act=13679672 exp=13679672
3061.50ns INFO [00003063] Port=0 WR @0D=A5A7D9FD
3061.50ns INFO [00003063] Port=0 RD @16
3061.50ns INFO [00003063] Port=1 RD @1C
3062.50ns INFO [00003064] * RD COMPARE * port=1 adr=14 act=0703B806 exp=0703B806
3062.50ns INFO [00003064] Port=0 WR @15=EA6D7A49
3063.50ns INFO [00003065] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9
3063.50ns INFO [00003065] * RD COMPARE * port=1 adr=1C act=3B3E12EF exp=3B3E12EF
3063.50ns INFO [00003065] Port=0 RD @13
3063.50ns INFO [00003065] Port=1 RD @1D
3064.50ns INFO [00003066] Port=0 RD @19
3065.50ns INFO [00003067] * RD COMPARE * port=0 adr=13 act=1C37CC7B exp=1C37CC7B
3065.50ns INFO [00003067] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F
3065.50ns INFO [00003067] Port=0 RD @04
3065.50ns INFO [00003067] Port=1 RD @0E
3066.50ns INFO [00003068] * RD COMPARE * port=0 adr=19 act=E72A09B0 exp=E72A09B0
3067.50ns INFO [00003069] * RD COMPARE * port=0 adr=04 act=4CA7E92D exp=4CA7E92D
3067.50ns INFO [00003069] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
3067.50ns INFO [00003069] Port=0 WR @19=C9290764
3068.50ns INFO [00003070] Port=0 WR @19=90FA2264
3068.50ns INFO [00003070] Port=1 RD @15
3069.50ns INFO [00003071] Port=0 WR @1E=CB23425C
3070.50ns INFO [00003072] * RD COMPARE * port=1 adr=15 act=EA6D7A49 exp=EA6D7A49
3070.50ns INFO [00003072] Port=0 RD @1E
3071.50ns INFO [00003073] Port=0 RD @04
3071.50ns INFO [00003073] Port=1 RD @11
3072.50ns INFO [00003074] * RD COMPARE * port=0 adr=1E act=CB23425C exp=CB23425C
3072.50ns INFO [00003074] Port=0 WR @18=F6F65725
3072.50ns INFO [00003074] Port=0 RD @0B
3073.50ns INFO [00003075] * RD COMPARE * port=0 adr=04 act=4CA7E92D exp=4CA7E92D
3073.50ns INFO [00003075] * RD COMPARE * port=1 adr=11 act=8C5683E8 exp=8C5683E8
3073.50ns INFO [00003075] Port=0 RD @16
3074.50ns INFO [00003076] * RD COMPARE * port=0 adr=0B act=F12661BB exp=F12661BB
3074.50ns INFO [00003076] Port=0 RD @05
3074.50ns INFO [00003076] Port=1 RD @10
3075.50ns INFO [00003077] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9
3075.50ns INFO [00003077] Port=0 WR @0A=AA1D3ADF
3075.50ns INFO [00003077] Port=1 RD @1E
3076.50ns INFO [00003078] * RD COMPARE * port=0 adr=05 act=796385CE exp=796385CE
3076.50ns INFO [00003078] * RD COMPARE * port=1 adr=10 act=D6100B9E exp=D6100B9E
3077.50ns INFO [00003079] * RD COMPARE * port=1 adr=1E act=CB23425C exp=CB23425C
3079.50ns INFO [00003081] Port=0 RD @12
3079.50ns INFO [00003081] Port=1 RD @15
3081.50ns INFO [00003083] * RD COMPARE * port=0 adr=12 act=EBE4CCC1 exp=EBE4CCC1
3081.50ns INFO [00003083] * RD COMPARE * port=1 adr=15 act=EA6D7A49 exp=EA6D7A49
3081.50ns INFO [00003083] Port=0 WR @1F=12B5F74B
3081.50ns INFO [00003083] Port=0 RD @0E
3081.50ns INFO [00003083] Port=1 RD @00
3082.50ns INFO [00003084] Port=0 WR @1B=950A5002
3082.50ns INFO [00003084] Port=0 RD @03
3082.50ns INFO [00003084] Port=1 RD @11
3083.50ns INFO [00003085] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
3083.50ns INFO [00003085] * RD COMPARE * port=1 adr=00 act=E4FC0AE8 exp=E4FC0AE8
3083.50ns INFO [00003085] Port=0 WR @1B=EE6BDA4A
3084.50ns INFO [00003086] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE
3084.50ns INFO [00003086] * RD COMPARE * port=1 adr=11 act=8C5683E8 exp=8C5683E8
3084.50ns INFO [00003086] Port=0 WR @0B=3E2CE166
3085.50ns INFO [00003087] Port=0 WR @12=3BF23ED5
3085.50ns INFO [00003087] Port=1 RD @0E
3086.50ns INFO [00003088] Port=0 WR @1B=4323D1D1
3086.50ns INFO [00003088] Port=1 RD @07
3087.50ns INFO [00003089] * RD COMPARE * port=1 adr=0E act=20A4DBC9 exp=20A4DBC9
3087.50ns INFO [00003089] Port=1 RD @08
3088.50ns INFO [00003090] * RD COMPARE * port=1 adr=07 act=88240F09 exp=88240F09
3089.50ns INFO [00003091] * RD COMPARE * port=1 adr=08 act=C243FC19 exp=C243FC19
3090.50ns INFO [00003092] Port=0 WR @1A=C72FF15B
3090.50ns INFO [00003092] Port=1 RD @04
3092.50ns INFO [00003094] * RD COMPARE * port=1 adr=04 act=4CA7E92D exp=4CA7E92D
3092.50ns INFO [00003094] Port=0 RD @0E
3093.50ns INFO [00003095] Port=0 WR @13=689C4DBB
3094.50ns INFO [00003096] * RD COMPARE * port=0 adr=0E act=20A4DBC9 exp=20A4DBC9
3094.50ns INFO [00003096] Port=0 WR @1C=447A19FD
3095.50ns INFO [00003097] Port=0 WR @18=FB49427C
3095.50ns INFO [00003097] Port=0 RD @12
3095.50ns INFO [00003097] Port=1 RD @01
3096.50ns INFO [00003098] Port=0 WR @05=111A0B2F
3096.50ns INFO [00003098] Port=1 RD @1B
3097.50ns INFO [00003099] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5
3097.50ns INFO [00003099] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78
3098.00ns INFO [00003100] [00003100] ...tick...
3098.50ns INFO [00003100] * RD COMPARE * port=1 adr=1B act=4323D1D1 exp=4323D1D1
3098.50ns INFO [00003100] Port=0 WR @11=868C4912
3098.50ns INFO [00003100] Port=0 RD @0C
3098.50ns INFO [00003100] Port=1 RD @1F
3099.50ns INFO [00003101] Port=0 WR @14=60E8CE5F
3099.50ns INFO [00003101] Port=0 RD @0D
3100.50ns INFO [00003102] * RD COMPARE * port=0 adr=0C act=634D600C exp=634D600C
3100.50ns INFO [00003102] * RD COMPARE * port=1 adr=1F act=12B5F74B exp=12B5F74B
3100.50ns INFO [00003102] Port=0 WR @1B=F51A35BA
3100.50ns INFO [00003102] Port=0 RD @00
3101.50ns INFO [00003103] * RD COMPARE * port=0 adr=0D act=A5A7D9FD exp=A5A7D9FD
3101.50ns INFO [00003103] Port=0 WR @0C=FC15F197
3101.50ns INFO [00003103] Port=1 RD @13
3102.50ns INFO [00003104] * RD COMPARE * port=0 adr=00 act=E4FC0AE8 exp=E4FC0AE8
3102.50ns INFO [00003104] Port=0 WR @07=486E5E23
3103.50ns INFO [00003105] * RD COMPARE * port=1 adr=13 act=689C4DBB exp=689C4DBB
3104.50ns INFO [00003106] Port=0 WR @0A=2B4D54DE
3104.50ns INFO [00003106] Port=0 RD @1E
3105.50ns INFO [00003107] Port=0 WR @05=22B0E0C7
3106.50ns INFO [00003108] * RD COMPARE * port=0 adr=1E act=CB23425C exp=CB23425C
3106.50ns INFO [00003108] Port=0 RD @09
3106.50ns INFO [00003108] Port=1 RD @1D
3107.50ns INFO [00003109] Port=0 WR @00=AF9749A4
3107.50ns INFO [00003109] Port=1 RD @05
3108.50ns INFO [00003110] * RD COMPARE * port=0 adr=09 act=54435FBC exp=54435FBC
3108.50ns INFO [00003110] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F
3108.50ns INFO [00003110] Port=0 RD @0B
3109.50ns INFO [00003111] * RD COMPARE * port=1 adr=05 act=22B0E0C7 exp=22B0E0C7
3109.50ns INFO [00003111] Port=1 RD @13
3110.50ns INFO [00003112] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166
3110.50ns INFO [00003112] Port=0 WR @1E=1A51F1D6
3111.50ns INFO [00003113] * RD COMPARE * port=1 adr=13 act=689C4DBB exp=689C4DBB
3111.50ns INFO [00003113] Port=0 WR @10=E43B71BB
3112.50ns INFO [00003114] Port=0 WR @0C=C4364656
3112.50ns INFO [00003114] Port=0 RD @00
3114.50ns INFO [00003116] * RD COMPARE * port=0 adr=00 act=AF9749A4 exp=AF9749A4
3114.50ns INFO [00003116] Port=0 RD @03
3114.50ns INFO [00003116] Port=1 RD @09
3116.50ns INFO [00003118] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE
3116.50ns INFO [00003118] * RD COMPARE * port=1 adr=09 act=54435FBC exp=54435FBC
3117.50ns INFO [00003119] Port=1 RD @1D
3118.50ns INFO [00003120] Port=0 WR @14=6B036118
3118.50ns INFO [00003120] Port=0 RD @1C
3119.50ns INFO [00003121] * RD COMPARE * port=1 adr=1D act=EB1C396F exp=EB1C396F
3119.50ns INFO [00003121] Port=0 WR @0F=8B307281
3119.50ns INFO [00003121] Port=0 RD @1B
3120.50ns INFO [00003122] * RD COMPARE * port=0 adr=1C act=447A19FD exp=447A19FD
3120.50ns INFO [00003122] Port=0 WR @07=E15BF72F
3120.50ns INFO [00003122] Port=0 RD @1F
3121.50ns INFO [00003123] * RD COMPARE * port=0 adr=1B act=F51A35BA exp=F51A35BA
3121.50ns INFO [00003123] Port=0 RD @15
3122.50ns INFO [00003124] * RD COMPARE * port=0 adr=1F act=12B5F74B exp=12B5F74B
3123.50ns INFO [00003125] * RD COMPARE * port=0 adr=15 act=EA6D7A49 exp=EA6D7A49
3123.50ns INFO [00003125] Port=0 RD @0B
3124.50ns INFO [00003126] Port=0 RD @0A
3125.50ns INFO [00003127] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166
3125.50ns INFO [00003127] Port=0 WR @00=D09983D0
3125.50ns INFO [00003127] Port=0 RD @14
3125.50ns INFO [00003127] Port=1 RD @1E
3126.50ns INFO [00003128] * RD COMPARE * port=0 adr=0A act=2B4D54DE exp=2B4D54DE
3126.50ns INFO [00003128] Port=0 RD @08
3127.50ns INFO [00003129] * RD COMPARE * port=0 adr=14 act=6B036118 exp=6B036118
3127.50ns INFO [00003129] * RD COMPARE * port=1 adr=1E act=1A51F1D6 exp=1A51F1D6
3128.50ns INFO [00003130] * RD COMPARE * port=0 adr=08 act=C243FC19 exp=C243FC19
3128.50ns INFO [00003130] Port=0 WR @06=4DEEE62B
3128.50ns INFO [00003130] Port=0 RD @13
3128.50ns INFO [00003130] Port=1 RD @01
3129.50ns INFO [00003131] Port=0 WR @19=121D46CB
3130.50ns INFO [00003132] * RD COMPARE * port=0 adr=13 act=689C4DBB exp=689C4DBB
3130.50ns INFO [00003132] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78
3130.50ns INFO [00003132] Port=1 RD @0C
3131.50ns INFO [00003133] Port=0 WR @18=75F70506
3131.50ns INFO [00003133] Port=1 RD @02
3132.50ns INFO [00003134] * RD COMPARE * port=1 adr=0C act=C4364656 exp=C4364656
3132.50ns INFO [00003134] Port=0 RD @17
3132.50ns INFO [00003134] Port=1 RD @1F
3133.50ns INFO [00003135] * RD COMPARE * port=1 adr=02 act=8845F26A exp=8845F26A
3133.50ns INFO [00003135] Port=0 RD @0C
3134.50ns INFO [00003136] * RD COMPARE * port=0 adr=17 act=B5E84149 exp=B5E84149
3134.50ns INFO [00003136] * RD COMPARE * port=1 adr=1F act=12B5F74B exp=12B5F74B
3134.50ns INFO [00003136] Port=0 WR @1F=BFD8089F
3135.50ns INFO [00003137] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656
3135.50ns INFO [00003137] Port=0 WR @19=5B4FB3E8
3136.50ns INFO [00003138] Port=0 WR @04=7290D105
3136.50ns INFO [00003138] Port=0 RD @0A
3137.50ns INFO [00003139] Port=1 RD @03
3138.50ns INFO [00003140] * RD COMPARE * port=0 adr=0A act=2B4D54DE exp=2B4D54DE
3138.50ns INFO [00003140] Port=0 RD @1E
3139.50ns INFO [00003141] * RD COMPARE * port=1 adr=03 act=114C8ADE exp=114C8ADE
3139.50ns INFO [00003141] Port=0 RD @16
3140.50ns INFO [00003142] * RD COMPARE * port=0 adr=1E act=1A51F1D6 exp=1A51F1D6
3140.50ns INFO [00003142] Port=0 WR @00=9603078E
3140.50ns INFO [00003142] Port=1 RD @01
3141.50ns INFO [00003143] * RD COMPARE * port=0 adr=16 act=3AD43FC9 exp=3AD43FC9
3141.50ns INFO [00003143] Port=0 WR @1A=3426D75A
3142.50ns INFO [00003144] * RD COMPARE * port=1 adr=01 act=457B0C78 exp=457B0C78
3142.50ns INFO [00003144] Port=1 RD @16
3143.50ns INFO [00003145] Port=0 RD @12
3144.50ns INFO [00003146] * RD COMPARE * port=1 adr=16 act=3AD43FC9 exp=3AD43FC9
3144.50ns INFO [00003146] Port=0 WR @1E=30774CB2
3145.50ns INFO [00003147] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5
3145.50ns INFO [00003147] Port=0 RD @1A
3146.50ns INFO [00003148] Port=0 WR @1C=6024A8F9
3147.50ns INFO [00003149] * RD COMPARE * port=0 adr=1A act=3426D75A exp=3426D75A
3147.50ns INFO [00003149] Port=0 RD @10
3149.50ns INFO [00003151] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB
3149.50ns INFO [00003151] Port=0 RD @02
3149.50ns INFO [00003151] Port=1 RD @18
3150.50ns INFO [00003152] Port=0 WR @13=2E7A7D3B
3151.50ns INFO [00003153] * RD COMPARE * port=0 adr=02 act=8845F26A exp=8845F26A
3151.50ns INFO [00003153] * RD COMPARE * port=1 adr=18 act=75F70506 exp=75F70506
3151.50ns INFO [00003153] Port=1 RD @13
3152.50ns INFO [00003154] Port=0 WR @09=7AA3035B
3153.50ns INFO [00003155] * RD COMPARE * port=1 adr=13 act=2E7A7D3B exp=2E7A7D3B
3154.50ns INFO [00003156] Port=0 WR @1D=CC2D5E72
3154.50ns INFO [00003156] Port=0 RD @07
3155.50ns INFO [00003157] Port=0 RD @05
3155.50ns INFO [00003157] Port=1 RD @02
3156.50ns INFO [00003158] * RD COMPARE * port=0 adr=07 act=E15BF72F exp=E15BF72F
3157.50ns INFO [00003159] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7
3157.50ns INFO [00003159] * RD COMPARE * port=1 adr=02 act=8845F26A exp=8845F26A
3157.50ns INFO [00003159] Port=0 RD @1D
3158.50ns INFO [00003160] Port=0 WR @02=797901E8
3158.50ns INFO [00003160] Port=1 RD @1D
3159.50ns INFO [00003161] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3159.50ns INFO [00003161] Port=0 WR @07=560AE7F9
3159.50ns INFO [00003161] Port=1 RD @00
3160.50ns INFO [00003162] * RD COMPARE * port=1 adr=1D act=CC2D5E72 exp=CC2D5E72
3160.50ns INFO [00003162] Port=0 WR @11=FD254DCD
3160.50ns INFO [00003162] Port=0 RD @12
3161.50ns INFO [00003163] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E
3161.50ns INFO [00003163] Port=0 WR @0E=4FCCFFDF
3162.50ns INFO [00003164] * RD COMPARE * port=0 adr=12 act=3BF23ED5 exp=3BF23ED5
3162.50ns INFO [00003164] Port=0 WR @19=F9E13927
3162.50ns INFO [00003164] Port=0 RD @0C
3162.50ns INFO [00003164] Port=1 RD @00
3163.50ns INFO [00003165] Port=0 WR @17=989F52C8
3164.50ns INFO [00003166] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656
3164.50ns INFO [00003166] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E
3164.50ns INFO [00003166] Port=0 WR @04=41351CD3
3164.50ns INFO [00003166] Port=0 RD @05
3164.50ns INFO [00003166] Port=1 RD @1E
3165.50ns INFO [00003167] Port=0 RD @04
3166.50ns INFO [00003168] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7
3166.50ns INFO [00003168] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2
3166.50ns INFO [00003168] Port=0 WR @15=F1ABBE09
3167.50ns INFO [00003169] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3
3167.50ns INFO [00003169] Port=0 WR @18=4919E7F7
3167.50ns INFO [00003169] Port=1 RD @15
3168.50ns INFO [00003170] Port=0 WR @13=B62FE062
3169.50ns INFO [00003171] * RD COMPARE * port=1 adr=15 act=F1ABBE09 exp=F1ABBE09
3170.50ns INFO [00003172] Port=0 RD @05
3170.50ns INFO [00003172] Port=1 RD @1B
3171.50ns INFO [00003173] Port=0 WR @16=2E994EA0
3172.50ns INFO [00003174] * RD COMPARE * port=0 adr=05 act=22B0E0C7 exp=22B0E0C7
3172.50ns INFO [00003174] * RD COMPARE * port=1 adr=1B act=F51A35BA exp=F51A35BA
3172.50ns INFO [00003174] Port=0 WR @12=9485EAB0
3173.50ns INFO [00003175] Port=0 RD @12
3174.50ns INFO [00003176] Port=0 WR @07=3F9FE50D
3175.50ns INFO [00003177] * RD COMPARE * port=0 adr=12 act=9485EAB0 exp=9485EAB0
3177.50ns INFO [00003179] Port=0 WR @18=619B0010
3177.50ns INFO [00003179] Port=1 RD @02
3178.50ns INFO [00003180] Port=0 RD @06
3178.50ns INFO [00003180] Port=1 RD @17
3179.50ns INFO [00003181] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8
3180.50ns INFO [00003182] * RD COMPARE * port=0 adr=06 act=4DEEE62B exp=4DEEE62B
3180.50ns INFO [00003182] * RD COMPARE * port=1 adr=17 act=989F52C8 exp=989F52C8
3180.50ns INFO [00003182] Port=1 RD @00
3181.50ns INFO [00003183] Port=0 WR @1B=8F8FA02F
3181.50ns INFO [00003183] Port=1 RD @06
3182.50ns INFO [00003184] * RD COMPARE * port=1 adr=00 act=9603078E exp=9603078E
3182.50ns INFO [00003184] Port=0 WR @08=7071A4BC
3182.50ns INFO [00003184] Port=0 RD @03
3183.50ns INFO [00003185] * RD COMPARE * port=1 adr=06 act=4DEEE62B exp=4DEEE62B
3183.50ns INFO [00003185] Port=0 RD @09
3183.50ns INFO [00003185] Port=1 RD @12
3184.50ns INFO [00003186] * RD COMPARE * port=0 adr=03 act=114C8ADE exp=114C8ADE
3184.50ns INFO [00003186] Port=0 RD @0B
3184.50ns INFO [00003186] Port=1 RD @0D
3185.50ns INFO [00003187] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B
3185.50ns INFO [00003187] * RD COMPARE * port=1 adr=12 act=9485EAB0 exp=9485EAB0
3185.50ns INFO [00003187] Port=0 WR @18=FB14238A
3185.50ns INFO [00003187] Port=1 RD @1B
3186.50ns INFO [00003188] * RD COMPARE * port=0 adr=0B act=3E2CE166 exp=3E2CE166
3186.50ns INFO [00003188] * RD COMPARE * port=1 adr=0D act=A5A7D9FD exp=A5A7D9FD
3187.50ns INFO [00003189] * RD COMPARE * port=1 adr=1B act=8F8FA02F exp=8F8FA02F
3187.50ns INFO [00003189] Port=0 WR @06=E368C0DF
3187.50ns INFO [00003189] Port=0 RD @15
3188.50ns INFO [00003190] Port=0 RD @10
3189.50ns INFO [00003191] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09
3190.50ns INFO [00003192] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB
3190.50ns INFO [00003192] Port=0 WR @14=7BB953F8
3190.50ns INFO [00003192] Port=1 RD @19
3192.50ns INFO [00003194] * RD COMPARE * port=1 adr=19 act=F9E13927 exp=F9E13927
3194.50ns INFO [00003196] Port=0 RD @18
3196.50ns INFO [00003198] * RD COMPARE * port=0 adr=18 act=FB14238A exp=FB14238A
3197.50ns INFO [00003199] Port=0 WR @01=73461545
3197.50ns INFO [00003199] Port=0 RD @0C
3198.00ns INFO [00003200] [00003200] ...tick...
3199.50ns INFO [00003201] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656
3201.50ns INFO [00003203] Port=0 RD @1C
3201.50ns INFO [00003203] Port=1 RD @15
3202.50ns INFO [00003204] Port=0 WR @1A=B58B70A8
3202.50ns INFO [00003204] Port=1 RD @18
3203.50ns INFO [00003205] * RD COMPARE * port=0 adr=1C act=6024A8F9 exp=6024A8F9
3203.50ns INFO [00003205] * RD COMPARE * port=1 adr=15 act=F1ABBE09 exp=F1ABBE09
3204.50ns INFO [00003206] * RD COMPARE * port=1 adr=18 act=FB14238A exp=FB14238A
3204.50ns INFO [00003206] Port=0 RD @18
3206.50ns INFO [00003208] * RD COMPARE * port=0 adr=18 act=FB14238A exp=FB14238A
3206.50ns INFO [00003208] Port=0 WR @12=40B16722
3206.50ns INFO [00003208] Port=1 RD @1E
3207.50ns INFO [00003209] Port=0 RD @0D
3208.50ns INFO [00003210] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2
3208.50ns INFO [00003210] Port=0 WR @0B=C9D1D17D
3208.50ns INFO [00003210] Port=0 RD @01
3208.50ns INFO [00003210] Port=1 RD @1A
3209.50ns INFO [00003211] * RD COMPARE * port=0 adr=0D act=A5A7D9FD exp=A5A7D9FD
3209.50ns INFO [00003211] Port=0 WR @1F=058A7BE5
3209.50ns INFO [00003211] Port=1 RD @12
3210.50ns INFO [00003212] * RD COMPARE * port=0 adr=01 act=73461545 exp=73461545
3210.50ns INFO [00003212] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8
3210.50ns INFO [00003212] Port=1 RD @17
3211.50ns INFO [00003213] * RD COMPARE * port=1 adr=12 act=40B16722 exp=40B16722
3211.50ns INFO [00003213] Port=1 RD @11
3212.50ns INFO [00003214] * RD COMPARE * port=1 adr=17 act=989F52C8 exp=989F52C8
3212.50ns INFO [00003214] Port=0 RD @08
3213.50ns INFO [00003215] * RD COMPARE * port=1 adr=11 act=FD254DCD exp=FD254DCD
3213.50ns INFO [00003215] Port=0 WR @18=9BD209C8
3213.50ns INFO [00003215] Port=1 RD @1E
3214.50ns INFO [00003216] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3214.50ns INFO [00003216] Port=0 WR @1E=3C292DEF
3214.50ns INFO [00003216] Port=1 RD @11
3215.50ns INFO [00003217] * RD COMPARE * port=1 adr=1E act=30774CB2 exp=30774CB2
3215.50ns INFO [00003217] Port=0 RD @09
3216.50ns INFO [00003218] * RD COMPARE * port=1 adr=11 act=FD254DCD exp=FD254DCD
3217.50ns INFO [00003219] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B
3217.50ns INFO [00003219] Port=0 WR @00=CE79D022
3218.50ns INFO [00003220] Port=0 WR @19=04E1760E
3218.50ns INFO [00003220] Port=0 RD @09
3219.50ns INFO [00003221] Port=1 RD @05
3220.50ns INFO [00003222] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B
3220.50ns INFO [00003222] Port=0 WR @11=8659AB95
3221.50ns INFO [00003223] * RD COMPARE * port=1 adr=05 act=22B0E0C7 exp=22B0E0C7
3221.50ns INFO [00003223] Port=0 WR @00=C048A7DA
3221.50ns INFO [00003223] Port=1 RD @1C
3222.50ns INFO [00003224] Port=0 WR @11=CB9B515A
3222.50ns INFO [00003224] Port=0 RD @0F
3223.50ns INFO [00003225] * RD COMPARE * port=1 adr=1C act=6024A8F9 exp=6024A8F9
3224.50ns INFO [00003226] * RD COMPARE * port=0 adr=0F act=8B307281 exp=8B307281
3224.50ns INFO [00003226] Port=0 WR @1F=158AB5A2
3224.50ns INFO [00003226] Port=1 RD @1B
3225.50ns INFO [00003227] Port=0 WR @06=7E1A7408
3225.50ns INFO [00003227] Port=0 RD @1B
3226.50ns INFO [00003228] * RD COMPARE * port=1 adr=1B act=8F8FA02F exp=8F8FA02F
3226.50ns INFO [00003228] Port=0 WR @0E=7AE5DFC9
3226.50ns INFO [00003228] Port=0 RD @1B
3227.50ns INFO [00003229] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F
3228.50ns INFO [00003230] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F
3228.50ns INFO [00003230] Port=0 WR @0F=248F6D45
3228.50ns INFO [00003230] Port=0 RD @07
3229.50ns INFO [00003231] Port=1 RD @11
3230.50ns INFO [00003232] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D
3230.50ns INFO [00003232] Port=0 WR @0D=9930617C
3230.50ns INFO [00003232] Port=0 RD @15
3231.50ns INFO [00003233] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A
3231.50ns INFO [00003233] Port=0 RD @15
3232.50ns INFO [00003234] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09
3232.50ns INFO [00003234] Port=1 RD @02
3233.50ns INFO [00003235] * RD COMPARE * port=0 adr=15 act=F1ABBE09 exp=F1ABBE09
3233.50ns INFO [00003235] Port=1 RD @0B
3234.50ns INFO [00003236] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8
3234.50ns INFO [00003236] Port=0 WR @0F=942C88FE
3234.50ns INFO [00003236] Port=0 RD @08
3234.50ns INFO [00003236] Port=1 RD @07
3235.50ns INFO [00003237] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3235.50ns INFO [00003237] Port=0 WR @03=00E219D7
3235.50ns INFO [00003237] Port=0 RD @0D
3236.50ns INFO [00003238] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3236.50ns INFO [00003238] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D
3236.50ns INFO [00003238] Port=0 WR @01=DE0705DD
3236.50ns INFO [00003238] Port=1 RD @02
3237.50ns INFO [00003239] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C
3237.50ns INFO [00003239] Port=0 WR @16=ACC713FF
3237.50ns INFO [00003239] Port=0 RD @07
3237.50ns INFO [00003239] Port=1 RD @08
3238.50ns INFO [00003240] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8
3238.50ns INFO [00003240] Port=0 RD @1D
3238.50ns INFO [00003240] Port=1 RD @13
3239.50ns INFO [00003241] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D
3239.50ns INFO [00003241] * RD COMPARE * port=1 adr=08 act=7071A4BC exp=7071A4BC
3239.50ns INFO [00003241] Port=0 WR @1F=524F063E
3240.50ns INFO [00003242] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3240.50ns INFO [00003242] * RD COMPARE * port=1 adr=13 act=B62FE062 exp=B62FE062
3240.50ns INFO [00003242] Port=0 WR @15=4C0FABC6
3242.50ns INFO [00003244] Port=0 RD @1B
3243.50ns INFO [00003245] Port=0 WR @18=EBEABD47
3244.50ns INFO [00003246] * RD COMPARE * port=0 adr=1B act=8F8FA02F exp=8F8FA02F
3245.50ns INFO [00003247] Port=0 WR @0F=A375697C
3245.50ns INFO [00003247] Port=0 RD @0D
3245.50ns INFO [00003247] Port=1 RD @1A
3246.50ns INFO [00003248] Port=0 RD @10
3247.50ns INFO [00003249] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C
3247.50ns INFO [00003249] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8
3248.50ns INFO [00003250] * RD COMPARE * port=0 adr=10 act=E43B71BB exp=E43B71BB
3248.50ns INFO [00003250] Port=0 RD @1D
3248.50ns INFO [00003250] Port=1 RD @1A
3249.50ns INFO [00003251] Port=0 WR @0F=F4908796
3249.50ns INFO [00003251] Port=0 RD @03
3250.50ns INFO [00003252] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3250.50ns INFO [00003252] * RD COMPARE * port=1 adr=1A act=B58B70A8 exp=B58B70A8
3250.50ns INFO [00003252] Port=0 RD @00
3251.50ns INFO [00003253] * RD COMPARE * port=0 adr=03 act=00E219D7 exp=00E219D7
3252.50ns INFO [00003254] * RD COMPARE * port=0 adr=00 act=C048A7DA exp=C048A7DA
3252.50ns INFO [00003254] Port=0 WR @1C=A4099933
3252.50ns INFO [00003254] Port=0 RD @17
3252.50ns INFO [00003254] Port=1 RD @06
3254.50ns INFO [00003256] * RD COMPARE * port=0 adr=17 act=989F52C8 exp=989F52C8
3254.50ns INFO [00003256] * RD COMPARE * port=1 adr=06 act=7E1A7408 exp=7E1A7408
3254.50ns INFO [00003256] Port=0 WR @0E=885FE84A
3254.50ns INFO [00003256] Port=0 RD @04
3254.50ns INFO [00003256] Port=1 RD @1D
3255.50ns INFO [00003257] Port=0 WR @1B=6970F974
3256.50ns INFO [00003258] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3
3256.50ns INFO [00003258] * RD COMPARE * port=1 adr=1D act=CC2D5E72 exp=CC2D5E72
3259.50ns INFO [00003261] Port=0 WR @0A=BECC1130
3259.50ns INFO [00003261] Port=1 RD @19
3260.50ns INFO [00003262] Port=1 RD @1E
3261.50ns INFO [00003263] * RD COMPARE * port=1 adr=19 act=04E1760E exp=04E1760E
3261.50ns INFO [00003263] Port=0 WR @14=57A1B11B
3261.50ns INFO [00003263] Port=1 RD @1F
3262.50ns INFO [00003264] * RD COMPARE * port=1 adr=1E act=3C292DEF exp=3C292DEF
3262.50ns INFO [00003264] Port=0 WR @00=78A9C6A8
3262.50ns INFO [00003264] Port=0 RD @16
3262.50ns INFO [00003264] Port=1 RD @11
3263.50ns INFO [00003265] * RD COMPARE * port=1 adr=1F act=524F063E exp=524F063E
3263.50ns INFO [00003265] Port=0 WR @1A=8A1DC57B
3264.50ns INFO [00003266] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF
3264.50ns INFO [00003266] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A
3264.50ns INFO [00003266] Port=0 RD @16
3266.50ns INFO [00003268] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF
3266.50ns INFO [00003268] Port=0 RD @12
3266.50ns INFO [00003268] Port=1 RD @0A
3268.50ns INFO [00003270] * RD COMPARE * port=0 adr=12 act=40B16722 exp=40B16722
3268.50ns INFO [00003270] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130
3268.50ns INFO [00003270] Port=1 RD @00
3269.50ns INFO [00003271] Port=0 RD @0C
3270.50ns INFO [00003272] * RD COMPARE * port=1 adr=00 act=78A9C6A8 exp=78A9C6A8
3270.50ns INFO [00003272] Port=0 WR @10=A08AC650
3270.50ns INFO [00003272] Port=0 RD @08
3270.50ns INFO [00003272] Port=1 RD @0C
3271.50ns INFO [00003273] * RD COMPARE * port=0 adr=0C act=C4364656 exp=C4364656
3271.50ns INFO [00003273] Port=0 RD @06
3271.50ns INFO [00003273] Port=1 RD @16
3272.50ns INFO [00003274] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3272.50ns INFO [00003274] * RD COMPARE * port=1 adr=0C act=C4364656 exp=C4364656
3272.50ns INFO [00003274] Port=0 WR @1A=E77C0C74
3273.50ns INFO [00003275] * RD COMPARE * port=0 adr=06 act=7E1A7408 exp=7E1A7408
3273.50ns INFO [00003275] * RD COMPARE * port=1 adr=16 act=ACC713FF exp=ACC713FF
3273.50ns INFO [00003275] Port=1 RD @19
3275.50ns INFO [00003277] * RD COMPARE * port=1 adr=19 act=04E1760E exp=04E1760E
3275.50ns INFO [00003277] Port=0 RD @15
3276.50ns INFO [00003278] Port=0 RD @1E
3277.50ns INFO [00003279] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6
3278.50ns INFO [00003280] * RD COMPARE * port=0 adr=1E act=3C292DEF exp=3C292DEF
3278.50ns INFO [00003280] Port=0 WR @13=0B3A09B8
3278.50ns INFO [00003280] Port=0 RD @18
3279.50ns INFO [00003281] Port=0 WR @05=0EB9BE57
3280.50ns INFO [00003282] * RD COMPARE * port=0 adr=18 act=EBEABD47 exp=EBEABD47
3280.50ns INFO [00003282] Port=0 RD @05
3281.50ns INFO [00003283] Port=0 RD @09
3282.50ns INFO [00003284] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57
3282.50ns INFO [00003284] Port=0 WR @01=1640D048
3282.50ns INFO [00003284] Port=0 RD @0D
3282.50ns INFO [00003284] Port=1 RD @1A
3283.50ns INFO [00003285] * RD COMPARE * port=0 adr=09 act=7AA3035B exp=7AA3035B
3283.50ns INFO [00003285] Port=0 RD @12
3284.50ns INFO [00003286] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C
3284.50ns INFO [00003286] * RD COMPARE * port=1 adr=1A act=E77C0C74 exp=E77C0C74
3284.50ns INFO [00003286] Port=0 WR @17=99D627B2
3284.50ns INFO [00003286] Port=0 RD @10
3285.50ns INFO [00003287] * RD COMPARE * port=0 adr=12 act=40B16722 exp=40B16722
3285.50ns INFO [00003287] Port=0 WR @0C=4FAFC0CD
3285.50ns INFO [00003287] Port=1 RD @14
3286.50ns INFO [00003288] * RD COMPARE * port=0 adr=10 act=A08AC650 exp=A08AC650
3286.50ns INFO [00003288] Port=0 WR @1F=1DCD0CFF
3286.50ns INFO [00003288] Port=0 RD @1D
3286.50ns INFO [00003288] Port=1 RD @00
3287.50ns INFO [00003289] * RD COMPARE * port=1 adr=14 act=57A1B11B exp=57A1B11B
3287.50ns INFO [00003289] Port=0 RD @0C
3287.50ns INFO [00003289] Port=1 RD @02
3288.50ns INFO [00003290] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3288.50ns INFO [00003290] * RD COMPARE * port=1 adr=00 act=78A9C6A8 exp=78A9C6A8
3289.50ns INFO [00003291] * RD COMPARE * port=0 adr=0C act=4FAFC0CD exp=4FAFC0CD
3289.50ns INFO [00003291] * RD COMPARE * port=1 adr=02 act=797901E8 exp=797901E8
3289.50ns INFO [00003291] Port=1 RD @0C
3291.50ns INFO [00003293] * RD COMPARE * port=1 adr=0C act=4FAFC0CD exp=4FAFC0CD
3291.50ns INFO [00003293] Port=0 WR @02=1E16498E
3292.50ns INFO [00003294] Port=0 RD @00
3292.50ns INFO [00003294] Port=1 RD @1C
3293.50ns INFO [00003295] Port=1 RD @03
3294.50ns INFO [00003296] * RD COMPARE * port=0 adr=00 act=78A9C6A8 exp=78A9C6A8
3294.50ns INFO [00003296] * RD COMPARE * port=1 adr=1C act=A4099933 exp=A4099933
3294.50ns INFO [00003296] Port=0 WR @18=B102DC6F
3294.50ns INFO [00003296] Port=0 RD @1D
3295.50ns INFO [00003297] * RD COMPARE * port=1 adr=03 act=00E219D7 exp=00E219D7
3295.50ns INFO [00003297] Port=0 RD @1D
3296.50ns INFO [00003298] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3296.50ns INFO [00003298] Port=0 WR @17=0EB229D1
3296.50ns INFO [00003298] Port=0 RD @15
3296.50ns INFO [00003298] Port=1 RD @07
3297.50ns INFO [00003299] * RD COMPARE * port=0 adr=1D act=CC2D5E72 exp=CC2D5E72
3297.50ns INFO [00003299] Port=0 WR @17=268AFE41
3297.50ns INFO [00003299] Port=0 RD @15
3298.00ns INFO [00003300] [00003300] ...tick...
3298.50ns INFO [00003300] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6
3298.50ns INFO [00003300] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D
3299.50ns INFO [00003301] * RD COMPARE * port=0 adr=15 act=4C0FABC6 exp=4C0FABC6
3299.50ns INFO [00003301] Port=0 RD @04
3300.50ns INFO [00003302] Port=0 WR @13=0EFE8CDD
3301.50ns INFO [00003303] * RD COMPARE * port=0 adr=04 act=41351CD3 exp=41351CD3
3301.50ns INFO [00003303] Port=0 WR @19=B5948EF6
3301.50ns INFO [00003303] Port=0 RD @08
3302.50ns INFO [00003304] Port=1 RD @11
3303.50ns INFO [00003305] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3303.50ns INFO [00003305] Port=0 RD @01
3303.50ns INFO [00003305] Port=1 RD @04
3304.50ns INFO [00003306] * RD COMPARE * port=1 adr=11 act=CB9B515A exp=CB9B515A
3304.50ns INFO [00003306] Port=0 WR @01=20255232
3305.50ns INFO [00003307] * RD COMPARE * port=0 adr=01 act=1640D048 exp=1640D048
3305.50ns INFO [00003307] * RD COMPARE * port=1 adr=04 act=41351CD3 exp=41351CD3
3305.50ns INFO [00003307] Port=0 WR @1D=41A439B1
3305.50ns INFO [00003307] Port=0 RD @00
3306.50ns INFO [00003308] Port=0 WR @02=8BF2CD34
3307.50ns INFO [00003309] * RD COMPARE * port=0 adr=00 act=78A9C6A8 exp=78A9C6A8
3307.50ns INFO [00003309] Port=0 WR @00=611D1058
3307.50ns INFO [00003309] Port=0 RD @1D
3308.50ns INFO [00003310] Port=0 RD @01
3308.50ns INFO [00003310] Port=1 RD @00
3309.50ns INFO [00003311] * RD COMPARE * port=0 adr=1D act=41A439B1 exp=41A439B1
3309.50ns INFO [00003311] Port=0 RD @0E
3310.50ns INFO [00003312] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232
3310.50ns INFO [00003312] * RD COMPARE * port=1 adr=00 act=611D1058 exp=611D1058
3310.50ns INFO [00003312] Port=0 RD @08
3311.50ns INFO [00003313] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3311.50ns INFO [00003313] Port=0 RD @16
3312.50ns INFO [00003314] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3313.50ns INFO [00003315] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF
3313.50ns INFO [00003315] Port=1 RD @06
3314.50ns INFO [00003316] Port=0 WR @12=3C4C9DFD
3315.50ns INFO [00003317] * RD COMPARE * port=1 adr=06 act=7E1A7408 exp=7E1A7408
3316.50ns INFO [00003318] Port=1 RD @0E
3317.50ns INFO [00003319] Port=0 WR @06=3BB46B66
3318.50ns INFO [00003320] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A
3318.50ns INFO [00003320] Port=0 WR @1E=9C2CAD78
3318.50ns INFO [00003320] Port=0 RD @16
3319.50ns INFO [00003321] Port=0 WR @1E=20936673
3319.50ns INFO [00003321] Port=0 RD @1B
3320.50ns INFO [00003322] * RD COMPARE * port=0 adr=16 act=ACC713FF exp=ACC713FF
3320.50ns INFO [00003322] Port=0 WR @11=3A3D720D
3320.50ns INFO [00003322] Port=0 RD @05
3321.50ns INFO [00003323] * RD COMPARE * port=0 adr=1B act=6970F974 exp=6970F974
3321.50ns INFO [00003323] Port=0 RD @1F
3322.50ns INFO [00003324] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57
3322.50ns INFO [00003324] Port=0 WR @03=E14A2E37
3322.50ns INFO [00003324] Port=0 RD @0E
3322.50ns INFO [00003324] Port=1 RD @0E
3323.50ns INFO [00003325] * RD COMPARE * port=0 adr=1F act=1DCD0CFF exp=1DCD0CFF
3323.50ns INFO [00003325] Port=0 WR @02=93587F6F
3323.50ns INFO [00003325] Port=0 RD @11
3323.50ns INFO [00003325] Port=1 RD @1F
3324.50ns INFO [00003326] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3324.50ns INFO [00003326] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A
3324.50ns INFO [00003326] Port=0 WR @09=B57F0904
3324.50ns INFO [00003326] Port=1 RD @13
3325.50ns INFO [00003327] * RD COMPARE * port=0 adr=11 act=3A3D720D exp=3A3D720D
3325.50ns INFO [00003327] * RD COMPARE * port=1 adr=1F act=1DCD0CFF exp=1DCD0CFF
3325.50ns INFO [00003327] Port=1 RD @1D
3326.50ns INFO [00003328] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD
3326.50ns INFO [00003328] Port=1 RD @05
3327.50ns INFO [00003329] * RD COMPARE * port=1 adr=1D act=41A439B1 exp=41A439B1
3327.50ns INFO [00003329] Port=1 RD @14
3328.50ns INFO [00003330] * RD COMPARE * port=1 adr=05 act=0EB9BE57 exp=0EB9BE57
3328.50ns INFO [00003330] Port=0 RD @0F
3329.50ns INFO [00003331] * RD COMPARE * port=1 adr=14 act=57A1B11B exp=57A1B11B
3329.50ns INFO [00003331] Port=1 RD @0C
3330.50ns INFO [00003332] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796
3330.50ns INFO [00003332] Port=0 WR @16=89FF0E63
3330.50ns INFO [00003332] Port=0 RD @0D
3331.50ns INFO [00003333] * RD COMPARE * port=1 adr=0C act=4FAFC0CD exp=4FAFC0CD
3331.50ns INFO [00003333] Port=1 RD @18
3332.50ns INFO [00003334] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C
3333.50ns INFO [00003335] * RD COMPARE * port=1 adr=18 act=B102DC6F exp=B102DC6F
3333.50ns INFO [00003335] Port=0 RD @05
3333.50ns INFO [00003335] Port=1 RD @12
3334.50ns INFO [00003336] Port=0 WR @15=01DF2ABD
3334.50ns INFO [00003336] Port=0 RD @1A
3335.50ns INFO [00003337] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57
3335.50ns INFO [00003337] * RD COMPARE * port=1 adr=12 act=3C4C9DFD exp=3C4C9DFD
3335.50ns INFO [00003337] Port=0 RD @1D
3335.50ns INFO [00003337] Port=1 RD @0B
3336.50ns INFO [00003338] * RD COMPARE * port=0 adr=1A act=E77C0C74 exp=E77C0C74
3336.50ns INFO [00003338] Port=0 RD @00
3336.50ns INFO [00003338] Port=1 RD @04
3337.50ns INFO [00003339] * RD COMPARE * port=0 adr=1D act=41A439B1 exp=41A439B1
3337.50ns INFO [00003339] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3337.50ns INFO [00003339] Port=0 RD @11
3338.50ns INFO [00003340] * RD COMPARE * port=0 adr=00 act=611D1058 exp=611D1058
3338.50ns INFO [00003340] * RD COMPARE * port=1 adr=04 act=41351CD3 exp=41351CD3
3338.50ns INFO [00003340] Port=0 WR @06=3026EF45
3338.50ns INFO [00003340] Port=1 RD @19
3339.50ns INFO [00003341] * RD COMPARE * port=0 adr=11 act=3A3D720D exp=3A3D720D
3339.50ns INFO [00003341] Port=1 RD @0B
3340.50ns INFO [00003342] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6
3341.50ns INFO [00003343] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3343.50ns INFO [00003345] Port=0 WR @11=D57D5788
3344.50ns INFO [00003346] Port=0 WR @12=8213010E
3344.50ns INFO [00003346] Port=0 RD @11
3344.50ns INFO [00003346] Port=1 RD @0E
3345.50ns INFO [00003347] Port=1 RD @13
3346.50ns INFO [00003348] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3346.50ns INFO [00003348] * RD COMPARE * port=1 adr=0E act=885FE84A exp=885FE84A
3346.50ns INFO [00003348] Port=0 WR @1D=4F7189D1
3346.50ns INFO [00003348] Port=1 RD @1C
3347.50ns INFO [00003349] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD
3347.50ns INFO [00003349] Port=0 WR @1A=1419024E
3347.50ns INFO [00003349] Port=0 RD @03
3348.50ns INFO [00003350] * RD COMPARE * port=1 adr=1C act=A4099933 exp=A4099933
3348.50ns INFO [00003350] Port=0 RD @0D
3348.50ns INFO [00003350] Port=1 RD @03
3349.50ns INFO [00003351] * RD COMPARE * port=0 adr=03 act=E14A2E37 exp=E14A2E37
3349.50ns INFO [00003351] Port=0 WR @0D=EEC1225F
3350.50ns INFO [00003352] * RD COMPARE * port=0 adr=0D act=9930617C exp=9930617C
3350.50ns INFO [00003352] * RD COMPARE * port=1 adr=03 act=E14A2E37 exp=E14A2E37
3350.50ns INFO [00003352] Port=1 RD @16
3351.50ns INFO [00003353] Port=0 WR @10=4D30AFFE
3352.50ns INFO [00003354] * RD COMPARE * port=1 adr=16 act=89FF0E63 exp=89FF0E63
3353.50ns INFO [00003355] Port=0 WR @03=7EE35172
3353.50ns INFO [00003355] Port=0 RD @07
3353.50ns INFO [00003355] Port=1 RD @13
3354.50ns INFO [00003356] Port=0 RD @0F
3354.50ns INFO [00003356] Port=1 RD @19
3355.50ns INFO [00003357] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D
3355.50ns INFO [00003357] * RD COMPARE * port=1 adr=13 act=0EFE8CDD exp=0EFE8CDD
3356.50ns INFO [00003358] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796
3356.50ns INFO [00003358] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6
3356.50ns INFO [00003358] Port=0 WR @1E=23EF9BE3
3358.50ns INFO [00003360] Port=0 WR @1D=7F60D642
3359.50ns INFO [00003361] Port=0 WR @02=BC3D2A47
3359.50ns INFO [00003361] Port=0 RD @0B
3360.50ns INFO [00003362] Port=0 RD @13
3360.50ns INFO [00003362] Port=1 RD @0A
3361.50ns INFO [00003363] * RD COMPARE * port=0 adr=0B act=C9D1D17D exp=C9D1D17D
3361.50ns INFO [00003363] Port=0 RD @0E
3362.50ns INFO [00003364] * RD COMPARE * port=0 adr=13 act=0EFE8CDD exp=0EFE8CDD
3362.50ns INFO [00003364] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130
3362.50ns INFO [00003364] Port=1 RD @0A
3363.50ns INFO [00003365] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3363.50ns INFO [00003365] Port=0 WR @04=69AAEFCC
3363.50ns INFO [00003365] Port=0 RD @1A
3364.50ns INFO [00003366] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130
3364.50ns INFO [00003366] Port=0 WR @14=F5109462
3364.50ns INFO [00003366] Port=1 RD @10
3365.50ns INFO [00003367] * RD COMPARE * port=0 adr=1A act=1419024E exp=1419024E
3365.50ns INFO [00003367] Port=0 RD @0F
3366.50ns INFO [00003368] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE
3366.50ns INFO [00003368] Port=0 WR @09=7AF9AFCC
3366.50ns INFO [00003368] Port=0 RD @15
3367.50ns INFO [00003369] * RD COMPARE * port=0 adr=0F act=F4908796 exp=F4908796
3367.50ns INFO [00003369] Port=0 WR @1B=A3AC37D7
3367.50ns INFO [00003369] Port=0 RD @08
3367.50ns INFO [00003369] Port=1 RD @0A
3368.50ns INFO [00003370] * RD COMPARE * port=0 adr=15 act=01DF2ABD exp=01DF2ABD
3368.50ns INFO [00003370] Port=1 RD @06
3369.50ns INFO [00003371] * RD COMPARE * port=0 adr=08 act=7071A4BC exp=7071A4BC
3369.50ns INFO [00003371] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130
3369.50ns INFO [00003371] Port=0 WR @08=A00FE5C4
3369.50ns INFO [00003371] Port=0 RD @10
3369.50ns INFO [00003371] Port=1 RD @00
3370.50ns INFO [00003372] * RD COMPARE * port=1 adr=06 act=3026EF45 exp=3026EF45
3370.50ns INFO [00003372] Port=0 RD @00
3371.50ns INFO [00003373] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE
3371.50ns INFO [00003373] * RD COMPARE * port=1 adr=00 act=611D1058 exp=611D1058
3371.50ns INFO [00003373] Port=1 RD @1B
3372.50ns INFO [00003374] * RD COMPARE * port=0 adr=00 act=611D1058 exp=611D1058
3372.50ns INFO [00003374] Port=1 RD @09
3373.50ns INFO [00003375] * RD COMPARE * port=1 adr=1B act=A3AC37D7 exp=A3AC37D7
3373.50ns INFO [00003375] Port=0 WR @13=9E8396E6
3373.50ns INFO [00003375] Port=0 RD @19
3374.50ns INFO [00003376] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC
3374.50ns INFO [00003376] Port=0 WR @1F=0F2DCA33
3374.50ns INFO [00003376] Port=0 RD @0E
3374.50ns INFO [00003376] Port=1 RD @02
3375.50ns INFO [00003377] * RD COMPARE * port=0 adr=19 act=B5948EF6 exp=B5948EF6
3375.50ns INFO [00003377] Port=0 WR @02=00FB3B2A
3376.50ns INFO [00003378] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3376.50ns INFO [00003378] * RD COMPARE * port=1 adr=02 act=BC3D2A47 exp=BC3D2A47
3377.50ns INFO [00003379] Port=0 RD @1B
3379.50ns INFO [00003381] * RD COMPARE * port=0 adr=1B act=A3AC37D7 exp=A3AC37D7
3379.50ns INFO [00003381] Port=0 WR @18=4BDCEDED
3379.50ns INFO [00003381] Port=0 RD @01
3380.50ns INFO [00003382] Port=0 WR @18=6F6DF12B
3380.50ns INFO [00003382] Port=0 RD @14
3380.50ns INFO [00003382] Port=1 RD @04
3381.50ns INFO [00003383] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232
3381.50ns INFO [00003383] Port=0 RD @18
3382.50ns INFO [00003384] * RD COMPARE * port=0 adr=14 act=F5109462 exp=F5109462
3382.50ns INFO [00003384] * RD COMPARE * port=1 adr=04 act=69AAEFCC exp=69AAEFCC
3382.50ns INFO [00003384] Port=0 WR @04=0A45F780
3383.50ns INFO [00003385] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B
3383.50ns INFO [00003385] Port=0 WR @17=BF31F7A8
3383.50ns INFO [00003385] Port=0 RD @0C
3383.50ns INFO [00003385] Port=1 RD @10
3385.50ns INFO [00003387] * RD COMPARE * port=0 adr=0C act=4FAFC0CD exp=4FAFC0CD
3385.50ns INFO [00003387] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE
3385.50ns INFO [00003387] Port=0 RD @09
3385.50ns INFO [00003387] Port=1 RD @19
3386.50ns INFO [00003388] Port=0 WR @17=94779861
3386.50ns INFO [00003388] Port=0 RD @18
3386.50ns INFO [00003388] Port=1 RD @16
3387.50ns INFO [00003389] * RD COMPARE * port=0 adr=09 act=7AF9AFCC exp=7AF9AFCC
3387.50ns INFO [00003389] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6
3387.50ns INFO [00003389] Port=0 WR @06=92C394FE
3387.50ns INFO [00003389] Port=0 RD @03
3388.50ns INFO [00003390] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B
3388.50ns INFO [00003390] * RD COMPARE * port=1 adr=16 act=89FF0E63 exp=89FF0E63
3388.50ns INFO [00003390] Port=0 WR @17=0A3E5428
3388.50ns INFO [00003390] Port=1 RD @03
3389.50ns INFO [00003391] * RD COMPARE * port=0 adr=03 act=7EE35172 exp=7EE35172
3389.50ns INFO [00003391] Port=0 WR @1D=9E3F1429
3389.50ns INFO [00003391] Port=1 RD @1F
3390.50ns INFO [00003392] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172
3390.50ns INFO [00003392] Port=1 RD @13
3391.50ns INFO [00003393] * RD COMPARE * port=1 adr=1F act=0F2DCA33 exp=0F2DCA33
3391.50ns INFO [00003393] Port=0 WR @0C=89F9DE31
3391.50ns INFO [00003393] Port=1 RD @04
3392.50ns INFO [00003394] * RD COMPARE * port=1 adr=13 act=9E8396E6 exp=9E8396E6
3392.50ns INFO [00003394] Port=0 WR @00=4FD20BDD
3392.50ns INFO [00003394] Port=0 RD @04
3392.50ns INFO [00003394] Port=1 RD @01
3393.50ns INFO [00003395] * RD COMPARE * port=1 adr=04 act=0A45F780 exp=0A45F780
3393.50ns INFO [00003395] Port=1 RD @03
3394.50ns INFO [00003396] * RD COMPARE * port=0 adr=04 act=0A45F780 exp=0A45F780
3394.50ns INFO [00003396] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232
3394.50ns INFO [00003396] Port=0 WR @0C=60130B62
3395.50ns INFO [00003397] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172
3395.50ns INFO [00003397] Port=0 WR @14=437F477B
3396.50ns INFO [00003398] Port=1 RD @03
3397.50ns INFO [00003399] Port=0 WR @03=1B647EB8
3397.50ns INFO [00003399] Port=1 RD @0C
3398.00ns INFO [00003400] [00003400] ...tick...
3398.50ns INFO [00003400] * RD COMPARE * port=1 adr=03 act=7EE35172 exp=7EE35172
3398.50ns INFO [00003400] Port=0 WR @02=147FF499
3398.50ns INFO [00003400] Port=0 RD @18
3399.50ns INFO [00003401] * RD COMPARE * port=1 adr=0C act=60130B62 exp=60130B62
3399.50ns INFO [00003401] Port=0 WR @0D=870473E1
3400.50ns INFO [00003402] * RD COMPARE * port=0 adr=18 act=6F6DF12B exp=6F6DF12B
3401.50ns INFO [00003403] Port=1 RD @1A
3402.50ns INFO [00003404] Port=1 RD @00
3403.50ns INFO [00003405] * RD COMPARE * port=1 adr=1A act=1419024E exp=1419024E
3403.50ns INFO [00003405] Port=0 WR @1A=34487348
3404.50ns INFO [00003406] * RD COMPARE * port=1 adr=00 act=4FD20BDD exp=4FD20BDD
3404.50ns INFO [00003406] Port=1 RD @0C
3405.50ns INFO [00003407] Port=0 RD @10
3406.50ns INFO [00003408] * RD COMPARE * port=1 adr=0C act=60130B62 exp=60130B62
3406.50ns INFO [00003408] Port=0 WR @1F=94DBFACA
3407.50ns INFO [00003409] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE
3408.50ns INFO [00003410] Port=0 RD @01
3408.50ns INFO [00003410] Port=1 RD @11
3410.50ns INFO [00003412] * RD COMPARE * port=0 adr=01 act=20255232 exp=20255232
3410.50ns INFO [00003412] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3410.50ns INFO [00003412] Port=0 WR @15=AE5F49AE
3411.50ns INFO [00003413] Port=0 WR @0C=331C2633
3411.50ns INFO [00003413] Port=0 RD @0E
3412.50ns INFO [00003414] Port=1 RD @12
3413.50ns INFO [00003415] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3413.50ns INFO [00003415] Port=0 RD @0E
3413.50ns INFO [00003415] Port=1 RD @1F
3414.50ns INFO [00003416] * RD COMPARE * port=1 adr=12 act=8213010E exp=8213010E
3414.50ns INFO [00003416] Port=0 WR @14=CB063A9F
3415.50ns INFO [00003417] * RD COMPARE * port=0 adr=0E act=885FE84A exp=885FE84A
3415.50ns INFO [00003417] * RD COMPARE * port=1 adr=1F act=94DBFACA exp=94DBFACA
3415.50ns INFO [00003417] Port=0 RD @10
3416.50ns INFO [00003418] Port=0 WR @00=399A2C4F
3416.50ns INFO [00003418] Port=0 RD @08
3417.50ns INFO [00003419] * RD COMPARE * port=0 adr=10 act=4D30AFFE exp=4D30AFFE
3417.50ns INFO [00003419] Port=0 RD @0C
3417.50ns INFO [00003419] Port=1 RD @07
3418.50ns INFO [00003420] * RD COMPARE * port=0 adr=08 act=A00FE5C4 exp=A00FE5C4
3419.50ns INFO [00003421] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633
3419.50ns INFO [00003421] * RD COMPARE * port=1 adr=07 act=3F9FE50D exp=3F9FE50D
3419.50ns INFO [00003421] Port=0 WR @16=882410DF
3419.50ns INFO [00003421] Port=0 RD @0C
3419.50ns INFO [00003421] Port=1 RD @0A
3421.50ns INFO [00003423] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633
3421.50ns INFO [00003423] * RD COMPARE * port=1 adr=0A act=BECC1130 exp=BECC1130
3422.50ns INFO [00003424] Port=0 RD @07
3423.50ns INFO [00003425] Port=0 WR @02=C33EF07C
3423.50ns INFO [00003425] Port=1 RD @19
3424.50ns INFO [00003426] * RD COMPARE * port=0 adr=07 act=3F9FE50D exp=3F9FE50D
3424.50ns INFO [00003426] Port=0 RD @1A
3424.50ns INFO [00003426] Port=1 RD @0B
3425.50ns INFO [00003427] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6
3425.50ns INFO [00003427] Port=0 WR @08=B836170E
3426.50ns INFO [00003428] * RD COMPARE * port=0 adr=1A act=34487348 exp=34487348
3426.50ns INFO [00003428] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3429.50ns INFO [00003431] Port=0 RD @03
3430.50ns INFO [00003432] Port=0 WR @03=C29A4547
3430.50ns INFO [00003432] Port=0 RD @1D
3431.50ns INFO [00003433] * RD COMPARE * port=0 adr=03 act=1B647EB8 exp=1B647EB8
3432.50ns INFO [00003434] * RD COMPARE * port=0 adr=1D act=9E3F1429 exp=9E3F1429
3433.50ns INFO [00003435] Port=0 WR @0E=B7C45060
3434.50ns INFO [00003436] Port=1 RD @08
3436.50ns INFO [00003438] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E
3437.50ns INFO [00003439] Port=0 WR @13=355A448E
3439.50ns INFO [00003441] Port=0 WR @0F=4C996D8E
3439.50ns INFO [00003441] Port=1 RD @0E
3440.50ns INFO [00003442] Port=0 WR @1D=96CBA4EE
3441.50ns INFO [00003443] * RD COMPARE * port=1 adr=0E act=B7C45060 exp=B7C45060
3441.50ns INFO [00003443] Port=0 WR @15=9A55A5F5
3441.50ns INFO [00003443] Port=1 RD @11
3442.50ns INFO [00003444] Port=0 RD @13
3442.50ns INFO [00003444] Port=1 RD @03
3443.50ns INFO [00003445] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3443.50ns INFO [00003445] Port=1 RD @1D
3444.50ns INFO [00003446] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E
3444.50ns INFO [00003446] * RD COMPARE * port=1 adr=03 act=C29A4547 exp=C29A4547
3444.50ns INFO [00003446] Port=0 WR @00=00025D6D
3445.50ns INFO [00003447] * RD COMPARE * port=1 adr=1D act=96CBA4EE exp=96CBA4EE
3445.50ns INFO [00003447] Port=0 WR @06=69A35247
3446.50ns INFO [00003448] Port=1 RD @0D
3447.50ns INFO [00003449] Port=0 WR @16=60ADEF65
3447.50ns INFO [00003449] Port=0 RD @14
3448.50ns INFO [00003450] * RD COMPARE * port=1 adr=0D act=870473E1 exp=870473E1
3448.50ns INFO [00003450] Port=0 WR @1C=72FAC277
3449.50ns INFO [00003451] * RD COMPARE * port=0 adr=14 act=CB063A9F exp=CB063A9F
3449.50ns INFO [00003451] Port=1 RD @19
3450.50ns INFO [00003452] Port=1 RD @0B
3451.50ns INFO [00003453] * RD COMPARE * port=1 adr=19 act=B5948EF6 exp=B5948EF6
3451.50ns INFO [00003453] Port=0 RD @1C
3452.50ns INFO [00003454] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3452.50ns INFO [00003454] Port=0 RD @0B
3453.50ns INFO [00003455] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277
3453.50ns INFO [00003455] Port=0 WR @1B=C0186CFD
3453.50ns INFO [00003455] Port=0 RD @16
3454.50ns INFO [00003456] * RD COMPARE * port=0 adr=0B act=C9D1D17D exp=C9D1D17D
3454.50ns INFO [00003456] Port=0 WR @1A=232996FC
3454.50ns INFO [00003456] Port=1 RD @10
3455.50ns INFO [00003457] * RD COMPARE * port=0 adr=16 act=60ADEF65 exp=60ADEF65
3455.50ns INFO [00003457] Port=0 WR @10=5243C0CC
3456.50ns INFO [00003458] * RD COMPARE * port=1 adr=10 act=4D30AFFE exp=4D30AFFE
3456.50ns INFO [00003458] Port=0 RD @1C
3456.50ns INFO [00003458] Port=1 RD @08
3458.50ns INFO [00003460] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277
3458.50ns INFO [00003460] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E
3459.50ns INFO [00003461] Port=0 RD @05
3461.50ns INFO [00003463] * RD COMPARE * port=0 adr=05 act=0EB9BE57 exp=0EB9BE57
3461.50ns INFO [00003463] Port=0 WR @0F=C72E8FAF
3461.50ns INFO [00003463] Port=0 RD @1C
3461.50ns INFO [00003463] Port=1 RD @1E
3462.50ns INFO [00003464] Port=0 RD @10
3462.50ns INFO [00003464] Port=1 RD @1A
3463.50ns INFO [00003465] * RD COMPARE * port=0 adr=1C act=72FAC277 exp=72FAC277
3463.50ns INFO [00003465] * RD COMPARE * port=1 adr=1E act=23EF9BE3 exp=23EF9BE3
3463.50ns INFO [00003465] Port=0 RD @0D
3463.50ns INFO [00003465] Port=1 RD @08
3464.50ns INFO [00003466] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC
3464.50ns INFO [00003466] * RD COMPARE * port=1 adr=1A act=232996FC exp=232996FC
3464.50ns INFO [00003466] Port=0 RD @15
3464.50ns INFO [00003466] Port=1 RD @1C
3465.50ns INFO [00003467] * RD COMPARE * port=0 adr=0D act=870473E1 exp=870473E1
3465.50ns INFO [00003467] * RD COMPARE * port=1 adr=08 act=B836170E exp=B836170E
3466.50ns INFO [00003468] * RD COMPARE * port=0 adr=15 act=9A55A5F5 exp=9A55A5F5
3466.50ns INFO [00003468] * RD COMPARE * port=1 adr=1C act=72FAC277 exp=72FAC277
3466.50ns INFO [00003468] Port=1 RD @18
3467.50ns INFO [00003469] Port=0 RD @06
3468.50ns INFO [00003470] * RD COMPARE * port=1 adr=18 act=6F6DF12B exp=6F6DF12B
3468.50ns INFO [00003470] Port=0 WR @0D=39241F47
3468.50ns INFO [00003470] Port=1 RD @11
3469.50ns INFO [00003471] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247
3469.50ns INFO [00003471] Port=0 WR @00=F22B0159
3469.50ns INFO [00003471] Port=0 RD @0A
3469.50ns INFO [00003471] Port=1 RD @05
3470.50ns INFO [00003472] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3470.50ns INFO [00003472] Port=0 WR @1B=34602B20
3470.50ns INFO [00003472] Port=1 RD @0C
3471.50ns INFO [00003473] * RD COMPARE * port=0 adr=0A act=BECC1130 exp=BECC1130
3471.50ns INFO [00003473] * RD COMPARE * port=1 adr=05 act=0EB9BE57 exp=0EB9BE57
3472.50ns INFO [00003474] * RD COMPARE * port=1 adr=0C act=331C2633 exp=331C2633
3472.50ns INFO [00003474] Port=1 RD @09
3473.50ns INFO [00003475] Port=1 RD @0D
3474.50ns INFO [00003476] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC
3474.50ns INFO [00003476] Port=0 WR @05=CA673CAE
3474.50ns INFO [00003476] Port=0 RD @13
3475.50ns INFO [00003477] * RD COMPARE * port=1 adr=0D act=39241F47 exp=39241F47
3475.50ns INFO [00003477] Port=0 RD @10
3475.50ns INFO [00003477] Port=1 RD @12
3476.50ns INFO [00003478] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E
3476.50ns INFO [00003478] Port=1 RD @10
3477.50ns INFO [00003479] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC
3477.50ns INFO [00003479] * RD COMPARE * port=1 adr=12 act=8213010E exp=8213010E
3477.50ns INFO [00003479] Port=0 RD @17
3478.50ns INFO [00003480] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC
3478.50ns INFO [00003480] Port=0 RD @0C
3479.50ns INFO [00003481] * RD COMPARE * port=0 adr=17 act=0A3E5428 exp=0A3E5428
3479.50ns INFO [00003481] Port=0 WR @03=E615D650
3479.50ns INFO [00003481] Port=0 RD @1F
3479.50ns INFO [00003481] Port=1 RD @1E
3480.50ns INFO [00003482] * RD COMPARE * port=0 adr=0C act=331C2633 exp=331C2633
3480.50ns INFO [00003482] Port=0 WR @04=C7FC21FC
3480.50ns INFO [00003482] Port=1 RD @1D
3481.50ns INFO [00003483] * RD COMPARE * port=0 adr=1F act=94DBFACA exp=94DBFACA
3481.50ns INFO [00003483] * RD COMPARE * port=1 adr=1E act=23EF9BE3 exp=23EF9BE3
3482.50ns INFO [00003484] * RD COMPARE * port=1 adr=1D act=96CBA4EE exp=96CBA4EE
3482.50ns INFO [00003484] Port=1 RD @15
3483.50ns INFO [00003485] Port=0 WR @0A=6C7A5D60
3484.50ns INFO [00003486] * RD COMPARE * port=1 adr=15 act=9A55A5F5 exp=9A55A5F5
3484.50ns INFO [00003486] Port=0 WR @03=046757D7
3485.50ns INFO [00003487] Port=0 WR @07=3AA9A222
3485.50ns INFO [00003487] Port=0 RD @02
3485.50ns INFO [00003487] Port=1 RD @00
3487.50ns INFO [00003489] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C
3487.50ns INFO [00003489] * RD COMPARE * port=1 adr=00 act=F22B0159 exp=F22B0159
3487.50ns INFO [00003489] Port=0 WR @0D=A1E22952
3489.50ns INFO [00003491] Port=0 WR @03=31F4D8C4
3490.50ns INFO [00003492] Port=1 RD @1B
3492.50ns INFO [00003494] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20
3493.50ns INFO [00003495] Port=0 RD @10
3493.50ns INFO [00003495] Port=1 RD @0E
3495.50ns INFO [00003497] * RD COMPARE * port=0 adr=10 act=5243C0CC exp=5243C0CC
3495.50ns INFO [00003497] * RD COMPARE * port=1 adr=0E act=B7C45060 exp=B7C45060
3497.50ns INFO [00003499] Port=0 WR @04=9ACF2B02
3498.00ns INFO [00003500] [00003500] ...tick...
3499.50ns INFO [00003501] Port=0 WR @16=6CC277FF
3499.50ns INFO [00003501] Port=1 RD @0B
3500.50ns INFO [00003502] Port=0 RD @12
3500.50ns INFO [00003502] Port=1 RD @15
3501.50ns INFO [00003503] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3501.50ns INFO [00003503] Port=1 RD @07
3502.50ns INFO [00003504] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E
3502.50ns INFO [00003504] * RD COMPARE * port=1 adr=15 act=9A55A5F5 exp=9A55A5F5
3502.50ns INFO [00003504] Port=0 WR @00=EA56E4C4
3503.50ns INFO [00003505] * RD COMPARE * port=1 adr=07 act=3AA9A222 exp=3AA9A222
3503.50ns INFO [00003505] Port=0 RD @03
3503.50ns INFO [00003505] Port=1 RD @13
3504.50ns INFO [00003506] Port=0 RD @16
3505.50ns INFO [00003507] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4
3505.50ns INFO [00003507] * RD COMPARE * port=1 adr=13 act=355A448E exp=355A448E
3506.50ns INFO [00003508] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF
3506.50ns INFO [00003508] Port=0 RD @00
3506.50ns INFO [00003508] Port=1 RD @03
3507.50ns INFO [00003509] Port=0 RD @11
3508.50ns INFO [00003510] * RD COMPARE * port=0 adr=00 act=EA56E4C4 exp=EA56E4C4
3508.50ns INFO [00003510] * RD COMPARE * port=1 adr=03 act=31F4D8C4 exp=31F4D8C4
3508.50ns INFO [00003510] Port=0 RD @16
3509.50ns INFO [00003511] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3510.50ns INFO [00003512] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF
3510.50ns INFO [00003512] Port=0 RD @07
3511.50ns INFO [00003513] Port=0 RD @1B
3512.50ns INFO [00003514] * RD COMPARE * port=0 adr=07 act=3AA9A222 exp=3AA9A222
3513.50ns INFO [00003515] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20
3513.50ns INFO [00003515] Port=0 WR @07=FB1F2FAE
3514.50ns INFO [00003516] Port=0 RD @0F
3514.50ns INFO [00003516] Port=1 RD @0C
3515.50ns INFO [00003517] Port=1 RD @0B
3516.50ns INFO [00003518] * RD COMPARE * port=0 adr=0F act=C72E8FAF exp=C72E8FAF
3516.50ns INFO [00003518] * RD COMPARE * port=1 adr=0C act=331C2633 exp=331C2633
3516.50ns INFO [00003518] Port=0 WR @08=16E2CF6E
3516.50ns INFO [00003518] Port=0 RD @11
3516.50ns INFO [00003518] Port=1 RD @06
3517.50ns INFO [00003519] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3518.50ns INFO [00003520] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3518.50ns INFO [00003520] * RD COMPARE * port=1 adr=06 act=69A35247 exp=69A35247
3518.50ns INFO [00003520] Port=0 WR @0C=BD9A5D3D
3519.50ns INFO [00003521] Port=0 RD @03
3519.50ns INFO [00003521] Port=1 RD @1A
3520.50ns INFO [00003522] Port=0 RD @12
3521.50ns INFO [00003523] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4
3521.50ns INFO [00003523] * RD COMPARE * port=1 adr=1A act=232996FC exp=232996FC
3522.50ns INFO [00003524] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E
3522.50ns INFO [00003524] Port=1 RD @05
3523.50ns INFO [00003525] Port=0 WR @15=0EACD405
3524.50ns INFO [00003526] * RD COMPARE * port=1 adr=05 act=CA673CAE exp=CA673CAE
3524.50ns INFO [00003526] Port=0 RD @1E
3524.50ns INFO [00003526] Port=1 RD @14
3525.50ns INFO [00003527] Port=0 WR @04=B097DB21
3525.50ns INFO [00003527] Port=0 RD @0C
3526.50ns INFO [00003528] * RD COMPARE * port=0 adr=1E act=23EF9BE3 exp=23EF9BE3
3526.50ns INFO [00003528] * RD COMPARE * port=1 adr=14 act=CB063A9F exp=CB063A9F
3527.50ns INFO [00003529] * RD COMPARE * port=0 adr=0C act=BD9A5D3D exp=BD9A5D3D
3529.50ns INFO [00003531] Port=1 RD @0D
3530.50ns INFO [00003532] Port=0 WR @1A=7148F5BD
3531.50ns INFO [00003533] * RD COMPARE * port=1 adr=0D act=A1E22952 exp=A1E22952
3531.50ns INFO [00003533] Port=1 RD @17
3533.50ns INFO [00003535] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428
3533.50ns INFO [00003535] Port=0 WR @0D=BC08264B
3533.50ns INFO [00003535] Port=0 RD @13
3534.50ns INFO [00003536] Port=0 WR @1C=A329C34A
3535.50ns INFO [00003537] * RD COMPARE * port=0 adr=13 act=355A448E exp=355A448E
3535.50ns INFO [00003537] Port=0 WR @1F=3AC8B92F
3535.50ns INFO [00003537] Port=0 RD @16
3535.50ns INFO [00003537] Port=1 RD @01
3537.50ns INFO [00003539] * RD COMPARE * port=0 adr=16 act=6CC277FF exp=6CC277FF
3537.50ns INFO [00003539] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232
3538.50ns INFO [00003540] Port=0 WR @19=96F0C1DB
3539.50ns INFO [00003541] Port=0 WR @13=A2ED429C
3539.50ns INFO [00003541] Port=0 RD @14
3540.50ns INFO [00003542] Port=0 RD @1A
3540.50ns INFO [00003542] Port=1 RD @19
3541.50ns INFO [00003543] * RD COMPARE * port=0 adr=14 act=CB063A9F exp=CB063A9F
3542.50ns INFO [00003544] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3542.50ns INFO [00003544] * RD COMPARE * port=1 adr=19 act=96F0C1DB exp=96F0C1DB
3542.50ns INFO [00003544] Port=0 WR @14=D49682C8
3543.50ns INFO [00003545] Port=0 WR @1D=D71A1667
3545.50ns INFO [00003547] Port=0 RD @0D
3545.50ns INFO [00003547] Port=1 RD @09
3546.50ns INFO [00003548] Port=0 RD @1A
3547.50ns INFO [00003549] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B
3547.50ns INFO [00003549] * RD COMPARE * port=1 adr=09 act=7AF9AFCC exp=7AF9AFCC
3547.50ns INFO [00003549] Port=1 RD @17
3548.50ns INFO [00003550] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3548.50ns INFO [00003550] Port=0 WR @09=D435D49C
3548.50ns INFO [00003550] Port=0 RD @02
3549.50ns INFO [00003551] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428
3549.50ns INFO [00003551] Port=0 WR @07=B09318EB
3550.50ns INFO [00003552] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C
3550.50ns INFO [00003552] Port=0 RD @0E
3550.50ns INFO [00003552] Port=1 RD @07
3551.50ns INFO [00003553] Port=0 RD @06
3552.50ns INFO [00003554] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060
3552.50ns INFO [00003554] * RD COMPARE * port=1 adr=07 act=B09318EB exp=B09318EB
3552.50ns INFO [00003554] Port=0 WR @05=ED2E8489
3553.50ns INFO [00003555] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247
3553.50ns INFO [00003555] Port=0 WR @08=EC247DCD
3553.50ns INFO [00003555] Port=0 RD @07
3554.50ns INFO [00003556] Port=0 RD @04
3555.50ns INFO [00003557] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB
3555.50ns INFO [00003557] Port=1 RD @02
3556.50ns INFO [00003558] * RD COMPARE * port=0 adr=04 act=B097DB21 exp=B097DB21
3556.50ns INFO [00003558] Port=1 RD @06
3557.50ns INFO [00003559] * RD COMPARE * port=1 adr=02 act=C33EF07C exp=C33EF07C
3557.50ns INFO [00003559] Port=0 WR @1F=42DDB7B7
3558.50ns INFO [00003560] * RD COMPARE * port=1 adr=06 act=69A35247 exp=69A35247
3558.50ns INFO [00003560] Port=0 WR @0C=65083B1F
3558.50ns INFO [00003560] Port=0 RD @06
3559.50ns INFO [00003561] Port=0 WR @09=D3FD9326
3560.50ns INFO [00003562] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247
3560.50ns INFO [00003562] Port=0 RD @0E
3561.50ns INFO [00003563] Port=0 RD @13
3562.50ns INFO [00003564] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060
3562.50ns INFO [00003564] Port=0 RD @06
3562.50ns INFO [00003564] Port=1 RD @17
3563.50ns INFO [00003565] * RD COMPARE * port=0 adr=13 act=A2ED429C exp=A2ED429C
3563.50ns INFO [00003565] Port=0 RD @1D
3564.50ns INFO [00003566] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247
3564.50ns INFO [00003566] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428
3564.50ns INFO [00003566] Port=0 RD @06
3564.50ns INFO [00003566] Port=1 RD @13
3565.50ns INFO [00003567] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667
3565.50ns INFO [00003567] Port=0 WR @0C=7D913732
3565.50ns INFO [00003567] Port=1 RD @0B
3566.50ns INFO [00003568] * RD COMPARE * port=0 adr=06 act=69A35247 exp=69A35247
3566.50ns INFO [00003568] * RD COMPARE * port=1 adr=13 act=A2ED429C exp=A2ED429C
3566.50ns INFO [00003568] Port=0 WR @06=3F0F65A4
3566.50ns INFO [00003568] Port=0 RD @15
3566.50ns INFO [00003568] Port=1 RD @1B
3567.50ns INFO [00003569] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3567.50ns INFO [00003569] Port=0 RD @06
3568.50ns INFO [00003570] * RD COMPARE * port=0 adr=15 act=0EACD405 exp=0EACD405
3568.50ns INFO [00003570] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20
3568.50ns INFO [00003570] Port=1 RD @0B
3569.50ns INFO [00003571] * RD COMPARE * port=0 adr=06 act=3F0F65A4 exp=3F0F65A4
3569.50ns INFO [00003571] Port=0 WR @00=9C4AEA4F
3570.50ns INFO [00003572] * RD COMPARE * port=1 adr=0B act=C9D1D17D exp=C9D1D17D
3570.50ns INFO [00003572] Port=1 RD @15
3571.50ns INFO [00003573] Port=0 RD @13
3572.50ns INFO [00003574] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405
3572.50ns INFO [00003574] Port=0 RD @11
3572.50ns INFO [00003574] Port=1 RD @11
3573.50ns INFO [00003575] * RD COMPARE * port=0 adr=13 act=A2ED429C exp=A2ED429C
3573.50ns INFO [00003575] Port=0 RD @0E
3574.50ns INFO [00003576] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3574.50ns INFO [00003576] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3574.50ns INFO [00003576] Port=1 RD @02
3575.50ns INFO [00003577] * RD COMPARE * port=0 adr=0E act=B7C45060 exp=B7C45060
3576.50ns INFO [00003578] * RD COMPARE * port=1 adr=02 act=C33EF07C exp=C33EF07C
3576.50ns INFO [00003578] Port=0 RD @09
3576.50ns INFO [00003578] Port=1 RD @1D
3577.50ns INFO [00003579] Port=0 WR @1F=DD9746AC
3577.50ns INFO [00003579] Port=1 RD @16
3578.50ns INFO [00003580] * RD COMPARE * port=0 adr=09 act=D3FD9326 exp=D3FD9326
3578.50ns INFO [00003580] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667
3578.50ns INFO [00003580] Port=0 WR @0E=85ECED2A
3578.50ns INFO [00003580] Port=1 RD @0F
3579.50ns INFO [00003581] * RD COMPARE * port=1 adr=16 act=6CC277FF exp=6CC277FF
3579.50ns INFO [00003581] Port=0 RD @0D
3579.50ns INFO [00003581] Port=1 RD @04
3580.50ns INFO [00003582] * RD COMPARE * port=1 adr=0F act=C72E8FAF exp=C72E8FAF
3580.50ns INFO [00003582] Port=0 WR @06=DB410929
3580.50ns INFO [00003582] Port=0 RD @17
3580.50ns INFO [00003582] Port=1 RD @05
3581.50ns INFO [00003583] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B
3581.50ns INFO [00003583] * RD COMPARE * port=1 adr=04 act=B097DB21 exp=B097DB21
3582.50ns INFO [00003584] * RD COMPARE * port=0 adr=17 act=0A3E5428 exp=0A3E5428
3582.50ns INFO [00003584] * RD COMPARE * port=1 adr=05 act=ED2E8489 exp=ED2E8489
3582.50ns INFO [00003584] Port=0 WR @18=4E4648C9
3584.50ns INFO [00003586] Port=0 WR @13=E5F2D921
3584.50ns INFO [00003586] Port=0 RD @02
3585.50ns INFO [00003587] Port=0 WR @00=84E67FAF
3585.50ns INFO [00003587] Port=0 RD @04
3585.50ns INFO [00003587] Port=1 RD @1D
3586.50ns INFO [00003588] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C
3586.50ns INFO [00003588] Port=0 RD @08
3586.50ns INFO [00003588] Port=1 RD @01
3587.50ns INFO [00003589] * RD COMPARE * port=0 adr=04 act=B097DB21 exp=B097DB21
3587.50ns INFO [00003589] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667
3588.50ns INFO [00003590] * RD COMPARE * port=0 adr=08 act=EC247DCD exp=EC247DCD
3588.50ns INFO [00003590] * RD COMPARE * port=1 adr=01 act=20255232 exp=20255232
3588.50ns INFO [00003590] Port=0 WR @0A=27FF3289
3588.50ns INFO [00003590] Port=0 RD @0C
3589.50ns INFO [00003591] Port=0 WR @18=78465D69
3589.50ns INFO [00003591] Port=0 RD @06
3590.50ns INFO [00003592] * RD COMPARE * port=0 adr=0C act=7D913732 exp=7D913732
3590.50ns INFO [00003592] Port=1 RD @0A
3591.50ns INFO [00003593] * RD COMPARE * port=0 adr=06 act=DB410929 exp=DB410929
3592.50ns INFO [00003594] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3592.50ns INFO [00003594] Port=0 RD @05
3593.50ns INFO [00003595] Port=0 RD @1D
3594.50ns INFO [00003596] * RD COMPARE * port=0 adr=05 act=ED2E8489 exp=ED2E8489
3595.50ns INFO [00003597] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667
3596.50ns INFO [00003598] Port=0 RD @1E
3596.50ns INFO [00003598] Port=1 RD @07
3597.50ns INFO [00003599] Port=0 WR @19=649B96AE
3597.50ns INFO [00003599] Port=1 RD @1A
3598.00ns INFO [00003600] [00003600] ...tick...
3598.50ns INFO [00003600] * RD COMPARE * port=0 adr=1E act=23EF9BE3 exp=23EF9BE3
3598.50ns INFO [00003600] * RD COMPARE * port=1 adr=07 act=B09318EB exp=B09318EB
3598.50ns INFO [00003600] Port=0 RD @1D
3599.50ns INFO [00003601] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3599.50ns INFO [00003601] Port=0 WR @1E=2D384C5B
3599.50ns INFO [00003601] Port=0 RD @02
3600.50ns INFO [00003602] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667
3601.50ns INFO [00003603] * RD COMPARE * port=0 adr=02 act=C33EF07C exp=C33EF07C
3601.50ns INFO [00003603] Port=0 RD @0A
3601.50ns INFO [00003603] Port=1 RD @0A
3602.50ns INFO [00003604] Port=0 RD @19
3603.50ns INFO [00003605] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3603.50ns INFO [00003605] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3603.50ns INFO [00003605] Port=0 WR @0F=FA4855D6
3604.50ns INFO [00003606] * RD COMPARE * port=0 adr=19 act=649B96AE exp=649B96AE
3604.50ns INFO [00003606] Port=1 RD @17
3605.50ns INFO [00003607] Port=0 WR @01=E2387B30
3606.50ns INFO [00003608] * RD COMPARE * port=1 adr=17 act=0A3E5428 exp=0A3E5428
3606.50ns INFO [00003608] Port=0 WR @17=75ABF08A
3607.50ns INFO [00003609] Port=0 RD @1F
3608.50ns INFO [00003610] Port=0 RD @0C
3609.50ns INFO [00003611] * RD COMPARE * port=0 adr=1F act=DD9746AC exp=DD9746AC
3609.50ns INFO [00003611] Port=0 WR @0C=32944E84
3610.50ns INFO [00003612] * RD COMPARE * port=0 adr=0C act=7D913732 exp=7D913732
3610.50ns INFO [00003612] Port=0 RD @07
3611.50ns INFO [00003613] Port=0 WR @0B=0089B506
3611.50ns INFO [00003613] Port=1 RD @05
3612.50ns INFO [00003614] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB
3612.50ns INFO [00003614] Port=0 RD @1A
3612.50ns INFO [00003614] Port=1 RD @1F
3613.50ns INFO [00003615] * RD COMPARE * port=1 adr=05 act=ED2E8489 exp=ED2E8489
3613.50ns INFO [00003615] Port=1 RD @11
3614.50ns INFO [00003616] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3614.50ns INFO [00003616] * RD COMPARE * port=1 adr=1F act=DD9746AC exp=DD9746AC
3615.50ns INFO [00003617] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3615.50ns INFO [00003617] Port=0 WR @05=BE6FD62D
3615.50ns INFO [00003617] Port=0 RD @1C
3615.50ns INFO [00003617] Port=1 RD @18
3616.50ns INFO [00003618] Port=0 WR @00=DE6CAC03
3616.50ns INFO [00003618] Port=0 RD @03
3616.50ns INFO [00003618] Port=1 RD @1D
3617.50ns INFO [00003619] * RD COMPARE * port=0 adr=1C act=A329C34A exp=A329C34A
3617.50ns INFO [00003619] * RD COMPARE * port=1 adr=18 act=78465D69 exp=78465D69
3618.50ns INFO [00003620] * RD COMPARE * port=0 adr=03 act=31F4D8C4 exp=31F4D8C4
3618.50ns INFO [00003620] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667
3618.50ns INFO [00003620] Port=0 RD @0F
3619.50ns INFO [00003621] Port=0 RD @17
3620.50ns INFO [00003622] * RD COMPARE * port=0 adr=0F act=FA4855D6 exp=FA4855D6
3621.50ns INFO [00003623] * RD COMPARE * port=0 adr=17 act=75ABF08A exp=75ABF08A
3621.50ns INFO [00003623] Port=0 RD @1D
3622.50ns INFO [00003624] Port=0 RD @1F
3622.50ns INFO [00003624] Port=1 RD @05
3623.50ns INFO [00003625] * RD COMPARE * port=0 adr=1D act=D71A1667 exp=D71A1667
3623.50ns INFO [00003625] Port=0 WR @16=F1ECF1E6
3623.50ns INFO [00003625] Port=0 RD @1A
3623.50ns INFO [00003625] Port=1 RD @0A
3624.50ns INFO [00003626] * RD COMPARE * port=0 adr=1F act=DD9746AC exp=DD9746AC
3624.50ns INFO [00003626] * RD COMPARE * port=1 adr=05 act=BE6FD62D exp=BE6FD62D
3625.50ns INFO [00003627] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3625.50ns INFO [00003627] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3625.50ns INFO [00003627] Port=1 RD @0D
3626.50ns INFO [00003628] Port=0 RD @09
3626.50ns INFO [00003628] Port=1 RD @0F
3627.50ns INFO [00003629] * RD COMPARE * port=1 adr=0D act=BC08264B exp=BC08264B
3627.50ns INFO [00003629] Port=0 RD @17
3628.50ns INFO [00003630] * RD COMPARE * port=0 adr=09 act=D3FD9326 exp=D3FD9326
3628.50ns INFO [00003630] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6
3628.50ns INFO [00003630] Port=0 WR @09=979EC5D8
3628.50ns INFO [00003630] Port=1 RD @15
3629.50ns INFO [00003631] * RD COMPARE * port=0 adr=17 act=75ABF08A exp=75ABF08A
3629.50ns INFO [00003631] Port=0 WR @03=03D5801C
3629.50ns INFO [00003631] Port=1 RD @00
3630.50ns INFO [00003632] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405
3630.50ns INFO [00003632] Port=0 RD @00
3631.50ns INFO [00003633] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3631.50ns INFO [00003633] Port=1 RD @00
3632.50ns INFO [00003634] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03
3632.50ns INFO [00003634] Port=0 RD @16
3633.50ns INFO [00003635] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3634.50ns INFO [00003636] * RD COMPARE * port=0 adr=16 act=F1ECF1E6 exp=F1ECF1E6
3634.50ns INFO [00003636] Port=0 RD @1B
3635.50ns INFO [00003637] Port=0 WR @05=3C73062D
3635.50ns INFO [00003637] Port=0 RD @0E
3635.50ns INFO [00003637] Port=1 RD @08
3636.50ns INFO [00003638] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20
3636.50ns INFO [00003638] Port=0 WR @1C=69C7C70A
3636.50ns INFO [00003638] Port=0 RD @19
3637.50ns INFO [00003639] * RD COMPARE * port=0 adr=0E act=85ECED2A exp=85ECED2A
3637.50ns INFO [00003639] * RD COMPARE * port=1 adr=08 act=EC247DCD exp=EC247DCD
3637.50ns INFO [00003639] Port=0 RD @00
3638.50ns INFO [00003640] * RD COMPARE * port=0 adr=19 act=649B96AE exp=649B96AE
3639.50ns INFO [00003641] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03
3639.50ns INFO [00003641] Port=1 RD @0F
3640.50ns INFO [00003642] Port=0 WR @03=99EA025E
3641.50ns INFO [00003643] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6
3641.50ns INFO [00003643] Port=1 RD @0F
3642.50ns INFO [00003644] Port=0 WR @0E=EEA5B644
3642.50ns INFO [00003644] Port=1 RD @06
3643.50ns INFO [00003645] * RD COMPARE * port=1 adr=0F act=FA4855D6 exp=FA4855D6
3644.50ns INFO [00003646] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929
3644.50ns INFO [00003646] Port=1 RD @16
3645.50ns INFO [00003647] Port=1 RD @18
3646.50ns INFO [00003648] * RD COMPARE * port=1 adr=16 act=F1ECF1E6 exp=F1ECF1E6
3646.50ns INFO [00003648] Port=0 WR @05=CFBBE2FA
3647.50ns INFO [00003649] * RD COMPARE * port=1 adr=18 act=78465D69 exp=78465D69
3647.50ns INFO [00003649] Port=0 WR @05=3D2CFF8F
3648.50ns INFO [00003650] Port=0 WR @04=12A2E1D4
3648.50ns INFO [00003650] Port=0 RD @0E
3648.50ns INFO [00003650] Port=1 RD @1A
3649.50ns INFO [00003651] Port=0 RD @18
3650.50ns INFO [00003652] * RD COMPARE * port=0 adr=0E act=EEA5B644 exp=EEA5B644
3650.50ns INFO [00003652] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3650.50ns INFO [00003652] Port=0 WR @0E=8DBC11C5
3650.50ns INFO [00003652] Port=0 RD @05
3650.50ns INFO [00003652] Port=1 RD @03
3651.50ns INFO [00003653] * RD COMPARE * port=0 adr=18 act=78465D69 exp=78465D69
3651.50ns INFO [00003653] Port=0 WR @09=852D9F82
3651.50ns INFO [00003653] Port=0 RD @11
3651.50ns INFO [00003653] Port=1 RD @00
3652.50ns INFO [00003654] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F
3652.50ns INFO [00003654] * RD COMPARE * port=1 adr=03 act=99EA025E exp=99EA025E
3653.50ns INFO [00003655] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3653.50ns INFO [00003655] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3653.50ns INFO [00003655] Port=0 RD @14
3653.50ns INFO [00003655] Port=1 RD @15
3655.50ns INFO [00003657] * RD COMPARE * port=0 adr=14 act=D49682C8 exp=D49682C8
3655.50ns INFO [00003657] * RD COMPARE * port=1 adr=15 act=0EACD405 exp=0EACD405
3655.50ns INFO [00003657] Port=0 WR @13=CDDB79C6
3657.50ns INFO [00003659] Port=0 RD @1A
3659.50ns INFO [00003661] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3659.50ns INFO [00003661] Port=0 WR @16=B429109B
3659.50ns INFO [00003661] Port=0 RD @12
3660.50ns INFO [00003662] Port=0 RD @0B
3661.50ns INFO [00003663] * RD COMPARE * port=0 adr=12 act=8213010E exp=8213010E
3661.50ns INFO [00003663] Port=0 WR @18=CA130F71
3662.50ns INFO [00003664] * RD COMPARE * port=0 adr=0B act=0089B506 exp=0089B506
3662.50ns INFO [00003664] Port=0 WR @16=22E77B56
3662.50ns INFO [00003664] Port=1 RD @0B
3663.50ns INFO [00003665] Port=1 RD @09
3664.50ns INFO [00003666] * RD COMPARE * port=1 adr=0B act=0089B506 exp=0089B506
3664.50ns INFO [00003666] Port=0 WR @19=EFD85E92
3664.50ns INFO [00003666] Port=0 RD @1E
3664.50ns INFO [00003666] Port=1 RD @06
3665.50ns INFO [00003667] * RD COMPARE * port=1 adr=09 act=852D9F82 exp=852D9F82
3665.50ns INFO [00003667] Port=0 WR @03=7B9CAFEA
3666.50ns INFO [00003668] * RD COMPARE * port=0 adr=1E act=2D384C5B exp=2D384C5B
3666.50ns INFO [00003668] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929
3666.50ns INFO [00003668] Port=0 WR @13=A49F36A2
3667.50ns INFO [00003669] Port=0 WR @1F=A505F7BA
3667.50ns INFO [00003669] Port=1 RD @06
3668.50ns INFO [00003670] Port=1 RD @11
3669.50ns INFO [00003671] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929
3670.50ns INFO [00003672] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3670.50ns INFO [00003672] Port=0 WR @12=760FE161
3670.50ns INFO [00003672] Port=0 RD @0B
3671.50ns INFO [00003673] Port=1 RD @16
3672.50ns INFO [00003674] * RD COMPARE * port=0 adr=0B act=0089B506 exp=0089B506
3672.50ns INFO [00003674] Port=0 RD @06
3673.50ns INFO [00003675] * RD COMPARE * port=1 adr=16 act=22E77B56 exp=22E77B56
3673.50ns INFO [00003675] Port=0 RD @05
3674.50ns INFO [00003676] * RD COMPARE * port=0 adr=06 act=DB410929 exp=DB410929
3674.50ns INFO [00003676] Port=0 RD @11
3674.50ns INFO [00003676] Port=1 RD @1F
3675.50ns INFO [00003677] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F
3675.50ns INFO [00003677] Port=0 RD @0E
3675.50ns INFO [00003677] Port=1 RD @14
3676.50ns INFO [00003678] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3676.50ns INFO [00003678] * RD COMPARE * port=1 adr=1F act=A505F7BA exp=A505F7BA
3676.50ns INFO [00003678] Port=0 RD @1A
3676.50ns INFO [00003678] Port=1 RD @08
3677.50ns INFO [00003679] * RD COMPARE * port=0 adr=0E act=8DBC11C5 exp=8DBC11C5
3677.50ns INFO [00003679] * RD COMPARE * port=1 adr=14 act=D49682C8 exp=D49682C8
3677.50ns INFO [00003679] Port=0 RD @0D
3677.50ns INFO [00003679] Port=1 RD @1C
3678.50ns INFO [00003680] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3678.50ns INFO [00003680] * RD COMPARE * port=1 adr=08 act=EC247DCD exp=EC247DCD
3679.50ns INFO [00003681] * RD COMPARE * port=0 adr=0D act=BC08264B exp=BC08264B
3679.50ns INFO [00003681] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A
3679.50ns INFO [00003681] Port=0 RD @07
3679.50ns INFO [00003681] Port=1 RD @1D
3680.50ns INFO [00003682] Port=0 WR @18=2EE4073D
3681.50ns INFO [00003683] * RD COMPARE * port=0 adr=07 act=B09318EB exp=B09318EB
3681.50ns INFO [00003683] * RD COMPARE * port=1 adr=1D act=D71A1667 exp=D71A1667
3681.50ns INFO [00003683] Port=0 WR @0D=6AEA76A7
3681.50ns INFO [00003683] Port=1 RD @01
3682.50ns INFO [00003684] Port=0 RD @16
3682.50ns INFO [00003684] Port=1 RD @09
3683.50ns INFO [00003685] * RD COMPARE * port=1 adr=01 act=E2387B30 exp=E2387B30
3683.50ns INFO [00003685] Port=1 RD @19
3684.50ns INFO [00003686] * RD COMPARE * port=0 adr=16 act=22E77B56 exp=22E77B56
3684.50ns INFO [00003686] * RD COMPARE * port=1 adr=09 act=852D9F82 exp=852D9F82
3685.50ns INFO [00003687] * RD COMPARE * port=1 adr=19 act=EFD85E92 exp=EFD85E92
3686.50ns INFO [00003688] Port=0 WR @15=B8EF74A8
3687.50ns INFO [00003689] Port=1 RD @1B
3689.50ns INFO [00003691] * RD COMPARE * port=1 adr=1B act=34602B20 exp=34602B20
3689.50ns INFO [00003691] Port=0 RD @18
3689.50ns INFO [00003691] Port=1 RD @00
3690.50ns INFO [00003692] Port=0 RD @1F
3691.50ns INFO [00003693] * RD COMPARE * port=0 adr=18 act=2EE4073D exp=2EE4073D
3691.50ns INFO [00003693] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3691.50ns INFO [00003693] Port=0 RD @0C
3691.50ns INFO [00003693] Port=1 RD @11
3692.50ns INFO [00003694] * RD COMPARE * port=0 adr=1F act=A505F7BA exp=A505F7BA
3692.50ns INFO [00003694] Port=0 WR @19=5C7E17A9
3692.50ns INFO [00003694] Port=1 RD @13
3693.50ns INFO [00003695] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84
3693.50ns INFO [00003695] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3693.50ns INFO [00003695] Port=1 RD @0A
3694.50ns INFO [00003696] * RD COMPARE * port=1 adr=13 act=A49F36A2 exp=A49F36A2
3694.50ns INFO [00003696] Port=1 RD @01
3695.50ns INFO [00003697] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3695.50ns INFO [00003697] Port=0 WR @19=B9F075EB
3696.50ns INFO [00003698] * RD COMPARE * port=1 adr=01 act=E2387B30 exp=E2387B30
3696.50ns INFO [00003698] Port=0 WR @14=E4CABCA0
3696.50ns INFO [00003698] Port=0 RD @1C
3698.00ns INFO [00003700] [00003700] ...tick...
3698.50ns INFO [00003700] * RD COMPARE * port=0 adr=1C act=69C7C70A exp=69C7C70A
3698.50ns INFO [00003700] Port=0 WR @0F=10355913
3698.50ns INFO [00003700] Port=0 RD @0A
3699.50ns INFO [00003701] Port=0 WR @0F=D0F68D91
3700.50ns INFO [00003702] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3700.50ns INFO [00003702] Port=1 RD @0B
3701.50ns INFO [00003703] Port=0 RD @03
3702.50ns INFO [00003704] * RD COMPARE * port=1 adr=0B act=0089B506 exp=0089B506
3702.50ns INFO [00003704] Port=0 WR @1D=A748524B
3703.50ns INFO [00003705] * RD COMPARE * port=0 adr=03 act=7B9CAFEA exp=7B9CAFEA
3703.50ns INFO [00003705] Port=0 WR @01=40250265
3703.50ns INFO [00003705] Port=0 RD @0C
3704.50ns INFO [00003706] Port=0 RD @1D
3704.50ns INFO [00003706] Port=1 RD @1D
3705.50ns INFO [00003707] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84
3705.50ns INFO [00003707] Port=0 WR @02=9013ED01
3705.50ns INFO [00003707] Port=0 RD @04
3705.50ns INFO [00003707] Port=1 RD @00
3706.50ns INFO [00003708] * RD COMPARE * port=0 adr=1D act=A748524B exp=A748524B
3706.50ns INFO [00003708] * RD COMPARE * port=1 adr=1D act=A748524B exp=A748524B
3706.50ns INFO [00003708] Port=1 RD @05
3707.50ns INFO [00003709] * RD COMPARE * port=0 adr=04 act=12A2E1D4 exp=12A2E1D4
3707.50ns INFO [00003709] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3707.50ns INFO [00003709] Port=0 WR @09=75325115
3707.50ns INFO [00003709] Port=0 RD @1C
3708.50ns INFO [00003710] * RD COMPARE * port=1 adr=05 act=3D2CFF8F exp=3D2CFF8F
3708.50ns INFO [00003710] Port=0 RD @0E
3709.50ns INFO [00003711] * RD COMPARE * port=0 adr=1C act=69C7C70A exp=69C7C70A
3709.50ns INFO [00003711] Port=1 RD @1D
3710.50ns INFO [00003712] * RD COMPARE * port=0 adr=0E act=8DBC11C5 exp=8DBC11C5
3710.50ns INFO [00003712] Port=0 WR @1D=F2404F9D
3710.50ns INFO [00003712] Port=1 RD @10
3711.50ns INFO [00003713] * RD COMPARE * port=1 adr=1D act=A748524B exp=A748524B
3712.50ns INFO [00003714] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC
3712.50ns INFO [00003714] Port=0 WR @16=5419B105
3712.50ns INFO [00003714] Port=1 RD @11
3713.50ns INFO [00003715] Port=0 WR @0F=FA135C30
3713.50ns INFO [00003715] Port=0 RD @0C
3714.50ns INFO [00003716] * RD COMPARE * port=1 adr=11 act=D57D5788 exp=D57D5788
3714.50ns INFO [00003716] Port=1 RD @06
3715.50ns INFO [00003717] * RD COMPARE * port=0 adr=0C act=32944E84 exp=32944E84
3715.50ns INFO [00003717] Port=0 WR @13=936AA18B
3716.50ns INFO [00003718] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929
3717.50ns INFO [00003719] Port=0 RD @1F
3718.50ns INFO [00003720] Port=0 WR @09=33E325A8
3719.50ns INFO [00003721] * RD COMPARE * port=0 adr=1F act=A505F7BA exp=A505F7BA
3719.50ns INFO [00003721] Port=0 RD @0F
3720.50ns INFO [00003722] Port=0 WR @1E=CFDB5F02
3720.50ns INFO [00003722] Port=1 RD @10
3721.50ns INFO [00003723] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30
3721.50ns INFO [00003723] Port=0 RD @13
3722.50ns INFO [00003724] * RD COMPARE * port=1 adr=10 act=5243C0CC exp=5243C0CC
3722.50ns INFO [00003724] Port=0 WR @09=6C6B36B6
3722.50ns INFO [00003724] Port=0 RD @0D
3723.50ns INFO [00003725] * RD COMPARE * port=0 adr=13 act=936AA18B exp=936AA18B
3723.50ns INFO [00003725] Port=0 WR @17=7C3EE5F7
3723.50ns INFO [00003725] Port=1 RD @00
3724.50ns INFO [00003726] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7
3724.50ns INFO [00003726] Port=1 RD @1C
3725.50ns INFO [00003727] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3725.50ns INFO [00003727] Port=0 WR @0B=0C7A1158
3725.50ns INFO [00003727] Port=0 RD @17
3726.50ns INFO [00003728] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A
3726.50ns INFO [00003728] Port=0 WR @01=CE82D54E
3726.50ns INFO [00003728] Port=0 RD @02
3727.50ns INFO [00003729] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7
3727.50ns INFO [00003729] Port=1 RD @13
3728.50ns INFO [00003730] * RD COMPARE * port=0 adr=02 act=9013ED01 exp=9013ED01
3728.50ns INFO [00003730] Port=0 WR @10=9B533746
3729.50ns INFO [00003731] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B
3729.50ns INFO [00003731] Port=0 WR @07=BFFDE3A5
3729.50ns INFO [00003731] Port=0 RD @11
3731.50ns INFO [00003733] * RD COMPARE * port=0 adr=11 act=D57D5788 exp=D57D5788
3732.50ns INFO [00003734] Port=1 RD @01
3733.50ns INFO [00003735] Port=0 WR @18=FA0A7F47
3733.50ns INFO [00003735] Port=0 RD @09
3734.50ns INFO [00003736] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E
3734.50ns INFO [00003736] Port=1 RD @13
3735.50ns INFO [00003737] * RD COMPARE * port=0 adr=09 act=6C6B36B6 exp=6C6B36B6
3735.50ns INFO [00003737] Port=0 WR @08=E1521F3B
3736.50ns INFO [00003738] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B
3738.50ns INFO [00003740] Port=0 WR @03=AAF35B57
3738.50ns INFO [00003740] Port=0 RD @18
3739.50ns INFO [00003741] Port=0 WR @04=B653AC52
3740.50ns INFO [00003742] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47
3740.50ns INFO [00003742] Port=1 RD @16
3741.50ns INFO [00003743] Port=0 RD @05
3741.50ns INFO [00003743] Port=1 RD @0B
3742.50ns INFO [00003744] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3742.50ns INFO [00003744] Port=0 WR @11=D32A0597
3743.50ns INFO [00003745] * RD COMPARE * port=0 adr=05 act=3D2CFF8F exp=3D2CFF8F
3743.50ns INFO [00003745] * RD COMPARE * port=1 adr=0B act=0C7A1158 exp=0C7A1158
3743.50ns INFO [00003745] Port=0 WR @14=DACF7864
3743.50ns INFO [00003745] Port=1 RD @12
3744.50ns INFO [00003746] Port=0 RD @1B
3744.50ns INFO [00003746] Port=1 RD @0F
3745.50ns INFO [00003747] * RD COMPARE * port=1 adr=12 act=760FE161 exp=760FE161
3746.50ns INFO [00003748] * RD COMPARE * port=0 adr=1B act=34602B20 exp=34602B20
3746.50ns INFO [00003748] * RD COMPARE * port=1 adr=0F act=FA135C30 exp=FA135C30
3747.50ns INFO [00003749] Port=0 RD @0F
3747.50ns INFO [00003749] Port=1 RD @1C
3748.50ns INFO [00003750] Port=1 RD @06
3749.50ns INFO [00003751] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30
3749.50ns INFO [00003751] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A
3749.50ns INFO [00003751] Port=1 RD @13
3750.50ns INFO [00003752] * RD COMPARE * port=1 adr=06 act=DB410929 exp=DB410929
3750.50ns INFO [00003752] Port=0 RD @0D
3751.50ns INFO [00003753] * RD COMPARE * port=1 adr=13 act=936AA18B exp=936AA18B
3751.50ns INFO [00003753] Port=0 RD @17
3752.50ns INFO [00003754] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7
3752.50ns INFO [00003754] Port=0 RD @16
3753.50ns INFO [00003755] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7
3753.50ns INFO [00003755] Port=1 RD @0A
3754.50ns INFO [00003756] * RD COMPARE * port=0 adr=16 act=5419B105 exp=5419B105
3754.50ns INFO [00003756] Port=0 WR @1E=BCD5B235
3754.50ns INFO [00003756] Port=0 RD @0A
3754.50ns INFO [00003756] Port=1 RD @16
3755.50ns INFO [00003757] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3755.50ns INFO [00003757] Port=0 RD @0B
3756.50ns INFO [00003758] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3756.50ns INFO [00003758] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3757.50ns INFO [00003759] * RD COMPARE * port=0 adr=0B act=0C7A1158 exp=0C7A1158
3757.50ns INFO [00003759] Port=0 WR @09=E69109E3
3758.50ns INFO [00003760] Port=0 WR @1F=C7B4D1D4
3758.50ns INFO [00003760] Port=0 RD @0D
3760.50ns INFO [00003762] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7
3761.50ns INFO [00003763] Port=0 WR @05=EFBB84E3
3761.50ns INFO [00003763] Port=1 RD @16
3762.50ns INFO [00003764] Port=1 RD @0F
3763.50ns INFO [00003765] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3763.50ns INFO [00003765] Port=0 RD @0F
3764.50ns INFO [00003766] * RD COMPARE * port=1 adr=0F act=FA135C30 exp=FA135C30
3764.50ns INFO [00003766] Port=0 WR @04=C77E5AF9
3765.50ns INFO [00003767] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30
3765.50ns INFO [00003767] Port=0 WR @05=FD17FB70
3765.50ns INFO [00003767] Port=1 RD @03
3766.50ns INFO [00003768] Port=0 RD @14
3767.50ns INFO [00003769] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57
3767.50ns INFO [00003769] Port=0 WR @0E=320B7F5E
3767.50ns INFO [00003769] Port=0 RD @14
3768.50ns INFO [00003770] * RD COMPARE * port=0 adr=14 act=DACF7864 exp=DACF7864
3768.50ns INFO [00003770] Port=0 WR @0E=A76A28D4
3768.50ns INFO [00003770] Port=1 RD @1A
3769.50ns INFO [00003771] * RD COMPARE * port=0 adr=14 act=DACF7864 exp=DACF7864
3769.50ns INFO [00003771] Port=1 RD @03
3770.50ns INFO [00003772] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3770.50ns INFO [00003772] Port=0 WR @19=9A9EBEA5
3770.50ns INFO [00003772] Port=0 RD @12
3771.50ns INFO [00003773] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57
3772.50ns INFO [00003774] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161
3772.50ns INFO [00003774] Port=0 WR @11=2664F908
3772.50ns INFO [00003774] Port=0 RD @19
3773.50ns INFO [00003775] Port=0 WR @06=14A1C407
3773.50ns INFO [00003775] Port=0 RD @1A
3774.50ns INFO [00003776] * RD COMPARE * port=0 adr=19 act=9A9EBEA5 exp=9A9EBEA5
3774.50ns INFO [00003776] Port=0 WR @14=E750A216
3774.50ns INFO [00003776] Port=1 RD @1D
3775.50ns INFO [00003777] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3775.50ns INFO [00003777] Port=1 RD @16
3776.50ns INFO [00003778] * RD COMPARE * port=1 adr=1D act=F2404F9D exp=F2404F9D
3776.50ns INFO [00003778] Port=0 RD @11
3777.50ns INFO [00003779] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3777.50ns INFO [00003779] Port=0 RD @05
3777.50ns INFO [00003779] Port=1 RD @12
3778.50ns INFO [00003780] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
3778.50ns INFO [00003780] Port=0 RD @0A
3779.50ns INFO [00003781] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70
3779.50ns INFO [00003781] * RD COMPARE * port=1 adr=12 act=760FE161 exp=760FE161
3780.50ns INFO [00003782] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3780.50ns INFO [00003782] Port=0 RD @08
3782.50ns INFO [00003784] * RD COMPARE * port=0 adr=08 act=E1521F3B exp=E1521F3B
3782.50ns INFO [00003784] Port=0 RD @1A
3782.50ns INFO [00003784] Port=1 RD @1A
3783.50ns INFO [00003785] Port=0 RD @12
3784.50ns INFO [00003786] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3784.50ns INFO [00003786] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3784.50ns INFO [00003786] Port=0 WR @08=DBF344A9
3785.50ns INFO [00003787] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161
3786.50ns INFO [00003788] Port=0 WR @10=C1CF6065
3787.50ns INFO [00003789] Port=0 WR @04=1BA82C3D
3787.50ns INFO [00003789] Port=0 RD @01
3787.50ns INFO [00003789] Port=1 RD @0A
3788.50ns INFO [00003790] Port=0 RD @1D
3789.50ns INFO [00003791] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E
3789.50ns INFO [00003791] * RD COMPARE * port=1 adr=0A act=27FF3289 exp=27FF3289
3789.50ns INFO [00003791] Port=0 RD @0F
3790.50ns INFO [00003792] * RD COMPARE * port=0 adr=1D act=F2404F9D exp=F2404F9D
3790.50ns INFO [00003792] Port=0 WR @02=8648D340
3790.50ns INFO [00003792] Port=1 RD @14
3791.50ns INFO [00003793] * RD COMPARE * port=0 adr=0F act=FA135C30 exp=FA135C30
3791.50ns INFO [00003793] Port=0 RD @07
3791.50ns INFO [00003793] Port=1 RD @05
3792.50ns INFO [00003794] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216
3792.50ns INFO [00003794] Port=0 WR @09=FD007D2A
3792.50ns INFO [00003794] Port=0 RD @08
3792.50ns INFO [00003794] Port=1 RD @06
3793.50ns INFO [00003795] * RD COMPARE * port=0 adr=07 act=BFFDE3A5 exp=BFFDE3A5
3793.50ns INFO [00003795] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70
3793.50ns INFO [00003795] Port=0 WR @13=22FD0702
3794.50ns INFO [00003796] * RD COMPARE * port=0 adr=08 act=DBF344A9 exp=DBF344A9
3794.50ns INFO [00003796] * RD COMPARE * port=1 adr=06 act=14A1C407 exp=14A1C407
3794.50ns INFO [00003796] Port=0 RD @0A
3796.50ns INFO [00003798] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3796.50ns INFO [00003798] Port=0 WR @1B=CB79E955
3796.50ns INFO [00003798] Port=1 RD @19
3797.50ns INFO [00003799] Port=0 RD @03
3798.00ns INFO [00003800] [00003800] ...tick...
3798.50ns INFO [00003800] * RD COMPARE * port=1 adr=19 act=9A9EBEA5 exp=9A9EBEA5
3798.50ns INFO [00003800] Port=0 RD @0D
3798.50ns INFO [00003800] Port=1 RD @07
3799.50ns INFO [00003801] * RD COMPARE * port=0 adr=03 act=AAF35B57 exp=AAF35B57
3799.50ns INFO [00003801] Port=0 WR @0F=6F882309
3799.50ns INFO [00003801] Port=1 RD @15
3800.50ns INFO [00003802] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7
3800.50ns INFO [00003802] * RD COMPARE * port=1 adr=07 act=BFFDE3A5 exp=BFFDE3A5
3801.50ns INFO [00003803] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8
3801.50ns INFO [00003803] Port=0 RD @1A
3801.50ns INFO [00003803] Port=1 RD @19
3802.50ns INFO [00003804] Port=0 WR @1E=50236D61
3803.50ns INFO [00003805] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3803.50ns INFO [00003805] * RD COMPARE * port=1 adr=19 act=9A9EBEA5 exp=9A9EBEA5
3804.50ns INFO [00003806] Port=0 WR @08=11403530
3804.50ns INFO [00003806] Port=0 RD @18
3805.50ns INFO [00003807] Port=0 WR @04=C737E159
3805.50ns INFO [00003807] Port=1 RD @15
3806.50ns INFO [00003808] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47
3806.50ns INFO [00003808] Port=0 RD @01
3806.50ns INFO [00003808] Port=1 RD @1A
3807.50ns INFO [00003809] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8
3807.50ns INFO [00003809] Port=0 RD @17
3808.50ns INFO [00003810] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E
3808.50ns INFO [00003810] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3808.50ns INFO [00003810] Port=0 RD @1A
3808.50ns INFO [00003810] Port=1 RD @08
3809.50ns INFO [00003811] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7
3809.50ns INFO [00003811] Port=0 WR @19=1DA85DDF
3809.50ns INFO [00003811] Port=1 RD @1A
3810.50ns INFO [00003812] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3810.50ns INFO [00003812] * RD COMPARE * port=1 adr=08 act=11403530 exp=11403530
3810.50ns INFO [00003812] Port=0 WR @19=9D7DF1E8
3810.50ns INFO [00003812] Port=0 RD @17
3811.50ns INFO [00003813] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3811.50ns INFO [00003813] Port=0 WR @19=D9C5472B
3811.50ns INFO [00003813] Port=1 RD @15
3812.50ns INFO [00003814] * RD COMPARE * port=0 adr=17 act=7C3EE5F7 exp=7C3EE5F7
3812.50ns INFO [00003814] Port=0 WR @1E=F02BDE6E
3812.50ns INFO [00003814] Port=1 RD @14
3813.50ns INFO [00003815] * RD COMPARE * port=1 adr=15 act=B8EF74A8 exp=B8EF74A8
3813.50ns INFO [00003815] Port=0 WR @1B=1B9A104A
3813.50ns INFO [00003815] Port=0 RD @16
3814.50ns INFO [00003816] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216
3814.50ns INFO [00003816] Port=0 WR @0C=8A32A16D
3814.50ns INFO [00003816] Port=0 RD @18
3815.50ns INFO [00003817] * RD COMPARE * port=0 adr=16 act=5419B105 exp=5419B105
3815.50ns INFO [00003817] Port=0 RD @1A
3815.50ns INFO [00003817] Port=1 RD @16
3816.50ns INFO [00003818] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47
3817.50ns INFO [00003819] * RD COMPARE * port=0 adr=1A act=7148F5BD exp=7148F5BD
3817.50ns INFO [00003819] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3817.50ns INFO [00003819] Port=0 RD @00
3819.50ns INFO [00003821] * RD COMPARE * port=0 adr=00 act=DE6CAC03 exp=DE6CAC03
3819.50ns INFO [00003821] Port=1 RD @10
3821.50ns INFO [00003823] * RD COMPARE * port=1 adr=10 act=C1CF6065 exp=C1CF6065
3821.50ns INFO [00003823] Port=1 RD @1A
3822.50ns INFO [00003824] Port=0 WR @0B=331571E9
3822.50ns INFO [00003824] Port=1 RD @1E
3823.50ns INFO [00003825] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3823.50ns INFO [00003825] Port=0 RD @03
3824.50ns INFO [00003826] * RD COMPARE * port=1 adr=1E act=F02BDE6E exp=F02BDE6E
3824.50ns INFO [00003826] Port=0 WR @0C=0DF08A50
3824.50ns INFO [00003826] Port=1 RD @09
3825.50ns INFO [00003827] * RD COMPARE * port=0 adr=03 act=AAF35B57 exp=AAF35B57
3825.50ns INFO [00003827] Port=0 WR @09=A568BF08
3826.50ns INFO [00003828] * RD COMPARE * port=1 adr=09 act=FD007D2A exp=FD007D2A
3826.50ns INFO [00003828] Port=1 RD @10
3828.50ns INFO [00003830] * RD COMPARE * port=1 adr=10 act=C1CF6065 exp=C1CF6065
3828.50ns INFO [00003830] Port=0 RD @1D
3829.50ns INFO [00003831] Port=0 RD @0A
3830.50ns INFO [00003832] * RD COMPARE * port=0 adr=1D act=F2404F9D exp=F2404F9D
3830.50ns INFO [00003832] Port=1 RD @1A
3831.50ns INFO [00003833] * RD COMPARE * port=0 adr=0A act=27FF3289 exp=27FF3289
3831.50ns INFO [00003833] Port=0 RD @05
3831.50ns INFO [00003833] Port=1 RD @19
3832.50ns INFO [00003834] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3832.50ns INFO [00003834] Port=0 WR @07=A645ECA1
3832.50ns INFO [00003834] Port=0 RD @0C
3832.50ns INFO [00003834] Port=1 RD @02
3833.50ns INFO [00003835] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70
3833.50ns INFO [00003835] * RD COMPARE * port=1 adr=19 act=D9C5472B exp=D9C5472B
3833.50ns INFO [00003835] Port=1 RD @17
3834.50ns INFO [00003836] * RD COMPARE * port=0 adr=0C act=0DF08A50 exp=0DF08A50
3834.50ns INFO [00003836] * RD COMPARE * port=1 adr=02 act=8648D340 exp=8648D340
3834.50ns INFO [00003836] Port=0 RD @02
3834.50ns INFO [00003836] Port=1 RD @16
3835.50ns INFO [00003837] * RD COMPARE * port=1 adr=17 act=7C3EE5F7 exp=7C3EE5F7
3835.50ns INFO [00003837] Port=0 WR @06=35E0F64A
3835.50ns INFO [00003837] Port=0 RD @18
3836.50ns INFO [00003838] * RD COMPARE * port=0 adr=02 act=8648D340 exp=8648D340
3836.50ns INFO [00003838] * RD COMPARE * port=1 adr=16 act=5419B105 exp=5419B105
3836.50ns INFO [00003838] Port=1 RD @1F
3837.50ns INFO [00003839] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47
3837.50ns INFO [00003839] Port=0 RD @12
3837.50ns INFO [00003839] Port=1 RD @0B
3838.50ns INFO [00003840] * RD COMPARE * port=1 adr=1F act=C7B4D1D4 exp=C7B4D1D4
3839.50ns INFO [00003841] * RD COMPARE * port=0 adr=12 act=760FE161 exp=760FE161
3839.50ns INFO [00003841] * RD COMPARE * port=1 adr=0B act=331571E9 exp=331571E9
3839.50ns INFO [00003841] Port=0 WR @16=9EF3EF24
3839.50ns INFO [00003841] Port=1 RD @13
3840.50ns INFO [00003842] Port=1 RD @07
3841.50ns INFO [00003843] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702
3841.50ns INFO [00003843] Port=0 RD @04
3841.50ns INFO [00003843] Port=1 RD @00
3842.50ns INFO [00003844] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1
3842.50ns INFO [00003844] Port=0 WR @0E=81D08DD6
3843.50ns INFO [00003845] * RD COMPARE * port=0 adr=04 act=C737E159 exp=C737E159
3843.50ns INFO [00003845] * RD COMPARE * port=1 adr=00 act=DE6CAC03 exp=DE6CAC03
3843.50ns INFO [00003845] Port=1 RD @16
3844.50ns INFO [00003846] Port=1 RD @0F
3845.50ns INFO [00003847] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24
3845.50ns INFO [00003847] Port=1 RD @1A
3846.50ns INFO [00003848] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309
3846.50ns INFO [00003848] Port=0 RD @05
3846.50ns INFO [00003848] Port=1 RD @0E
3847.50ns INFO [00003849] * RD COMPARE * port=1 adr=1A act=7148F5BD exp=7148F5BD
3848.50ns INFO [00003850] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70
3848.50ns INFO [00003850] * RD COMPARE * port=1 adr=0E act=81D08DD6 exp=81D08DD6
3848.50ns INFO [00003850] Port=0 RD @0D
3848.50ns INFO [00003850] Port=1 RD @1C
3849.50ns INFO [00003851] Port=0 WR @1C=0D915D00
3849.50ns INFO [00003851] Port=1 RD @0F
3850.50ns INFO [00003852] * RD COMPARE * port=0 adr=0D act=6AEA76A7 exp=6AEA76A7
3850.50ns INFO [00003852] * RD COMPARE * port=1 adr=1C act=69C7C70A exp=69C7C70A
3850.50ns INFO [00003852] Port=1 RD @11
3851.50ns INFO [00003853] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309
3851.50ns INFO [00003853] Port=0 WR @19=9531FD9E
3852.50ns INFO [00003854] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908
3852.50ns INFO [00003854] Port=0 WR @0D=E94345A1
3852.50ns INFO [00003854] Port=1 RD @0F
3853.50ns INFO [00003855] Port=0 WR @17=5EBFC715
3854.50ns INFO [00003856] * RD COMPARE * port=1 adr=0F act=6F882309 exp=6F882309
3854.50ns INFO [00003856] Port=0 WR @00=8EBECC0F
3854.50ns INFO [00003856] Port=0 RD @0C
3855.50ns INFO [00003857] Port=0 RD @05
3855.50ns INFO [00003857] Port=1 RD @16
3856.50ns INFO [00003858] * RD COMPARE * port=0 adr=0C act=0DF08A50 exp=0DF08A50
3856.50ns INFO [00003858] Port=1 RD @13
3857.50ns INFO [00003859] * RD COMPARE * port=0 adr=05 act=FD17FB70 exp=FD17FB70
3857.50ns INFO [00003859] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24
3857.50ns INFO [00003859] Port=0 RD @19
3858.50ns INFO [00003860] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702
3858.50ns INFO [00003860] Port=0 RD @0F
3858.50ns INFO [00003860] Port=1 RD @17
3859.50ns INFO [00003861] * RD COMPARE * port=0 adr=19 act=9531FD9E exp=9531FD9E
3859.50ns INFO [00003861] Port=0 RD @14
3860.50ns INFO [00003862] * RD COMPARE * port=0 adr=0F act=6F882309 exp=6F882309
3860.50ns INFO [00003862] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3860.50ns INFO [00003862] Port=0 WR @1A=60FE989B
3860.50ns INFO [00003862] Port=0 RD @13
3861.50ns INFO [00003863] * RD COMPARE * port=0 adr=14 act=E750A216 exp=E750A216
3862.50ns INFO [00003864] * RD COMPARE * port=0 adr=13 act=22FD0702 exp=22FD0702
3863.50ns INFO [00003865] Port=0 WR @15=72679436
3866.50ns INFO [00003868] Port=1 RD @0B
3867.50ns INFO [00003869] Port=0 WR @1E=B7C9C581
3868.50ns INFO [00003870] * RD COMPARE * port=1 adr=0B act=331571E9 exp=331571E9
3869.50ns INFO [00003871] Port=0 RD @09
3870.50ns INFO [00003872] Port=0 WR @04=603B3F65
3870.50ns INFO [00003872] Port=0 RD @00
3870.50ns INFO [00003872] Port=1 RD @1B
3871.50ns INFO [00003873] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08
3872.50ns INFO [00003874] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F
3872.50ns INFO [00003874] * RD COMPARE * port=1 adr=1B act=1B9A104A exp=1B9A104A
3872.50ns INFO [00003874] Port=0 WR @0A=6093707B
3873.50ns INFO [00003875] Port=0 RD @04
3873.50ns INFO [00003875] Port=1 RD @13
3874.50ns INFO [00003876] Port=0 RD @11
3875.50ns INFO [00003877] * RD COMPARE * port=0 adr=04 act=603B3F65 exp=603B3F65
3875.50ns INFO [00003877] * RD COMPARE * port=1 adr=13 act=22FD0702 exp=22FD0702
3875.50ns INFO [00003877] Port=0 WR @08=A8CFC5A0
3875.50ns INFO [00003877] Port=0 RD @04
3876.50ns INFO [00003878] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
3877.50ns INFO [00003879] * RD COMPARE * port=0 adr=04 act=603B3F65 exp=603B3F65
3877.50ns INFO [00003879] Port=0 RD @0B
3879.50ns INFO [00003881] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9
3879.50ns INFO [00003881] Port=0 WR @0D=B044BB7D
3879.50ns INFO [00003881] Port=1 RD @03
3880.50ns INFO [00003882] Port=0 RD @09
3880.50ns INFO [00003882] Port=1 RD @19
3881.50ns INFO [00003883] * RD COMPARE * port=1 adr=03 act=AAF35B57 exp=AAF35B57
3881.50ns INFO [00003883] Port=0 WR @15=6F3FEA4D
3881.50ns INFO [00003883] Port=1 RD @17
3882.50ns INFO [00003884] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08
3882.50ns INFO [00003884] * RD COMPARE * port=1 adr=19 act=9531FD9E exp=9531FD9E
3882.50ns INFO [00003884] Port=1 RD @05
3883.50ns INFO [00003885] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3883.50ns INFO [00003885] Port=0 RD @09
3884.50ns INFO [00003886] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70
3884.50ns INFO [00003886] Port=0 WR @03=B635258D
3884.50ns INFO [00003886] Port=0 RD @06
3884.50ns INFO [00003886] Port=1 RD @05
3885.50ns INFO [00003887] * RD COMPARE * port=0 adr=09 act=A568BF08 exp=A568BF08
3885.50ns INFO [00003887] Port=0 RD @18
3886.50ns INFO [00003888] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A
3886.50ns INFO [00003888] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70
3886.50ns INFO [00003888] Port=0 WR @08=69C9054F
3886.50ns INFO [00003888] Port=1 RD @0A
3887.50ns INFO [00003889] * RD COMPARE * port=0 adr=18 act=FA0A7F47 exp=FA0A7F47
3887.50ns INFO [00003889] Port=0 WR @0F=354991A6
3887.50ns INFO [00003889] Port=0 RD @0B
3888.50ns INFO [00003890] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B
3889.50ns INFO [00003891] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9
3890.50ns INFO [00003892] Port=1 RD @14
3891.50ns INFO [00003893] Port=0 RD @14
3892.50ns INFO [00003894] * RD COMPARE * port=1 adr=14 act=E750A216 exp=E750A216
3893.50ns INFO [00003895] * RD COMPARE * port=0 adr=14 act=E750A216 exp=E750A216
3893.50ns INFO [00003895] Port=0 WR @1F=8FB3251C
3893.50ns INFO [00003895] Port=1 RD @1C
3894.50ns INFO [00003896] Port=0 WR @14=3F2C48DE
3895.50ns INFO [00003897] * RD COMPARE * port=1 adr=1C act=0D915D00 exp=0D915D00
3895.50ns INFO [00003897] Port=0 WR @02=554C201C
3895.50ns INFO [00003897] Port=1 RD @04
3896.50ns INFO [00003898] Port=1 RD @07
3897.50ns INFO [00003899] * RD COMPARE * port=1 adr=04 act=603B3F65 exp=603B3F65
3898.00ns INFO [00003900] [00003900] ...tick...
3898.50ns INFO [00003900] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1
3898.50ns INFO [00003900] Port=0 RD @01
3899.50ns INFO [00003901] Port=0 WR @0D=89BA0D89
3900.50ns INFO [00003902] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E
3901.50ns INFO [00003903] Port=0 WR @08=ED4EF47A
3902.50ns INFO [00003904] Port=1 RD @16
3903.50ns INFO [00003905] Port=0 RD @1E
3904.50ns INFO [00003906] * RD COMPARE * port=1 adr=16 act=9EF3EF24 exp=9EF3EF24
3905.50ns INFO [00003907] * RD COMPARE * port=0 adr=1E act=B7C9C581 exp=B7C9C581
3906.50ns INFO [00003908] Port=0 RD @0F
3906.50ns INFO [00003908] Port=1 RD @1A
3907.50ns INFO [00003909] Port=1 RD @0C
3908.50ns INFO [00003910] * RD COMPARE * port=0 adr=0F act=354991A6 exp=354991A6
3908.50ns INFO [00003910] * RD COMPARE * port=1 adr=1A act=60FE989B exp=60FE989B
3908.50ns INFO [00003910] Port=1 RD @0E
3909.50ns INFO [00003911] * RD COMPARE * port=1 adr=0C act=0DF08A50 exp=0DF08A50
3909.50ns INFO [00003911] Port=0 RD @15
3909.50ns INFO [00003911] Port=1 RD @01
3910.50ns INFO [00003912] * RD COMPARE * port=1 adr=0E act=81D08DD6 exp=81D08DD6
3910.50ns INFO [00003912] Port=0 WR @1B=1C2709B7
3911.50ns INFO [00003913] * RD COMPARE * port=0 adr=15 act=6F3FEA4D exp=6F3FEA4D
3911.50ns INFO [00003913] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E
3911.50ns INFO [00003913] Port=0 RD @0B
3912.50ns INFO [00003914] Port=1 RD @00
3913.50ns INFO [00003915] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9
3913.50ns INFO [00003915] Port=1 RD @05
3914.50ns INFO [00003916] * RD COMPARE * port=1 adr=00 act=8EBECC0F exp=8EBECC0F
3914.50ns INFO [00003916] Port=1 RD @09
3915.50ns INFO [00003917] * RD COMPARE * port=1 adr=05 act=FD17FB70 exp=FD17FB70
3915.50ns INFO [00003917] Port=0 WR @12=39FFD548
3916.50ns INFO [00003918] * RD COMPARE * port=1 adr=09 act=A568BF08 exp=A568BF08
3916.50ns INFO [00003918] Port=0 RD @06
3917.50ns INFO [00003919] Port=0 WR @0E=A574AC3A
3917.50ns INFO [00003919] Port=0 RD @1C
3917.50ns INFO [00003919] Port=1 RD @0A
3918.50ns INFO [00003920] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A
3919.50ns INFO [00003921] * RD COMPARE * port=0 adr=1C act=0D915D00 exp=0D915D00
3919.50ns INFO [00003921] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B
3920.50ns INFO [00003922] Port=0 WR @18=A01BC068
3920.50ns INFO [00003922] Port=0 RD @08
3920.50ns INFO [00003922] Port=1 RD @1B
3921.50ns INFO [00003923] Port=0 RD @01
3921.50ns INFO [00003923] Port=1 RD @18
3922.50ns INFO [00003924] * RD COMPARE * port=0 adr=08 act=ED4EF47A exp=ED4EF47A
3922.50ns INFO [00003924] * RD COMPARE * port=1 adr=1B act=1C2709B7 exp=1C2709B7
3922.50ns INFO [00003924] Port=1 RD @01
3923.50ns INFO [00003925] * RD COMPARE * port=0 adr=01 act=CE82D54E exp=CE82D54E
3923.50ns INFO [00003925] * RD COMPARE * port=1 adr=18 act=A01BC068 exp=A01BC068
3923.50ns INFO [00003925] Port=0 RD @1C
3924.50ns INFO [00003926] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E
3925.50ns INFO [00003927] * RD COMPARE * port=0 adr=1C act=0D915D00 exp=0D915D00
3925.50ns INFO [00003927] Port=0 WR @1B=F8D4AADD
3926.50ns INFO [00003928] Port=0 RD @14
3927.50ns INFO [00003929] Port=0 WR @05=DEF6B188
3928.50ns INFO [00003930] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
3929.50ns INFO [00003931] Port=0 RD @0D
3930.50ns INFO [00003932] Port=0 WR @13=95DE6F3E
3930.50ns INFO [00003932] Port=0 RD @19
3930.50ns INFO [00003932] Port=1 RD @17
3931.50ns INFO [00003933] * RD COMPARE * port=0 adr=0D act=89BA0D89 exp=89BA0D89
3931.50ns INFO [00003933] Port=0 WR @10=138AB034
3932.50ns INFO [00003934] * RD COMPARE * port=0 adr=19 act=9531FD9E exp=9531FD9E
3932.50ns INFO [00003934] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3932.50ns INFO [00003934] Port=0 RD @0A
3933.50ns INFO [00003935] Port=0 WR @09=FF91C3C2
3934.50ns INFO [00003936] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B
3934.50ns INFO [00003936] Port=0 WR @05=C307D30B
3934.50ns INFO [00003936] Port=0 RD @10
3934.50ns INFO [00003936] Port=1 RD @07
3935.50ns INFO [00003937] Port=0 WR @16=D046523F
3935.50ns INFO [00003937] Port=0 RD @1A
3935.50ns INFO [00003937] Port=1 RD @0D
3936.50ns INFO [00003938] * RD COMPARE * port=0 adr=10 act=138AB034 exp=138AB034
3936.50ns INFO [00003938] * RD COMPARE * port=1 adr=07 act=A645ECA1 exp=A645ECA1
3936.50ns INFO [00003938] Port=0 RD @1F
3936.50ns INFO [00003938] Port=1 RD @03
3937.50ns INFO [00003939] * RD COMPARE * port=0 adr=1A act=60FE989B exp=60FE989B
3937.50ns INFO [00003939] * RD COMPARE * port=1 adr=0D act=89BA0D89 exp=89BA0D89
3937.50ns INFO [00003939] Port=0 WR @15=AC67E4D3
3937.50ns INFO [00003939] Port=0 RD @1F
3937.50ns INFO [00003939] Port=1 RD @1B
3938.50ns INFO [00003940] * RD COMPARE * port=0 adr=1F act=8FB3251C exp=8FB3251C
3938.50ns INFO [00003940] * RD COMPARE * port=1 adr=03 act=B635258D exp=B635258D
3938.50ns INFO [00003940] Port=0 WR @1C=93BD7204
3939.50ns INFO [00003941] * RD COMPARE * port=0 adr=1F act=8FB3251C exp=8FB3251C
3939.50ns INFO [00003941] * RD COMPARE * port=1 adr=1B act=F8D4AADD exp=F8D4AADD
3940.50ns INFO [00003942] Port=0 WR @10=55A10187
3940.50ns INFO [00003942] Port=0 RD @06
3941.50ns INFO [00003943] Port=0 WR @10=6F3E2961
3941.50ns INFO [00003943] Port=1 RD @17
3942.50ns INFO [00003944] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A
3942.50ns INFO [00003944] Port=0 WR @1A=60E69F93
3942.50ns INFO [00003944] Port=1 RD @1C
3943.50ns INFO [00003945] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3943.50ns INFO [00003945] Port=0 WR @10=9CC326D0
3943.50ns INFO [00003945] Port=0 RD @09
3944.50ns INFO [00003946] * RD COMPARE * port=1 adr=1C act=93BD7204 exp=93BD7204
3944.50ns INFO [00003946] Port=0 RD @07
3944.50ns INFO [00003946] Port=1 RD @17
3945.50ns INFO [00003947] * RD COMPARE * port=0 adr=09 act=FF91C3C2 exp=FF91C3C2
3945.50ns INFO [00003947] Port=0 WR @02=84D86974
3945.50ns INFO [00003947] Port=0 RD @1C
3945.50ns INFO [00003947] Port=1 RD @09
3946.50ns INFO [00003948] * RD COMPARE * port=0 adr=07 act=A645ECA1 exp=A645ECA1
3946.50ns INFO [00003948] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3946.50ns INFO [00003948] Port=0 WR @19=2F0C640C
3946.50ns INFO [00003948] Port=1 RD @0A
3947.50ns INFO [00003949] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204
3947.50ns INFO [00003949] * RD COMPARE * port=1 adr=09 act=FF91C3C2 exp=FF91C3C2
3948.50ns INFO [00003950] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B
3948.50ns INFO [00003950] Port=0 WR @0F=D02A6407
3949.50ns INFO [00003951] Port=0 RD @1C
3950.50ns INFO [00003952] Port=0 WR @1A=DE0E246F
3950.50ns INFO [00003952] Port=0 RD @06
3950.50ns INFO [00003952] Port=1 RD @1E
3951.50ns INFO [00003953] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204
3951.50ns INFO [00003953] Port=0 WR @07=18C31B14
3951.50ns INFO [00003953] Port=1 RD @05
3952.50ns INFO [00003954] * RD COMPARE * port=0 adr=06 act=35E0F64A exp=35E0F64A
3952.50ns INFO [00003954] * RD COMPARE * port=1 adr=1E act=B7C9C581 exp=B7C9C581
3953.50ns INFO [00003955] * RD COMPARE * port=1 adr=05 act=C307D30B exp=C307D30B
3954.50ns INFO [00003956] Port=0 RD @11
3955.50ns INFO [00003957] Port=0 RD @17
3956.50ns INFO [00003958] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
3956.50ns INFO [00003958] Port=0 WR @02=07A37D65
3956.50ns INFO [00003958] Port=0 RD @05
3957.50ns INFO [00003959] * RD COMPARE * port=0 adr=17 act=5EBFC715 exp=5EBFC715
3957.50ns INFO [00003959] Port=0 RD @17
3958.50ns INFO [00003960] * RD COMPARE * port=0 adr=05 act=C307D30B exp=C307D30B
3958.50ns INFO [00003960] Port=0 WR @0C=1521F22C
3958.50ns INFO [00003960] Port=1 RD @11
3959.50ns INFO [00003961] * RD COMPARE * port=0 adr=17 act=5EBFC715 exp=5EBFC715
3960.50ns INFO [00003962] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908
3960.50ns INFO [00003962] Port=1 RD @15
3961.50ns INFO [00003963] Port=0 RD @11
3962.50ns INFO [00003964] * RD COMPARE * port=1 adr=15 act=AC67E4D3 exp=AC67E4D3
3962.50ns INFO [00003964] Port=0 WR @03=BF167089
3962.50ns INFO [00003964] Port=1 RD @0F
3963.50ns INFO [00003965] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
3963.50ns INFO [00003965] Port=0 RD @19
3964.50ns INFO [00003966] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407
3964.50ns INFO [00003966] Port=1 RD @11
3965.50ns INFO [00003967] * RD COMPARE * port=0 adr=19 act=2F0C640C exp=2F0C640C
3965.50ns INFO [00003967] Port=0 RD @14
3966.50ns INFO [00003968] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908
3966.50ns INFO [00003968] Port=0 RD @0E
3967.50ns INFO [00003969] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
3967.50ns INFO [00003969] Port=1 RD @11
3968.50ns INFO [00003970] * RD COMPARE * port=0 adr=0E act=A574AC3A exp=A574AC3A
3968.50ns INFO [00003970] Port=0 WR @06=97D179EE
3968.50ns INFO [00003970] Port=0 RD @0C
3969.50ns INFO [00003971] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908
3969.50ns INFO [00003971] Port=0 RD @0A
3969.50ns INFO [00003971] Port=1 RD @1C
3970.50ns INFO [00003972] * RD COMPARE * port=0 adr=0C act=1521F22C exp=1521F22C
3970.50ns INFO [00003972] Port=1 RD @17
3971.50ns INFO [00003973] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B
3971.50ns INFO [00003973] * RD COMPARE * port=1 adr=1C act=93BD7204 exp=93BD7204
3971.50ns INFO [00003973] Port=1 RD @01
3972.50ns INFO [00003974] * RD COMPARE * port=1 adr=17 act=5EBFC715 exp=5EBFC715
3972.50ns INFO [00003974] Port=0 RD @12
3973.50ns INFO [00003975] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E
3973.50ns INFO [00003975] Port=0 RD @16
3974.50ns INFO [00003976] * RD COMPARE * port=0 adr=12 act=39FFD548 exp=39FFD548
3974.50ns INFO [00003976] Port=0 WR @0E=7DD80135
3974.50ns INFO [00003976] Port=0 RD @10
3975.50ns INFO [00003977] * RD COMPARE * port=0 adr=16 act=D046523F exp=D046523F
3975.50ns INFO [00003977] Port=0 RD @00
3976.50ns INFO [00003978] * RD COMPARE * port=0 adr=10 act=9CC326D0 exp=9CC326D0
3976.50ns INFO [00003978] Port=0 RD @1C
3977.50ns INFO [00003979] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F
3977.50ns INFO [00003979] Port=0 WR @10=60DAA37E
3977.50ns INFO [00003979] Port=0 RD @03
3977.50ns INFO [00003979] Port=1 RD @1D
3978.50ns INFO [00003980] * RD COMPARE * port=0 adr=1C act=93BD7204 exp=93BD7204
3978.50ns INFO [00003980] Port=0 RD @02
3979.50ns INFO [00003981] * RD COMPARE * port=0 adr=03 act=BF167089 exp=BF167089
3979.50ns INFO [00003981] * RD COMPARE * port=1 adr=1D act=F2404F9D exp=F2404F9D
3979.50ns INFO [00003981] Port=0 WR @1A=643CDED9
3979.50ns INFO [00003981] Port=0 RD @05
3979.50ns INFO [00003981] Port=1 RD @03
3980.50ns INFO [00003982] * RD COMPARE * port=0 adr=02 act=07A37D65 exp=07A37D65
3980.50ns INFO [00003982] Port=0 WR @18=E2F8A8D4
3981.50ns INFO [00003983] * RD COMPARE * port=0 adr=05 act=C307D30B exp=C307D30B
3981.50ns INFO [00003983] * RD COMPARE * port=1 adr=03 act=BF167089 exp=BF167089
3982.50ns INFO [00003984] Port=0 RD @14
3982.50ns INFO [00003984] Port=1 RD @1F
3984.50ns INFO [00003986] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
3984.50ns INFO [00003986] * RD COMPARE * port=1 adr=1F act=8FB3251C exp=8FB3251C
3984.50ns INFO [00003986] Port=0 WR @0C=7C7F6136
3984.50ns INFO [00003986] Port=0 RD @0A
3986.50ns INFO [00003988] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B
3986.50ns INFO [00003988] Port=0 RD @0D
3987.50ns INFO [00003989] Port=0 WR @17=84D420B0
3987.50ns INFO [00003989] Port=1 RD @1B
3988.50ns INFO [00003990] * RD COMPARE * port=0 adr=0D act=89BA0D89 exp=89BA0D89
3989.50ns INFO [00003991] * RD COMPARE * port=1 adr=1B act=F8D4AADD exp=F8D4AADD
3989.50ns INFO [00003991] Port=0 RD @11
3991.50ns INFO [00003993] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
3991.50ns INFO [00003993] Port=0 WR @0E=906C3E8E
3991.50ns INFO [00003993] Port=1 RD @00
3993.50ns INFO [00003995] * RD COMPARE * port=1 adr=00 act=8EBECC0F exp=8EBECC0F
3993.50ns INFO [00003995] Port=0 WR @1B=787F0137
3993.50ns INFO [00003995] Port=1 RD @16
3994.50ns INFO [00003996] Port=1 RD @08
3995.50ns INFO [00003997] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F
3995.50ns INFO [00003997] Port=0 RD @0C
3996.50ns INFO [00003998] * RD COMPARE * port=1 adr=08 act=ED4EF47A exp=ED4EF47A
3996.50ns INFO [00003998] Port=0 RD @0A
3996.50ns INFO [00003998] Port=1 RD @06
3997.50ns INFO [00003999] * RD COMPARE * port=0 adr=0C act=7C7F6136 exp=7C7F6136
3997.50ns INFO [00003999] Port=1 RD @09
3998.00ns INFO [00004000] [00004000] ...tick...
3998.50ns INFO [00004000] * RD COMPARE * port=0 adr=0A act=6093707B exp=6093707B
3998.50ns INFO [00004000] * RD COMPARE * port=1 adr=06 act=97D179EE exp=97D179EE
3998.50ns INFO [00004000] Port=0 WR @19=25E41252
3998.50ns INFO [00004000] Port=0 RD @06
3999.50ns INFO [00004001] * RD COMPARE * port=1 adr=09 act=FF91C3C2 exp=FF91C3C2
4000.50ns INFO [00004002] * RD COMPARE * port=0 adr=06 act=97D179EE exp=97D179EE
4001.50ns INFO [00004003] Port=0 WR @04=E53BF743
4001.50ns INFO [00004003] Port=0 RD @10
4001.50ns INFO [00004003] Port=1 RD @1E
4002.50ns INFO [00004004] Port=0 WR @1C=BE762915
4003.50ns INFO [00004005] * RD COMPARE * port=0 adr=10 act=60DAA37E exp=60DAA37E
4003.50ns INFO [00004005] * RD COMPARE * port=1 adr=1E act=B7C9C581 exp=B7C9C581
4003.50ns INFO [00004005] Port=0 WR @1B=FD594224
4003.50ns INFO [00004005] Port=1 RD @12
4004.50ns INFO [00004006] Port=1 RD @0F
4005.50ns INFO [00004007] * RD COMPARE * port=1 adr=12 act=39FFD548 exp=39FFD548
4005.50ns INFO [00004007] Port=0 WR @04=FE2490AA
4005.50ns INFO [00004007] Port=0 RD @03
4006.50ns INFO [00004008] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407
4006.50ns INFO [00004008] Port=0 WR @1F=F793A2FC
4007.50ns INFO [00004009] * RD COMPARE * port=0 adr=03 act=BF167089 exp=BF167089
4007.50ns INFO [00004009] Port=0 WR @1F=7C5F730A
4008.50ns INFO [00004010] Port=0 WR @1C=365C4B6C
4009.50ns INFO [00004011] Port=0 WR @1C=ECA0DAD3
4009.50ns INFO [00004011] Port=0 RD @18
4010.50ns INFO [00004012] Port=0 WR @03=8DC949E0
4010.50ns INFO [00004012] Port=1 RD @1A
4011.50ns INFO [00004013] * RD COMPARE * port=0 adr=18 act=E2F8A8D4 exp=E2F8A8D4
4012.50ns INFO [00004014] * RD COMPARE * port=1 adr=1A act=643CDED9 exp=643CDED9
4012.50ns INFO [00004014] Port=0 RD @00
4012.50ns INFO [00004014] Port=1 RD @07
4013.50ns INFO [00004015] Port=0 WR @09=BA1A83E0
4013.50ns INFO [00004015] Port=1 RD @0F
4014.50ns INFO [00004016] * RD COMPARE * port=0 adr=00 act=8EBECC0F exp=8EBECC0F
4014.50ns INFO [00004016] * RD COMPARE * port=1 adr=07 act=18C31B14 exp=18C31B14
4014.50ns INFO [00004016] Port=0 WR @18=446657A0
4015.50ns INFO [00004017] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407
4015.50ns INFO [00004017] Port=0 WR @0D=1B5919BF
4015.50ns INFO [00004017] Port=0 RD @1F
4016.50ns INFO [00004018] Port=1 RD @01
4017.50ns INFO [00004019] * RD COMPARE * port=0 adr=1F act=7C5F730A exp=7C5F730A
4017.50ns INFO [00004019] Port=0 WR @10=0BFB96D4
4017.50ns INFO [00004019] Port=0 RD @18
4018.50ns INFO [00004020] * RD COMPARE * port=1 adr=01 act=CE82D54E exp=CE82D54E
4019.50ns INFO [00004021] * RD COMPARE * port=0 adr=18 act=446657A0 exp=446657A0
4019.50ns INFO [00004021] Port=0 RD @1F
4019.50ns INFO [00004021] Port=1 RD @09
4020.50ns INFO [00004022] Port=0 RD @04
4020.50ns INFO [00004022] Port=1 RD @06
4021.50ns INFO [00004023] * RD COMPARE * port=0 adr=1F act=7C5F730A exp=7C5F730A
4021.50ns INFO [00004023] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0
4021.50ns INFO [00004023] Port=0 WR @00=9F2340E0
4021.50ns INFO [00004023] Port=0 RD @1A
4022.50ns INFO [00004024] * RD COMPARE * port=0 adr=04 act=FE2490AA exp=FE2490AA
4022.50ns INFO [00004024] * RD COMPARE * port=1 adr=06 act=97D179EE exp=97D179EE
4023.50ns INFO [00004025] * RD COMPARE * port=0 adr=1A act=643CDED9 exp=643CDED9
4023.50ns INFO [00004025] Port=0 WR @1B=01BFB9FF
4023.50ns INFO [00004025] Port=0 RD @14
4025.50ns INFO [00004027] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
4025.50ns INFO [00004027] Port=0 WR @1D=89054ABD
4026.50ns INFO [00004028] Port=0 RD @1B
4027.50ns INFO [00004029] Port=1 RD @1F
4028.50ns INFO [00004030] * RD COMPARE * port=0 adr=1B act=01BFB9FF exp=01BFB9FF
4028.50ns INFO [00004030] Port=0 WR @19=23004339
4029.50ns INFO [00004031] * RD COMPARE * port=1 adr=1F act=7C5F730A exp=7C5F730A
4029.50ns INFO [00004031] Port=0 WR @01=90D12761
4029.50ns INFO [00004031] Port=0 RD @1D
4031.50ns INFO [00004033] * RD COMPARE * port=0 adr=1D act=89054ABD exp=89054ABD
4032.50ns INFO [00004034] Port=0 WR @05=63E43187
4032.50ns INFO [00004034] Port=1 RD @10
4034.50ns INFO [00004036] * RD COMPARE * port=1 adr=10 act=0BFB96D4 exp=0BFB96D4
4034.50ns INFO [00004036] Port=0 WR @15=7C0CBB8F
4036.50ns INFO [00004038] Port=0 WR @06=DD47562B
4037.50ns INFO [00004039] Port=0 WR @12=EEA15BD3
4037.50ns INFO [00004039] Port=0 RD @15
4037.50ns INFO [00004039] Port=1 RD @14
4039.50ns INFO [00004041] * RD COMPARE * port=0 adr=15 act=7C0CBB8F exp=7C0CBB8F
4039.50ns INFO [00004041] * RD COMPARE * port=1 adr=14 act=3F2C48DE exp=3F2C48DE
4039.50ns INFO [00004041] Port=0 RD @19
4040.50ns INFO [00004042] Port=1 RD @01
4041.50ns INFO [00004043] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339
4042.50ns INFO [00004044] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761
4042.50ns INFO [00004044] Port=1 RD @12
4044.50ns INFO [00004046] * RD COMPARE * port=1 adr=12 act=EEA15BD3 exp=EEA15BD3
4044.50ns INFO [00004046] Port=0 WR @07=3298094B
4044.50ns INFO [00004046] Port=1 RD @14
4045.50ns INFO [00004047] Port=0 RD @0D
4046.50ns INFO [00004048] * RD COMPARE * port=1 adr=14 act=3F2C48DE exp=3F2C48DE
4046.50ns INFO [00004048] Port=0 RD @09
4047.50ns INFO [00004049] * RD COMPARE * port=0 adr=0D act=1B5919BF exp=1B5919BF
4048.50ns INFO [00004050] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0
4048.50ns INFO [00004050] Port=0 WR @03=8C4FF086
4048.50ns INFO [00004050] Port=0 RD @1A
4048.50ns INFO [00004050] Port=1 RD @16
4049.50ns INFO [00004051] Port=0 WR @06=69C99BAA
4049.50ns INFO [00004051] Port=0 RD @0F
4050.50ns INFO [00004052] * RD COMPARE * port=0 adr=1A act=643CDED9 exp=643CDED9
4050.50ns INFO [00004052] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F
4050.50ns INFO [00004052] Port=0 WR @18=AA75215D
4051.50ns INFO [00004053] * RD COMPARE * port=0 adr=0F act=D02A6407 exp=D02A6407
4051.50ns INFO [00004053] Port=0 WR @1D=9CA0FF38
4051.50ns INFO [00004053] Port=1 RD @0F
4052.50ns INFO [00004054] Port=0 WR @08=13AD3081
4052.50ns INFO [00004054] Port=1 RD @0E
4053.50ns INFO [00004055] * RD COMPARE * port=1 adr=0F act=D02A6407 exp=D02A6407
4053.50ns INFO [00004055] Port=1 RD @1B
4054.50ns INFO [00004056] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4054.50ns INFO [00004056] Port=0 WR @05=31EE3D4D
4055.50ns INFO [00004057] * RD COMPARE * port=1 adr=1B act=01BFB9FF exp=01BFB9FF
4055.50ns INFO [00004057] Port=0 WR @0D=A3C4E9D5
4055.50ns INFO [00004057] Port=0 RD @1E
4056.50ns INFO [00004058] Port=0 WR @0F=82DADD88
4056.50ns INFO [00004058] Port=0 RD @1D
4056.50ns INFO [00004058] Port=1 RD @03
4057.50ns INFO [00004059] * RD COMPARE * port=0 adr=1E act=B7C9C581 exp=B7C9C581
4058.50ns INFO [00004060] * RD COMPARE * port=0 adr=1D act=9CA0FF38 exp=9CA0FF38
4058.50ns INFO [00004060] * RD COMPARE * port=1 adr=03 act=8C4FF086 exp=8C4FF086
4058.50ns INFO [00004060] Port=0 RD @09
4058.50ns INFO [00004060] Port=1 RD @0E
4059.50ns INFO [00004061] Port=0 WR @1F=492C23C7
4059.50ns INFO [00004061] Port=0 RD @05
4060.50ns INFO [00004062] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0
4060.50ns INFO [00004062] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4060.50ns INFO [00004062] Port=0 RD @14
4060.50ns INFO [00004062] Port=1 RD @11
4061.50ns INFO [00004063] * RD COMPARE * port=0 adr=05 act=31EE3D4D exp=31EE3D4D
4061.50ns INFO [00004063] Port=1 RD @16
4062.50ns INFO [00004064] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
4062.50ns INFO [00004064] * RD COMPARE * port=1 adr=11 act=2664F908 exp=2664F908
4063.50ns INFO [00004065] * RD COMPARE * port=1 adr=16 act=D046523F exp=D046523F
4063.50ns INFO [00004065] Port=0 RD @15
4063.50ns INFO [00004065] Port=1 RD @0A
4064.50ns INFO [00004066] Port=0 RD @03
4064.50ns INFO [00004066] Port=1 RD @0A
4065.50ns INFO [00004067] * RD COMPARE * port=0 adr=15 act=7C0CBB8F exp=7C0CBB8F
4065.50ns INFO [00004067] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B
4066.50ns INFO [00004068] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086
4066.50ns INFO [00004068] * RD COMPARE * port=1 adr=0A act=6093707B exp=6093707B
4066.50ns INFO [00004068] Port=0 RD @07
4067.50ns INFO [00004069] Port=0 RD @10
4068.50ns INFO [00004070] * RD COMPARE * port=0 adr=07 act=3298094B exp=3298094B
4068.50ns INFO [00004070] Port=0 RD @09
4068.50ns INFO [00004070] Port=1 RD @1F
4069.50ns INFO [00004071] * RD COMPARE * port=0 adr=10 act=0BFB96D4 exp=0BFB96D4
4069.50ns INFO [00004071] Port=0 WR @00=31EEF69B
4069.50ns INFO [00004071] Port=0 RD @01
4070.50ns INFO [00004072] * RD COMPARE * port=0 adr=09 act=BA1A83E0 exp=BA1A83E0
4070.50ns INFO [00004072] * RD COMPARE * port=1 adr=1F act=492C23C7 exp=492C23C7
4071.50ns INFO [00004073] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761
4071.50ns INFO [00004073] Port=1 RD @06
4072.50ns INFO [00004074] Port=0 RD @06
4072.50ns INFO [00004074] Port=1 RD @17
4073.50ns INFO [00004075] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA
4074.50ns INFO [00004076] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA
4074.50ns INFO [00004076] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0
4074.50ns INFO [00004076] Port=0 WR @16=3753AA76
4074.50ns INFO [00004076] Port=0 RD @14
4074.50ns INFO [00004076] Port=1 RD @08
4075.50ns INFO [00004077] Port=0 WR @10=F0D9BEA5
4075.50ns INFO [00004077] Port=1 RD @00
4076.50ns INFO [00004078] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
4076.50ns INFO [00004078] * RD COMPARE * port=1 adr=08 act=13AD3081 exp=13AD3081
4076.50ns INFO [00004078] Port=1 RD @17
4077.50ns INFO [00004079] * RD COMPARE * port=1 adr=00 act=31EEF69B exp=31EEF69B
4077.50ns INFO [00004079] Port=0 RD @13
4078.50ns INFO [00004080] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0
4078.50ns INFO [00004080] Port=0 WR @1A=D99A46D4
4078.50ns INFO [00004080] Port=0 RD @01
4078.50ns INFO [00004080] Port=1 RD @09
4079.50ns INFO [00004081] * RD COMPARE * port=0 adr=13 act=95DE6F3E exp=95DE6F3E
4080.50ns INFO [00004082] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761
4080.50ns INFO [00004082] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0
4080.50ns INFO [00004082] Port=0 RD @0D
4080.50ns INFO [00004082] Port=1 RD @01
4082.50ns INFO [00004084] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4082.50ns INFO [00004084] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761
4082.50ns INFO [00004084] Port=0 WR @0A=D9BC5343
4082.50ns INFO [00004084] Port=0 RD @1C
4084.50ns INFO [00004086] * RD COMPARE * port=0 adr=1C act=ECA0DAD3 exp=ECA0DAD3
4084.50ns INFO [00004086] Port=0 WR @1E=89CFE7B3
4084.50ns INFO [00004086] Port=1 RD @09
4085.50ns INFO [00004087] Port=0 WR @18=70842B97
4085.50ns INFO [00004087] Port=1 RD @1C
4086.50ns INFO [00004088] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0
4087.50ns INFO [00004089] * RD COMPARE * port=1 adr=1C act=ECA0DAD3 exp=ECA0DAD3
4087.50ns INFO [00004089] Port=0 WR @04=061459F6
4088.50ns INFO [00004090] Port=0 WR @1B=E507E8F7
4088.50ns INFO [00004090] Port=1 RD @05
4089.50ns INFO [00004091] Port=0 RD @14
4089.50ns INFO [00004091] Port=1 RD @1E
4090.50ns INFO [00004092] * RD COMPARE * port=1 adr=05 act=31EE3D4D exp=31EE3D4D
4090.50ns INFO [00004092] Port=0 WR @10=ECB061CD
4090.50ns INFO [00004092] Port=0 RD @16
4090.50ns INFO [00004092] Port=1 RD @15
4091.50ns INFO [00004093] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
4091.50ns INFO [00004093] * RD COMPARE * port=1 adr=1E act=89CFE7B3 exp=89CFE7B3
4091.50ns INFO [00004093] Port=0 RD @01
4092.50ns INFO [00004094] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76
4092.50ns INFO [00004094] * RD COMPARE * port=1 adr=15 act=7C0CBB8F exp=7C0CBB8F
4093.50ns INFO [00004095] * RD COMPARE * port=0 adr=01 act=90D12761 exp=90D12761
4093.50ns INFO [00004095] Port=0 RD @14
4094.50ns INFO [00004096] Port=0 RD @06
4095.50ns INFO [00004097] * RD COMPARE * port=0 adr=14 act=3F2C48DE exp=3F2C48DE
4095.50ns INFO [00004097] Port=0 RD @1F
4095.50ns INFO [00004097] Port=1 RD @0F
4096.50ns INFO [00004098] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA
4096.50ns INFO [00004098] Port=0 WR @0C=64685B19
4097.50ns INFO [00004099] * RD COMPARE * port=0 adr=1F act=492C23C7 exp=492C23C7
4097.50ns INFO [00004099] * RD COMPARE * port=1 adr=0F act=82DADD88 exp=82DADD88
4097.50ns INFO [00004099] Port=0 RD @00
4097.50ns INFO [00004099] Port=1 RD @01
4098.00ns INFO [00004100] [00004100] ...tick...
4098.50ns INFO [00004100] Port=1 RD @19
4099.50ns INFO [00004101] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B
4099.50ns INFO [00004101] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761
4099.50ns INFO [00004101] Port=0 RD @0D
4100.50ns INFO [00004102] * RD COMPARE * port=1 adr=19 act=23004339 exp=23004339
4101.50ns INFO [00004103] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4102.50ns INFO [00004104] Port=1 RD @01
4103.50ns INFO [00004105] Port=1 RD @1B
4104.50ns INFO [00004106] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761
4104.50ns INFO [00004106] Port=0 RD @0B
4105.50ns INFO [00004107] * RD COMPARE * port=1 adr=1B act=E507E8F7 exp=E507E8F7
4106.50ns INFO [00004108] * RD COMPARE * port=0 adr=0B act=331571E9 exp=331571E9
4106.50ns INFO [00004108] Port=0 WR @02=CF9EC179
4107.50ns INFO [00004109] Port=0 RD @07
4108.50ns INFO [00004110] Port=0 RD @12
4108.50ns INFO [00004110] Port=1 RD @08
4109.50ns INFO [00004111] * RD COMPARE * port=0 adr=07 act=3298094B exp=3298094B
4109.50ns INFO [00004111] Port=0 RD @06
4110.50ns INFO [00004112] * RD COMPARE * port=0 adr=12 act=EEA15BD3 exp=EEA15BD3
4110.50ns INFO [00004112] * RD COMPARE * port=1 adr=08 act=13AD3081 exp=13AD3081
4110.50ns INFO [00004112] Port=0 WR @1B=0555CC53
4110.50ns INFO [00004112] Port=0 RD @1E
4111.50ns INFO [00004113] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA
4111.50ns INFO [00004113] Port=0 WR @08=7759918E
4112.50ns INFO [00004114] * RD COMPARE * port=0 adr=1E act=89CFE7B3 exp=89CFE7B3
4112.50ns INFO [00004114] Port=0 RD @0D
4113.50ns INFO [00004115] Port=0 WR @14=75BAD922
4113.50ns INFO [00004115] Port=0 RD @08
4113.50ns INFO [00004115] Port=1 RD @1C
4114.50ns INFO [00004116] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4114.50ns INFO [00004116] Port=0 RD @1F
4115.50ns INFO [00004117] * RD COMPARE * port=0 adr=08 act=7759918E exp=7759918E
4115.50ns INFO [00004117] * RD COMPARE * port=1 adr=1C act=ECA0DAD3 exp=ECA0DAD3
4116.50ns INFO [00004118] * RD COMPARE * port=0 adr=1F act=492C23C7 exp=492C23C7
4116.50ns INFO [00004118] Port=0 WR @1A=BB9F4B01
4116.50ns INFO [00004118] Port=1 RD @08
4117.50ns INFO [00004119] Port=0 WR @0F=C4665F38
4118.50ns INFO [00004120] * RD COMPARE * port=1 adr=08 act=7759918E exp=7759918E
4118.50ns INFO [00004120] Port=0 WR @1B=CDAFD5D1
4118.50ns INFO [00004120] Port=0 RD @13
4118.50ns INFO [00004120] Port=1 RD @17
4120.50ns INFO [00004122] * RD COMPARE * port=0 adr=13 act=95DE6F3E exp=95DE6F3E
4120.50ns INFO [00004122] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0
4120.50ns INFO [00004122] Port=0 WR @07=0D539370
4120.50ns INFO [00004122] Port=1 RD @16
4121.50ns INFO [00004123] Port=0 WR @08=871CBA16
4122.50ns INFO [00004124] * RD COMPARE * port=1 adr=16 act=3753AA76 exp=3753AA76
4123.50ns INFO [00004125] Port=1 RD @1A
4124.50ns INFO [00004126] Port=0 RD @19
4125.50ns INFO [00004127] * RD COMPARE * port=1 adr=1A act=BB9F4B01 exp=BB9F4B01
4125.50ns INFO [00004127] Port=0 RD @18
4125.50ns INFO [00004127] Port=1 RD @0D
4126.50ns INFO [00004128] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339
4127.50ns INFO [00004129] * RD COMPARE * port=0 adr=18 act=70842B97 exp=70842B97
4127.50ns INFO [00004129] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4127.50ns INFO [00004129] Port=0 WR @1F=9C640E34
4127.50ns INFO [00004129] Port=1 RD @09
4128.50ns INFO [00004130] Port=0 WR @14=B0B7BD61
4128.50ns INFO [00004130] Port=1 RD @03
4129.50ns INFO [00004131] * RD COMPARE * port=1 adr=09 act=BA1A83E0 exp=BA1A83E0
4129.50ns INFO [00004131] Port=0 WR @1A=539A9B3F
4129.50ns INFO [00004131] Port=0 RD @16
4130.50ns INFO [00004132] * RD COMPARE * port=1 adr=03 act=8C4FF086 exp=8C4FF086
4130.50ns INFO [00004132] Port=0 WR @0C=124D4A61
4130.50ns INFO [00004132] Port=0 RD @08
4131.50ns INFO [00004133] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76
4132.50ns INFO [00004134] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16
4133.50ns INFO [00004135] Port=0 RD @03
4133.50ns INFO [00004135] Port=1 RD @0D
4134.50ns INFO [00004136] Port=0 WR @1A=B726ED6C
4134.50ns INFO [00004136] Port=1 RD @14
4135.50ns INFO [00004137] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086
4135.50ns INFO [00004137] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4135.50ns INFO [00004137] Port=1 RD @17
4136.50ns INFO [00004138] * RD COMPARE * port=1 adr=14 act=B0B7BD61 exp=B0B7BD61
4136.50ns INFO [00004138] Port=0 WR @14=2DFC5EF1
4137.50ns INFO [00004139] * RD COMPARE * port=1 adr=17 act=84D420B0 exp=84D420B0
4138.50ns INFO [00004140] Port=0 WR @0C=82C28CC1
4140.50ns INFO [00004142] Port=0 RD @03
4140.50ns INFO [00004142] Port=1 RD @0F
4141.50ns INFO [00004143] Port=0 RD @1B
4142.50ns INFO [00004144] * RD COMPARE * port=0 adr=03 act=8C4FF086 exp=8C4FF086
4142.50ns INFO [00004144] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38
4142.50ns INFO [00004144] Port=0 RD @1F
4142.50ns INFO [00004144] Port=1 RD @0E
4143.50ns INFO [00004145] * RD COMPARE * port=0 adr=1B act=CDAFD5D1 exp=CDAFD5D1
4143.50ns INFO [00004145] Port=0 WR @1B=BE4A45BA
4143.50ns INFO [00004145] Port=0 RD @1F
4144.50ns INFO [00004146] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34
4144.50ns INFO [00004146] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4144.50ns INFO [00004146] Port=1 RD @0E
4145.50ns INFO [00004147] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34
4145.50ns INFO [00004147] Port=0 WR @0B=7D38DCB1
4146.50ns INFO [00004148] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4150.50ns INFO [00004152] Port=0 WR @14=86ABEE51
4150.50ns INFO [00004152] Port=0 RD @00
4151.50ns INFO [00004153] Port=0 WR @03=DC37F403
4151.50ns INFO [00004153] Port=1 RD @0B
4152.50ns INFO [00004154] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B
4153.50ns INFO [00004155] * RD COMPARE * port=1 adr=0B act=7D38DCB1 exp=7D38DCB1
4154.50ns INFO [00004156] Port=0 WR @17=795D0D0F
4154.50ns INFO [00004156] Port=0 RD @1D
4155.50ns INFO [00004157] Port=0 RD @1F
4156.50ns INFO [00004158] * RD COMPARE * port=0 adr=1D act=9CA0FF38 exp=9CA0FF38
4156.50ns INFO [00004158] Port=0 RD @0A
4157.50ns INFO [00004159] * RD COMPARE * port=0 adr=1F act=9C640E34 exp=9C640E34
4157.50ns INFO [00004159] Port=0 WR @0A=13B6D0AE
4157.50ns INFO [00004159] Port=1 RD @0F
4158.50ns INFO [00004160] * RD COMPARE * port=0 adr=0A act=D9BC5343 exp=D9BC5343
4158.50ns INFO [00004160] Port=1 RD @14
4159.50ns INFO [00004161] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38
4160.50ns INFO [00004162] * RD COMPARE * port=1 adr=14 act=86ABEE51 exp=86ABEE51
4162.50ns INFO [00004164] Port=0 WR @09=334F76DF
4162.50ns INFO [00004164] Port=1 RD @01
4164.50ns INFO [00004166] * RD COMPARE * port=1 adr=01 act=90D12761 exp=90D12761
4164.50ns INFO [00004166] Port=0 RD @02
4165.50ns INFO [00004167] Port=0 WR @1A=4BF4A0A0
4165.50ns INFO [00004167] Port=1 RD @0E
4166.50ns INFO [00004168] * RD COMPARE * port=0 adr=02 act=CF9EC179 exp=CF9EC179
4166.50ns INFO [00004168] Port=1 RD @09
4167.50ns INFO [00004169] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4167.50ns INFO [00004169] Port=0 WR @17=3E3E11EB
4168.50ns INFO [00004170] * RD COMPARE * port=1 adr=09 act=334F76DF exp=334F76DF
4168.50ns INFO [00004170] Port=0 WR @13=B38D584E
4170.50ns INFO [00004172] Port=0 RD @03
4171.50ns INFO [00004173] Port=0 WR @1C=D3945E30
4172.50ns INFO [00004174] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403
4172.50ns INFO [00004174] Port=0 WR @15=F063B1A4
4172.50ns INFO [00004174] Port=0 RD @0D
4173.50ns INFO [00004175] Port=0 WR @10=C066A68F
4173.50ns INFO [00004175] Port=0 RD @13
4174.50ns INFO [00004176] * RD COMPARE * port=0 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4175.50ns INFO [00004177] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E
4175.50ns INFO [00004177] Port=1 RD @0C
4176.50ns INFO [00004178] Port=0 RD @1B
4176.50ns INFO [00004178] Port=1 RD @1C
4177.50ns INFO [00004179] * RD COMPARE * port=1 adr=0C act=82C28CC1 exp=82C28CC1
4177.50ns INFO [00004179] Port=0 RD @00
4178.50ns INFO [00004180] * RD COMPARE * port=0 adr=1B act=BE4A45BA exp=BE4A45BA
4178.50ns INFO [00004180] * RD COMPARE * port=1 adr=1C act=D3945E30 exp=D3945E30
4179.50ns INFO [00004181] * RD COMPARE * port=0 adr=00 act=31EEF69B exp=31EEF69B
4179.50ns INFO [00004181] Port=0 WR @01=960D92C7
4179.50ns INFO [00004181] Port=0 RD @11
4181.50ns INFO [00004183] * RD COMPARE * port=0 adr=11 act=2664F908 exp=2664F908
4181.50ns INFO [00004183] Port=0 RD @04
4181.50ns INFO [00004183] Port=1 RD @18
4182.50ns INFO [00004184] Port=0 RD @06
4183.50ns INFO [00004185] * RD COMPARE * port=0 adr=04 act=061459F6 exp=061459F6
4183.50ns INFO [00004185] * RD COMPARE * port=1 adr=18 act=70842B97 exp=70842B97
4183.50ns INFO [00004185] Port=0 WR @01=2ADAC875
4183.50ns INFO [00004185] Port=1 RD @0A
4184.50ns INFO [00004186] * RD COMPARE * port=0 adr=06 act=69C99BAA exp=69C99BAA
4184.50ns INFO [00004186] Port=0 RD @19
4185.50ns INFO [00004187] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE
4185.50ns INFO [00004187] Port=0 RD @16
4186.50ns INFO [00004188] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339
4186.50ns INFO [00004188] Port=1 RD @0A
4187.50ns INFO [00004189] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76
4188.50ns INFO [00004190] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE
4188.50ns INFO [00004190] Port=0 WR @1D=B1C577AC
4188.50ns INFO [00004190] Port=0 RD @0A
4188.50ns INFO [00004190] Port=1 RD @0F
4189.50ns INFO [00004191] Port=0 WR @05=CF77FC9C
4190.50ns INFO [00004192] * RD COMPARE * port=0 adr=0A act=13B6D0AE exp=13B6D0AE
4190.50ns INFO [00004192] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38
4190.50ns INFO [00004192] Port=0 RD @03
4190.50ns INFO [00004192] Port=1 RD @04
4192.50ns INFO [00004194] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403
4192.50ns INFO [00004194] * RD COMPARE * port=1 adr=04 act=061459F6 exp=061459F6
4193.50ns INFO [00004195] Port=0 RD @05
4193.50ns INFO [00004195] Port=1 RD @06
4194.50ns INFO [00004196] Port=1 RD @01
4195.50ns INFO [00004197] * RD COMPARE * port=0 adr=05 act=CF77FC9C exp=CF77FC9C
4195.50ns INFO [00004197] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA
4195.50ns INFO [00004197] Port=1 RD @04
4196.50ns INFO [00004198] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875
4196.50ns INFO [00004198] Port=1 RD @01
4197.50ns INFO [00004199] * RD COMPARE * port=1 adr=04 act=061459F6 exp=061459F6
4197.50ns INFO [00004199] Port=0 RD @05
4197.50ns INFO [00004199] Port=1 RD @05
4198.00ns INFO [00004200] [00004200] ...tick...
4198.50ns INFO [00004200] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875
4199.50ns INFO [00004201] * RD COMPARE * port=0 adr=05 act=CF77FC9C exp=CF77FC9C
4199.50ns INFO [00004201] * RD COMPARE * port=1 adr=05 act=CF77FC9C exp=CF77FC9C
4199.50ns INFO [00004201] Port=0 WR @18=80367446
4202.50ns INFO [00004204] Port=0 WR @1F=4C91370D
4202.50ns INFO [00004204] Port=1 RD @17
4203.50ns INFO [00004205] Port=0 WR @1F=6BB98440
4204.50ns INFO [00004206] * RD COMPARE * port=1 adr=17 act=3E3E11EB exp=3E3E11EB
4205.50ns INFO [00004207] Port=0 RD @1E
4205.50ns INFO [00004207] Port=1 RD @0E
4206.50ns INFO [00004208] Port=1 RD @0D
4207.50ns INFO [00004209] * RD COMPARE * port=0 adr=1E act=89CFE7B3 exp=89CFE7B3
4207.50ns INFO [00004209] * RD COMPARE * port=1 adr=0E act=906C3E8E exp=906C3E8E
4207.50ns INFO [00004209] Port=0 WR @0C=312F6F78
4208.50ns INFO [00004210] * RD COMPARE * port=1 adr=0D act=A3C4E9D5 exp=A3C4E9D5
4208.50ns INFO [00004210] Port=0 WR @1E=237E0C47
4208.50ns INFO [00004210] Port=1 RD @00
4209.50ns INFO [00004211] Port=0 RD @01
4209.50ns INFO [00004211] Port=1 RD @1C
4210.50ns INFO [00004212] * RD COMPARE * port=1 adr=00 act=31EEF69B exp=31EEF69B
4210.50ns INFO [00004212] Port=0 RD @1B
4211.50ns INFO [00004213] * RD COMPARE * port=0 adr=01 act=2ADAC875 exp=2ADAC875
4211.50ns INFO [00004213] * RD COMPARE * port=1 adr=1C act=D3945E30 exp=D3945E30
4211.50ns INFO [00004213] Port=1 RD @06
4212.50ns INFO [00004214] * RD COMPARE * port=0 adr=1B act=BE4A45BA exp=BE4A45BA
4212.50ns INFO [00004214] Port=0 WR @09=AE1BA197
4212.50ns INFO [00004214] Port=1 RD @01
4213.50ns INFO [00004215] * RD COMPARE * port=1 adr=06 act=69C99BAA exp=69C99BAA
4213.50ns INFO [00004215] Port=0 RD @10
4214.50ns INFO [00004216] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875
4214.50ns INFO [00004216] Port=1 RD @01
4215.50ns INFO [00004217] * RD COMPARE * port=0 adr=10 act=C066A68F exp=C066A68F
4215.50ns INFO [00004217] Port=1 RD @1B
4216.50ns INFO [00004218] * RD COMPARE * port=1 adr=01 act=2ADAC875 exp=2ADAC875
4216.50ns INFO [00004218] Port=0 WR @17=23D22E1F
4217.50ns INFO [00004219] * RD COMPARE * port=1 adr=1B act=BE4A45BA exp=BE4A45BA
4220.50ns INFO [00004222] Port=1 RD @1A
4221.50ns INFO [00004223] Port=0 WR @00=4156ACA7
4221.50ns INFO [00004223] Port=0 RD @18
4221.50ns INFO [00004223] Port=1 RD @08
4222.50ns INFO [00004224] * RD COMPARE * port=1 adr=1A act=4BF4A0A0 exp=4BF4A0A0
4223.50ns INFO [00004225] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446
4223.50ns INFO [00004225] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16
4224.50ns INFO [00004226] Port=0 WR @15=74329A7A
4225.50ns INFO [00004227] Port=0 WR @14=54E4E07E
4225.50ns INFO [00004227] Port=0 RD @02
4226.50ns INFO [00004228] Port=0 RD @12
4226.50ns INFO [00004228] Port=1 RD @09
4227.50ns INFO [00004229] * RD COMPARE * port=0 adr=02 act=CF9EC179 exp=CF9EC179
4227.50ns INFO [00004229] Port=0 WR @02=1044907C
4228.50ns INFO [00004230] * RD COMPARE * port=0 adr=12 act=EEA15BD3 exp=EEA15BD3
4228.50ns INFO [00004230] * RD COMPARE * port=1 adr=09 act=AE1BA197 exp=AE1BA197
4229.50ns INFO [00004231] Port=0 RD @16
4231.50ns INFO [00004233] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76
4231.50ns INFO [00004233] Port=0 WR @0D=2DD66DD1
4231.50ns INFO [00004233] Port=0 RD @0F
4231.50ns INFO [00004233] Port=1 RD @0B
4232.50ns INFO [00004234] Port=1 RD @14
4233.50ns INFO [00004235] * RD COMPARE * port=0 adr=0F act=C4665F38 exp=C4665F38
4233.50ns INFO [00004235] * RD COMPARE * port=1 adr=0B act=7D38DCB1 exp=7D38DCB1
4233.50ns INFO [00004235] Port=0 RD @19
4234.50ns INFO [00004236] * RD COMPARE * port=1 adr=14 act=54E4E07E exp=54E4E07E
4234.50ns INFO [00004236] Port=0 RD @0A
4234.50ns INFO [00004236] Port=1 RD @17
4235.50ns INFO [00004237] * RD COMPARE * port=0 adr=19 act=23004339 exp=23004339
4236.50ns INFO [00004238] * RD COMPARE * port=0 adr=0A act=13B6D0AE exp=13B6D0AE
4236.50ns INFO [00004238] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F
4236.50ns INFO [00004238] Port=1 RD @1B
4238.50ns INFO [00004240] * RD COMPARE * port=1 adr=1B act=BE4A45BA exp=BE4A45BA
4239.50ns INFO [00004241] Port=0 RD @03
4239.50ns INFO [00004241] Port=1 RD @07
4240.50ns INFO [00004242] Port=0 WR @1A=7913D938
4241.50ns INFO [00004243] * RD COMPARE * port=0 adr=03 act=DC37F403 exp=DC37F403
4241.50ns INFO [00004243] * RD COMPARE * port=1 adr=07 act=0D539370 exp=0D539370
4241.50ns INFO [00004243] Port=0 WR @1D=369A35C3
4241.50ns INFO [00004243] Port=0 RD @15
4242.50ns INFO [00004244] Port=0 RD @0D
4242.50ns INFO [00004244] Port=1 RD @05
4243.50ns INFO [00004245] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A
4243.50ns INFO [00004245] Port=0 RD @1C
4244.50ns INFO [00004246] * RD COMPARE * port=0 adr=0D act=2DD66DD1 exp=2DD66DD1
4244.50ns INFO [00004246] * RD COMPARE * port=1 adr=05 act=CF77FC9C exp=CF77FC9C
4244.50ns INFO [00004246] Port=0 WR @14=1330E9BA
4244.50ns INFO [00004246] Port=1 RD @0D
4245.50ns INFO [00004247] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30
4245.50ns INFO [00004247] Port=0 WR @01=E85277D4
4245.50ns INFO [00004247] Port=0 RD @13
4246.50ns INFO [00004248] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1
4246.50ns INFO [00004248] Port=0 WR @12=62A7D3D5
4247.50ns INFO [00004249] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E
4248.50ns INFO [00004250] Port=0 RD @0B
4248.50ns INFO [00004250] Port=1 RD @0F
4249.50ns INFO [00004251] Port=0 WR @06=C29F0789
4250.50ns INFO [00004252] * RD COMPARE * port=0 adr=0B act=7D38DCB1 exp=7D38DCB1
4250.50ns INFO [00004252] * RD COMPARE * port=1 adr=0F act=C4665F38 exp=C4665F38
4250.50ns INFO [00004252] Port=0 RD @16
4251.50ns INFO [00004253] Port=0 RD @1A
4251.50ns INFO [00004253] Port=1 RD @0A
4252.50ns INFO [00004254] * RD COMPARE * port=0 adr=16 act=3753AA76 exp=3753AA76
4252.50ns INFO [00004254] Port=0 WR @1B=91C89EEE
4252.50ns INFO [00004254] Port=0 RD @02
4252.50ns INFO [00004254] Port=1 RD @18
4253.50ns INFO [00004255] * RD COMPARE * port=0 adr=1A act=7913D938 exp=7913D938
4253.50ns INFO [00004255] * RD COMPARE * port=1 adr=0A act=13B6D0AE exp=13B6D0AE
4253.50ns INFO [00004255] Port=0 WR @11=01CD44A0
4253.50ns INFO [00004255] Port=1 RD @18
4254.50ns INFO [00004256] * RD COMPARE * port=0 adr=02 act=1044907C exp=1044907C
4254.50ns INFO [00004256] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446
4255.50ns INFO [00004257] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446
4255.50ns INFO [00004257] Port=0 WR @19=000110A5
4255.50ns INFO [00004257] Port=0 RD @08
4255.50ns INFO [00004257] Port=1 RD @06
4256.50ns INFO [00004258] Port=0 WR @19=85A6E96B
4256.50ns INFO [00004258] Port=0 RD @08
4257.50ns INFO [00004259] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16
4257.50ns INFO [00004259] * RD COMPARE * port=1 adr=06 act=C29F0789 exp=C29F0789
4257.50ns INFO [00004259] Port=0 WR @16=1C5E32D9
4258.50ns INFO [00004260] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16
4258.50ns INFO [00004260] Port=0 RD @11
4259.50ns INFO [00004261] Port=0 WR @0B=35FBD7D2
4259.50ns INFO [00004261] Port=0 RD @06
4260.50ns INFO [00004262] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0
4261.50ns INFO [00004263] * RD COMPARE * port=0 adr=06 act=C29F0789 exp=C29F0789
4261.50ns INFO [00004263] Port=0 RD @18
4261.50ns INFO [00004263] Port=1 RD @00
4262.50ns INFO [00004264] Port=0 WR @1B=C324A4BE
4262.50ns INFO [00004264] Port=0 RD @06
4262.50ns INFO [00004264] Port=1 RD @11
4263.50ns INFO [00004265] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446
4263.50ns INFO [00004265] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7
4263.50ns INFO [00004265] Port=0 WR @05=472B871C
4264.50ns INFO [00004266] * RD COMPARE * port=0 adr=06 act=C29F0789 exp=C29F0789
4264.50ns INFO [00004266] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0
4264.50ns INFO [00004266] Port=0 WR @19=E19F6035
4264.50ns INFO [00004266] Port=0 RD @11
4265.50ns INFO [00004267] Port=1 RD @16
4266.50ns INFO [00004268] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0
4266.50ns INFO [00004268] Port=0 RD @17
4266.50ns INFO [00004268] Port=1 RD @1D
4267.50ns INFO [00004269] * RD COMPARE * port=1 adr=16 act=1C5E32D9 exp=1C5E32D9
4267.50ns INFO [00004269] Port=0 WR @0E=2A356DBB
4268.50ns INFO [00004270] * RD COMPARE * port=0 adr=17 act=23D22E1F exp=23D22E1F
4268.50ns INFO [00004270] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4268.50ns INFO [00004270] Port=0 WR @0C=52E4C886
4268.50ns INFO [00004270] Port=0 RD @01
4269.50ns INFO [00004271] Port=0 WR @0A=7CA97811
4269.50ns INFO [00004271] Port=0 RD @1F
4269.50ns INFO [00004271] Port=1 RD @07
4270.50ns INFO [00004272] * RD COMPARE * port=0 adr=01 act=E85277D4 exp=E85277D4
4270.50ns INFO [00004272] Port=0 RD @14
4271.50ns INFO [00004273] * RD COMPARE * port=0 adr=1F act=6BB98440 exp=6BB98440
4271.50ns INFO [00004273] * RD COMPARE * port=1 adr=07 act=0D539370 exp=0D539370
4271.50ns INFO [00004273] Port=0 WR @07=428EF6AE
4272.50ns INFO [00004274] * RD COMPARE * port=0 adr=14 act=1330E9BA exp=1330E9BA
4273.50ns INFO [00004275] Port=1 RD @11
4274.50ns INFO [00004276] Port=0 WR @14=D72FA290
4275.50ns INFO [00004277] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0
4276.50ns INFO [00004278] Port=0 WR @0F=CE8E107B
4276.50ns INFO [00004278] Port=0 RD @12
4277.50ns INFO [00004279] Port=0 WR @0A=2BC23F91
4278.50ns INFO [00004280] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5
4278.50ns INFO [00004280] Port=1 RD @17
4279.50ns INFO [00004281] Port=1 RD @1D
4280.50ns INFO [00004282] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F
4281.50ns INFO [00004283] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4281.50ns INFO [00004283] Port=0 WR @0F=3BEABAE4
4281.50ns INFO [00004283] Port=0 RD @15
4282.50ns INFO [00004284] Port=0 WR @1A=2C83CD1A
4282.50ns INFO [00004284] Port=1 RD @08
4283.50ns INFO [00004285] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A
4283.50ns INFO [00004285] Port=1 RD @18
4284.50ns INFO [00004286] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16
4285.50ns INFO [00004287] * RD COMPARE * port=1 adr=18 act=80367446 exp=80367446
4285.50ns INFO [00004287] Port=0 WR @07=97FC140B
4285.50ns INFO [00004287] Port=0 RD @18
4286.50ns INFO [00004288] Port=1 RD @16
4287.50ns INFO [00004289] * RD COMPARE * port=0 adr=18 act=80367446 exp=80367446
4287.50ns INFO [00004289] Port=0 WR @09=68B7FE52
4287.50ns INFO [00004289] Port=0 RD @0D
4288.50ns INFO [00004290] * RD COMPARE * port=1 adr=16 act=1C5E32D9 exp=1C5E32D9
4288.50ns INFO [00004290] Port=1 RD @00
4289.50ns INFO [00004291] * RD COMPARE * port=0 adr=0D act=2DD66DD1 exp=2DD66DD1
4290.50ns INFO [00004292] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7
4291.50ns INFO [00004293] Port=0 RD @14
4291.50ns INFO [00004293] Port=1 RD @0A
4293.50ns INFO [00004295] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290
4293.50ns INFO [00004295] * RD COMPARE * port=1 adr=0A act=2BC23F91 exp=2BC23F91
4293.50ns INFO [00004295] Port=0 RD @1D
4294.50ns INFO [00004296] Port=0 RD @1F
4295.50ns INFO [00004297] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3
4296.50ns INFO [00004298] * RD COMPARE * port=0 adr=1F act=6BB98440 exp=6BB98440
4296.50ns INFO [00004298] Port=0 WR @0B=C1DCB82E
4296.50ns INFO [00004298] Port=1 RD @1D
4298.00ns INFO [00004300] [00004300] ...tick...
4298.50ns INFO [00004300] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4298.50ns INFO [00004300] Port=0 WR @04=82B4A45D
4298.50ns INFO [00004300] Port=1 RD @1F
4299.50ns INFO [00004301] Port=0 WR @03=97ADB20A
4299.50ns INFO [00004301] Port=1 RD @15
4300.50ns INFO [00004302] * RD COMPARE * port=1 adr=1F act=6BB98440 exp=6BB98440
4300.50ns INFO [00004302] Port=0 RD @15
4301.50ns INFO [00004303] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A
4301.50ns INFO [00004303] Port=0 WR @03=B2225DDC
4301.50ns INFO [00004303] Port=0 RD @0E
4302.50ns INFO [00004304] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A
4302.50ns INFO [00004304] Port=1 RD @1D
4303.50ns INFO [00004305] * RD COMPARE * port=0 adr=0E act=2A356DBB exp=2A356DBB
4303.50ns INFO [00004305] Port=0 WR @03=E4279538
4303.50ns INFO [00004305] Port=0 RD @13
4303.50ns INFO [00004305] Port=1 RD @12
4304.50ns INFO [00004306] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4305.50ns INFO [00004307] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E
4305.50ns INFO [00004307] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5
4306.50ns INFO [00004308] Port=1 RD @12
4307.50ns INFO [00004309] Port=0 WR @07=F001E403
4308.50ns INFO [00004310] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5
4308.50ns INFO [00004310] Port=0 WR @18=C6BE184D
4309.50ns INFO [00004311] Port=1 RD @1B
4310.50ns INFO [00004312] Port=1 RD @0A
4311.50ns INFO [00004313] * RD COMPARE * port=1 adr=1B act=C324A4BE exp=C324A4BE
4311.50ns INFO [00004313] Port=0 WR @10=C7BF0615
4311.50ns INFO [00004313] Port=1 RD @08
4312.50ns INFO [00004314] * RD COMPARE * port=1 adr=0A act=2BC23F91 exp=2BC23F91
4312.50ns INFO [00004314] Port=0 WR @1F=CB7F7AB6
4312.50ns INFO [00004314] Port=0 RD @15
4312.50ns INFO [00004314] Port=1 RD @1A
4313.50ns INFO [00004315] * RD COMPARE * port=1 adr=08 act=871CBA16 exp=871CBA16
4313.50ns INFO [00004315] Port=0 RD @11
4313.50ns INFO [00004315] Port=1 RD @13
4314.50ns INFO [00004316] * RD COMPARE * port=0 adr=15 act=74329A7A exp=74329A7A
4314.50ns INFO [00004316] * RD COMPARE * port=1 adr=1A act=2C83CD1A exp=2C83CD1A
4314.50ns INFO [00004316] Port=0 WR @07=618BFE4B
4314.50ns INFO [00004316] Port=1 RD @0C
4315.50ns INFO [00004317] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0
4315.50ns INFO [00004317] * RD COMPARE * port=1 adr=13 act=B38D584E exp=B38D584E
4316.50ns INFO [00004318] * RD COMPARE * port=1 adr=0C act=52E4C886 exp=52E4C886
4316.50ns INFO [00004318] Port=0 RD @1B
4318.50ns INFO [00004320] * RD COMPARE * port=0 adr=1B act=C324A4BE exp=C324A4BE
4318.50ns INFO [00004320] Port=0 RD @19
4320.50ns INFO [00004322] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035
4320.50ns INFO [00004322] Port=0 WR @0A=C0A81757
4320.50ns INFO [00004322] Port=0 RD @13
4321.50ns INFO [00004323] Port=0 RD @19
4321.50ns INFO [00004323] Port=1 RD @11
4322.50ns INFO [00004324] * RD COMPARE * port=0 adr=13 act=B38D584E exp=B38D584E
4322.50ns INFO [00004324] Port=1 RD @03
4323.50ns INFO [00004325] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035
4323.50ns INFO [00004325] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0
4324.50ns INFO [00004326] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4324.50ns INFO [00004326] Port=0 WR @13=3DAE3DCE
4324.50ns INFO [00004326] Port=0 RD @1C
4325.50ns INFO [00004327] Port=0 WR @1A=D309D8BC
4326.50ns INFO [00004328] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30
4326.50ns INFO [00004328] Port=0 WR @1E=B0087FB7
4326.50ns INFO [00004328] Port=0 RD @19
4327.50ns INFO [00004329] Port=0 RD @16
4328.50ns INFO [00004330] * RD COMPARE * port=0 adr=19 act=E19F6035 exp=E19F6035
4328.50ns INFO [00004330] Port=1 RD @11
4329.50ns INFO [00004331] * RD COMPARE * port=0 adr=16 act=1C5E32D9 exp=1C5E32D9
4329.50ns INFO [00004331] Port=0 WR @1A=DC0454DD
4329.50ns INFO [00004331] Port=0 RD @01
4329.50ns INFO [00004331] Port=1 RD @05
4330.50ns INFO [00004332] * RD COMPARE * port=1 adr=11 act=01CD44A0 exp=01CD44A0
4330.50ns INFO [00004332] Port=1 RD @02
4331.50ns INFO [00004333] * RD COMPARE * port=0 adr=01 act=E85277D4 exp=E85277D4
4331.50ns INFO [00004333] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C
4332.50ns INFO [00004334] * RD COMPARE * port=1 adr=02 act=1044907C exp=1044907C
4332.50ns INFO [00004334] Port=0 WR @0F=8457A9DC
4333.50ns INFO [00004335] Port=0 WR @1E=9AFEF50F
4333.50ns INFO [00004335] Port=0 RD @08
4333.50ns INFO [00004335] Port=1 RD @12
4334.50ns INFO [00004336] Port=0 WR @0C=0F001761
4335.50ns INFO [00004337] * RD COMPARE * port=0 adr=08 act=871CBA16 exp=871CBA16
4335.50ns INFO [00004337] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5
4335.50ns INFO [00004337] Port=0 WR @13=B189A8B1
4337.50ns INFO [00004339] Port=0 WR @04=83AB82A2
4339.50ns INFO [00004341] Port=0 WR @13=E7A25BAA
4340.50ns INFO [00004342] Port=0 WR @02=66C5429F
4341.50ns INFO [00004343] Port=0 WR @01=F12B916C
4341.50ns INFO [00004343] Port=1 RD @02
4343.50ns INFO [00004345] * RD COMPARE * port=1 adr=02 act=66C5429F exp=66C5429F
4343.50ns INFO [00004345] Port=1 RD @0A
4344.50ns INFO [00004346] Port=0 RD @01
4345.50ns INFO [00004347] * RD COMPARE * port=1 adr=0A act=C0A81757 exp=C0A81757
4345.50ns INFO [00004347] Port=0 WR @0F=5E0A62F9
4345.50ns INFO [00004347] Port=1 RD @05
4346.50ns INFO [00004348] * RD COMPARE * port=0 adr=01 act=F12B916C exp=F12B916C
4346.50ns INFO [00004348] Port=1 RD @12
4347.50ns INFO [00004349] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C
4347.50ns INFO [00004349] Port=0 RD @1D
4347.50ns INFO [00004349] Port=1 RD @17
4348.50ns INFO [00004350] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5
4348.50ns INFO [00004350] Port=0 WR @0B=6FC869D9
4348.50ns INFO [00004350] Port=0 RD @1C
4348.50ns INFO [00004350] Port=1 RD @0D
4349.50ns INFO [00004351] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3
4349.50ns INFO [00004351] * RD COMPARE * port=1 adr=17 act=23D22E1F exp=23D22E1F
4350.50ns INFO [00004352] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30
4350.50ns INFO [00004352] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1
4350.50ns INFO [00004352] Port=0 RD @11
4350.50ns INFO [00004352] Port=1 RD @01
4351.50ns INFO [00004353] Port=0 WR @08=0D583856
4352.50ns INFO [00004354] * RD COMPARE * port=0 adr=11 act=01CD44A0 exp=01CD44A0
4352.50ns INFO [00004354] * RD COMPARE * port=1 adr=01 act=F12B916C exp=F12B916C
4352.50ns INFO [00004354] Port=0 WR @10=C3F770DC
4352.50ns INFO [00004354] Port=0 RD @1F
4352.50ns INFO [00004354] Port=1 RD @0B
4353.50ns INFO [00004355] Port=0 RD @1E
4353.50ns INFO [00004355] Port=1 RD @1D
4354.50ns INFO [00004356] * RD COMPARE * port=0 adr=1F act=CB7F7AB6 exp=CB7F7AB6
4354.50ns INFO [00004356] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9
4354.50ns INFO [00004356] Port=0 WR @06=E063AC8D
4354.50ns INFO [00004356] Port=1 RD @0D
4355.50ns INFO [00004357] * RD COMPARE * port=0 adr=1E act=9AFEF50F exp=9AFEF50F
4355.50ns INFO [00004357] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4355.50ns INFO [00004357] Port=0 WR @0A=B733199D
4356.50ns INFO [00004358] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1
4356.50ns INFO [00004358] Port=0 WR @04=51630686
4357.50ns INFO [00004359] Port=0 RD @0A
4358.50ns INFO [00004360] Port=0 WR @1F=1B67F7D2
4358.50ns INFO [00004360] Port=0 RD @04
4359.50ns INFO [00004361] * RD COMPARE * port=0 adr=0A act=B733199D exp=B733199D
4359.50ns INFO [00004361] Port=0 RD @05
4359.50ns INFO [00004361] Port=1 RD @03
4360.50ns INFO [00004362] * RD COMPARE * port=0 adr=04 act=51630686 exp=51630686
4360.50ns INFO [00004362] Port=1 RD @0D
4361.50ns INFO [00004363] * RD COMPARE * port=0 adr=05 act=472B871C exp=472B871C
4361.50ns INFO [00004363] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4361.50ns INFO [00004363] Port=0 RD @1D
4361.50ns INFO [00004363] Port=1 RD @02
4362.50ns INFO [00004364] * RD COMPARE * port=1 adr=0D act=2DD66DD1 exp=2DD66DD1
4362.50ns INFO [00004364] Port=0 WR @0F=64BF5AB8
4363.50ns INFO [00004365] * RD COMPARE * port=0 adr=1D act=369A35C3 exp=369A35C3
4363.50ns INFO [00004365] * RD COMPARE * port=1 adr=02 act=66C5429F exp=66C5429F
4363.50ns INFO [00004365] Port=0 WR @0E=53C56F2D
4363.50ns INFO [00004365] Port=1 RD @04
4364.50ns INFO [00004366] Port=0 RD @05
4365.50ns INFO [00004367] * RD COMPARE * port=1 adr=04 act=51630686 exp=51630686
4365.50ns INFO [00004367] Port=0 WR @0D=05D6D688
4366.50ns INFO [00004368] * RD COMPARE * port=0 adr=05 act=472B871C exp=472B871C
4366.50ns INFO [00004368] Port=0 WR @09=28952C1B
4366.50ns INFO [00004368] Port=1 RD @15
4367.50ns INFO [00004369] Port=0 WR @16=EB31D0CD
4367.50ns INFO [00004369] Port=0 RD @12
4367.50ns INFO [00004369] Port=1 RD @0A
4368.50ns INFO [00004370] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A
4368.50ns INFO [00004370] Port=0 WR @08=F008E4B2
4369.50ns INFO [00004371] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5
4369.50ns INFO [00004371] * RD COMPARE * port=1 adr=0A act=B733199D exp=B733199D
4369.50ns INFO [00004371] Port=0 WR @01=A1C1BA98
4370.50ns INFO [00004372] Port=0 WR @18=740ECF23
4370.50ns INFO [00004372] Port=0 RD @14
4370.50ns INFO [00004372] Port=1 RD @0F
4372.50ns INFO [00004374] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290
4372.50ns INFO [00004374] * RD COMPARE * port=1 adr=0F act=64BF5AB8 exp=64BF5AB8
4372.50ns INFO [00004374] Port=0 WR @1E=D238AE47
4372.50ns INFO [00004374] Port=0 RD @0F
4373.50ns INFO [00004375] Port=0 WR @01=01953C34
4373.50ns INFO [00004375] Port=1 RD @1A
4374.50ns INFO [00004376] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4374.50ns INFO [00004376] Port=0 WR @09=419BF1E0
4374.50ns INFO [00004376] Port=1 RD @10
4375.50ns INFO [00004377] * RD COMPARE * port=1 adr=1A act=DC0454DD exp=DC0454DD
4375.50ns INFO [00004377] Port=0 WR @02=E65ED25E
4376.50ns INFO [00004378] * RD COMPARE * port=1 adr=10 act=C3F770DC exp=C3F770DC
4376.50ns INFO [00004378] Port=0 WR @01=47F7051E
4378.50ns INFO [00004380] Port=0 WR @17=8DEE0A68
4378.50ns INFO [00004380] Port=0 RD @12
4379.50ns INFO [00004381] Port=0 RD @01
4379.50ns INFO [00004381] Port=1 RD @0B
4380.50ns INFO [00004382] * RD COMPARE * port=0 adr=12 act=62A7D3D5 exp=62A7D3D5
4380.50ns INFO [00004382] Port=0 WR @0A=33F8DDB2
4381.50ns INFO [00004383] * RD COMPARE * port=0 adr=01 act=47F7051E exp=47F7051E
4381.50ns INFO [00004383] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9
4381.50ns INFO [00004383] Port=0 RD @1C
4381.50ns INFO [00004383] Port=1 RD @1D
4382.50ns INFO [00004384] Port=0 WR @08=2849FA8B
4383.50ns INFO [00004385] * RD COMPARE * port=0 adr=1C act=D3945E30 exp=D3945E30
4383.50ns INFO [00004385] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4384.50ns INFO [00004386] Port=0 WR @1A=D5ECEEDD
4384.50ns INFO [00004386] Port=1 RD @0B
4385.50ns INFO [00004387] Port=1 RD @15
4386.50ns INFO [00004388] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9
4386.50ns INFO [00004388] Port=0 WR @06=C9EFE476
4386.50ns INFO [00004388] Port=0 RD @07
4387.50ns INFO [00004389] * RD COMPARE * port=1 adr=15 act=74329A7A exp=74329A7A
4387.50ns INFO [00004389] Port=0 WR @11=7AE03EC9
4388.50ns INFO [00004390] * RD COMPARE * port=0 adr=07 act=618BFE4B exp=618BFE4B
4388.50ns INFO [00004390] Port=0 WR @04=9F5FE1C5
4390.50ns INFO [00004392] Port=0 WR @19=F53D4F63
4390.50ns INFO [00004392] Port=0 RD @1B
4390.50ns INFO [00004392] Port=1 RD @04
4391.50ns INFO [00004393] Port=1 RD @00
4392.50ns INFO [00004394] * RD COMPARE * port=0 adr=1B act=C324A4BE exp=C324A4BE
4392.50ns INFO [00004394] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5
4392.50ns INFO [00004394] Port=0 WR @1C=89DCF834
4392.50ns INFO [00004394] Port=0 RD @14
4393.50ns INFO [00004395] * RD COMPARE * port=1 adr=00 act=4156ACA7 exp=4156ACA7
4393.50ns INFO [00004395] Port=0 WR @09=47A7A79E
4393.50ns INFO [00004395] Port=0 RD @06
4394.50ns INFO [00004396] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290
4394.50ns INFO [00004396] Port=0 RD @18
4394.50ns INFO [00004396] Port=1 RD @12
4395.50ns INFO [00004397] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476
4396.50ns INFO [00004398] * RD COMPARE * port=0 adr=18 act=740ECF23 exp=740ECF23
4396.50ns INFO [00004398] * RD COMPARE * port=1 adr=12 act=62A7D3D5 exp=62A7D3D5
4397.50ns INFO [00004399] Port=0 RD @0B
4397.50ns INFO [00004399] Port=1 RD @04
4398.00ns INFO [00004400] [00004400] ...tick...
4399.50ns INFO [00004401] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9
4399.50ns INFO [00004401] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5
4400.50ns INFO [00004402] Port=1 RD @18
4402.50ns INFO [00004404] * RD COMPARE * port=1 adr=18 act=740ECF23 exp=740ECF23
4402.50ns INFO [00004404] Port=0 WR @1B=A70E4DE6
4402.50ns INFO [00004404] Port=0 RD @0C
4402.50ns INFO [00004404] Port=1 RD @06
4403.50ns INFO [00004405] Port=1 RD @1F
4404.50ns INFO [00004406] * RD COMPARE * port=0 adr=0C act=0F001761 exp=0F001761
4404.50ns INFO [00004406] * RD COMPARE * port=1 adr=06 act=C9EFE476 exp=C9EFE476
4404.50ns INFO [00004406] Port=0 WR @0C=A4065CFE
4404.50ns INFO [00004406] Port=0 RD @0F
4405.50ns INFO [00004407] * RD COMPARE * port=1 adr=1F act=1B67F7D2 exp=1B67F7D2
4405.50ns INFO [00004407] Port=0 WR @12=8898ABDA
4405.50ns INFO [00004407] Port=1 RD @13
4406.50ns INFO [00004408] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4407.50ns INFO [00004409] * RD COMPARE * port=1 adr=13 act=E7A25BAA exp=E7A25BAA
4407.50ns INFO [00004409] Port=0 RD @0B
4408.50ns INFO [00004410] Port=0 RD @17
4409.50ns INFO [00004411] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9
4409.50ns INFO [00004411] Port=0 WR @09=AC622ED6
4409.50ns INFO [00004411] Port=0 RD @08
4410.50ns INFO [00004412] * RD COMPARE * port=0 adr=17 act=8DEE0A68 exp=8DEE0A68
4410.50ns INFO [00004412] Port=1 RD @1D
4411.50ns INFO [00004413] * RD COMPARE * port=0 adr=08 act=2849FA8B exp=2849FA8B
4412.50ns INFO [00004414] * RD COMPARE * port=1 adr=1D act=369A35C3 exp=369A35C3
4413.50ns INFO [00004415] Port=0 WR @0D=745A9FA4
4413.50ns INFO [00004415] Port=1 RD @02
4414.50ns INFO [00004416] Port=1 RD @1F
4415.50ns INFO [00004417] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E
4416.50ns INFO [00004418] * RD COMPARE * port=1 adr=1F act=1B67F7D2 exp=1B67F7D2
4416.50ns INFO [00004418] Port=0 WR @1A=C2961495
4416.50ns INFO [00004418] Port=0 RD @0F
4416.50ns INFO [00004418] Port=1 RD @03
4417.50ns INFO [00004419] Port=0 WR @19=2AF2C360
4418.50ns INFO [00004420] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4418.50ns INFO [00004420] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4418.50ns INFO [00004420] Port=0 WR @15=0ECBDECB
4418.50ns INFO [00004420] Port=1 RD @1C
4420.50ns INFO [00004422] * RD COMPARE * port=1 adr=1C act=89DCF834 exp=89DCF834
4420.50ns INFO [00004422] Port=0 WR @00=BE0025F2
4420.50ns INFO [00004422] Port=1 RD @0D
4422.50ns INFO [00004424] * RD COMPARE * port=1 adr=0D act=745A9FA4 exp=745A9FA4
4422.50ns INFO [00004424] Port=0 WR @17=F3093B42
4422.50ns INFO [00004424] Port=0 RD @01
4422.50ns INFO [00004424] Port=1 RD @04
4423.50ns INFO [00004425] Port=1 RD @00
4424.50ns INFO [00004426] * RD COMPARE * port=0 adr=01 act=47F7051E exp=47F7051E
4424.50ns INFO [00004426] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5
4425.50ns INFO [00004427] * RD COMPARE * port=1 adr=00 act=BE0025F2 exp=BE0025F2
4425.50ns INFO [00004427] Port=0 WR @0A=8F0931C0
4425.50ns INFO [00004427] Port=1 RD @16
4426.50ns INFO [00004428] Port=0 WR @11=01EC605A
4426.50ns INFO [00004428] Port=0 RD @0F
4427.50ns INFO [00004429] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD
4427.50ns INFO [00004429] Port=0 WR @15=0E2CB3C0
4427.50ns INFO [00004429] Port=1 RD @03
4428.50ns INFO [00004430] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4429.50ns INFO [00004431] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4429.50ns INFO [00004431] Port=0 RD @09
4429.50ns INFO [00004431] Port=1 RD @1B
4430.50ns INFO [00004432] Port=0 RD @06
4430.50ns INFO [00004432] Port=1 RD @16
4431.50ns INFO [00004433] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6
4431.50ns INFO [00004433] * RD COMPARE * port=1 adr=1B act=A70E4DE6 exp=A70E4DE6
4432.50ns INFO [00004434] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476
4432.50ns INFO [00004434] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD
4432.50ns INFO [00004434] Port=1 RD @0E
4434.50ns INFO [00004436] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D
4434.50ns INFO [00004436] Port=0 RD @12
4434.50ns INFO [00004436] Port=1 RD @16
4436.50ns INFO [00004438] * RD COMPARE * port=0 adr=12 act=8898ABDA exp=8898ABDA
4436.50ns INFO [00004438] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD
4436.50ns INFO [00004438] Port=0 WR @18=0F807106
4436.50ns INFO [00004438] Port=0 RD @0F
4436.50ns INFO [00004438] Port=1 RD @02
4437.50ns INFO [00004439] Port=0 WR @07=5D86A9DE
4437.50ns INFO [00004439] Port=1 RD @09
4438.50ns INFO [00004440] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4438.50ns INFO [00004440] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E
4438.50ns INFO [00004440] Port=0 WR @17=D8E4A44E
4439.50ns INFO [00004441] * RD COMPARE * port=1 adr=09 act=AC622ED6 exp=AC622ED6
4439.50ns INFO [00004441] Port=0 WR @0A=92E3CB1D
4439.50ns INFO [00004441] Port=1 RD @05
4440.50ns INFO [00004442] Port=0 WR @11=8A79AFEA
4440.50ns INFO [00004442] Port=1 RD @14
4441.50ns INFO [00004443] * RD COMPARE * port=1 adr=05 act=472B871C exp=472B871C
4441.50ns INFO [00004443] Port=0 WR @1C=E30A7D7E
4441.50ns INFO [00004443] Port=1 RD @0C
4442.50ns INFO [00004444] * RD COMPARE * port=1 adr=14 act=D72FA290 exp=D72FA290
4442.50ns INFO [00004444] Port=0 WR @15=BB216444
4443.50ns INFO [00004445] * RD COMPARE * port=1 adr=0C act=A4065CFE exp=A4065CFE
4443.50ns INFO [00004445] Port=0 RD @0E
4444.50ns INFO [00004446] Port=1 RD @04
4445.50ns INFO [00004447] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D
4445.50ns INFO [00004447] Port=0 RD @0F
4446.50ns INFO [00004448] * RD COMPARE * port=1 adr=04 act=9F5FE1C5 exp=9F5FE1C5
4446.50ns INFO [00004448] Port=0 RD @0F
4447.50ns INFO [00004449] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4447.50ns INFO [00004449] Port=0 WR @1C=FFBD7BB9
4447.50ns INFO [00004449] Port=1 RD @08
4448.50ns INFO [00004450] * RD COMPARE * port=0 adr=0F act=64BF5AB8 exp=64BF5AB8
4449.50ns INFO [00004451] * RD COMPARE * port=1 adr=08 act=2849FA8B exp=2849FA8B
4449.50ns INFO [00004451] Port=0 WR @1B=9C9B691B
4449.50ns INFO [00004451] Port=1 RD @16
4450.50ns INFO [00004452] Port=0 WR @0F=540866B1
4451.50ns INFO [00004453] * RD COMPARE * port=1 adr=16 act=EB31D0CD exp=EB31D0CD
4451.50ns INFO [00004453] Port=1 RD @0D
4452.50ns INFO [00004454] Port=0 WR @10=3246F616
4452.50ns INFO [00004454] Port=1 RD @0B
4453.50ns INFO [00004455] * RD COMPARE * port=1 adr=0D act=745A9FA4 exp=745A9FA4
4453.50ns INFO [00004455] Port=0 WR @0D=ABF3B2AE
4453.50ns INFO [00004455] Port=0 RD @13
4453.50ns INFO [00004455] Port=1 RD @0A
4454.50ns INFO [00004456] * RD COMPARE * port=1 adr=0B act=6FC869D9 exp=6FC869D9
4454.50ns INFO [00004456] Port=0 RD @0E
4455.50ns INFO [00004457] * RD COMPARE * port=0 adr=13 act=E7A25BAA exp=E7A25BAA
4455.50ns INFO [00004457] * RD COMPARE * port=1 adr=0A act=92E3CB1D exp=92E3CB1D
4455.50ns INFO [00004457] Port=0 WR @0C=ABCE224B
4455.50ns INFO [00004457] Port=0 RD @16
4455.50ns INFO [00004457] Port=1 RD @0F
4456.50ns INFO [00004458] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D
4456.50ns INFO [00004458] Port=0 RD @06
4456.50ns INFO [00004458] Port=1 RD @12
4457.50ns INFO [00004459] * RD COMPARE * port=0 adr=16 act=EB31D0CD exp=EB31D0CD
4457.50ns INFO [00004459] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1
4458.50ns INFO [00004460] * RD COMPARE * port=0 adr=06 act=C9EFE476 exp=C9EFE476
4458.50ns INFO [00004460] * RD COMPARE * port=1 adr=12 act=8898ABDA exp=8898ABDA
4458.50ns INFO [00004460] Port=0 WR @1E=0E2E0212
4458.50ns INFO [00004460] Port=1 RD @03
4459.50ns INFO [00004461] Port=0 WR @15=9B4712CF
4459.50ns INFO [00004461] Port=1 RD @1B
4460.50ns INFO [00004462] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4460.50ns INFO [00004462] Port=0 RD @0C
4461.50ns INFO [00004463] * RD COMPARE * port=1 adr=1B act=9C9B691B exp=9C9B691B
4461.50ns INFO [00004463] Port=1 RD @1E
4462.50ns INFO [00004464] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B
4462.50ns INFO [00004464] Port=0 WR @1F=E606AFEF
4462.50ns INFO [00004464] Port=0 RD @15
4463.50ns INFO [00004465] * RD COMPARE * port=1 adr=1E act=0E2E0212 exp=0E2E0212
4463.50ns INFO [00004465] Port=1 RD @0D
4464.50ns INFO [00004466] * RD COMPARE * port=0 adr=15 act=9B4712CF exp=9B4712CF
4465.50ns INFO [00004467] * RD COMPARE * port=1 adr=0D act=ABF3B2AE exp=ABF3B2AE
4465.50ns INFO [00004467] Port=0 WR @05=86EE1B90
4465.50ns INFO [00004467] Port=1 RD @0F
4466.50ns INFO [00004468] Port=0 RD @19
4466.50ns INFO [00004468] Port=1 RD @1C
4467.50ns INFO [00004469] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1
4468.50ns INFO [00004470] * RD COMPARE * port=0 adr=19 act=2AF2C360 exp=2AF2C360
4468.50ns INFO [00004470] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9
4468.50ns INFO [00004470] Port=0 WR @1D=587FE727
4469.50ns INFO [00004471] Port=0 WR @0D=E32499B2
4469.50ns INFO [00004471] Port=1 RD @08
4470.50ns INFO [00004472] Port=0 WR @08=FD1763DA
4471.50ns INFO [00004473] * RD COMPARE * port=1 adr=08 act=2849FA8B exp=2849FA8B
4471.50ns INFO [00004473] Port=0 RD @12
4471.50ns INFO [00004473] Port=1 RD @1C
4472.50ns INFO [00004474] Port=0 WR @04=ED9E6BB7
4472.50ns INFO [00004474] Port=0 RD @03
4473.50ns INFO [00004475] * RD COMPARE * port=0 adr=12 act=8898ABDA exp=8898ABDA
4473.50ns INFO [00004475] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9
4473.50ns INFO [00004475] Port=0 WR @16=3CD647E0
4473.50ns INFO [00004475] Port=0 RD @18
4474.50ns INFO [00004476] * RD COMPARE * port=0 adr=03 act=E4279538 exp=E4279538
4475.50ns INFO [00004477] * RD COMPARE * port=0 adr=18 act=0F807106 exp=0F807106
4475.50ns INFO [00004477] Port=0 WR @13=01395314
4476.50ns INFO [00004478] Port=0 RD @09
4477.50ns INFO [00004479] Port=0 RD @1A
4478.50ns INFO [00004480] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6
4479.50ns INFO [00004481] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495
4480.50ns INFO [00004482] Port=1 RD @04
4481.50ns INFO [00004483] Port=0 RD @0B
4481.50ns INFO [00004483] Port=1 RD @13
4482.50ns INFO [00004484] * RD COMPARE * port=1 adr=04 act=ED9E6BB7 exp=ED9E6BB7
4482.50ns INFO [00004484] Port=0 WR @06=5D7ACA68
4483.50ns INFO [00004485] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9
4483.50ns INFO [00004485] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314
4484.50ns INFO [00004486] Port=1 RD @05
4486.50ns INFO [00004488] * RD COMPARE * port=1 adr=05 act=86EE1B90 exp=86EE1B90
4486.50ns INFO [00004488] Port=1 RD @1C
4487.50ns INFO [00004489] Port=0 WR @17=9ECB14BE
4487.50ns INFO [00004489] Port=0 RD @03
4487.50ns INFO [00004489] Port=1 RD @02
4488.50ns INFO [00004490] * RD COMPARE * port=1 adr=1C act=FFBD7BB9 exp=FFBD7BB9
4488.50ns INFO [00004490] Port=0 WR @15=53CBC791
4489.50ns INFO [00004491] * RD COMPARE * port=0 adr=03 act=E4279538 exp=E4279538
4489.50ns INFO [00004491] * RD COMPARE * port=1 adr=02 act=E65ED25E exp=E65ED25E
4491.50ns INFO [00004493] Port=1 RD @13
4492.50ns INFO [00004494] Port=0 RD @14
4492.50ns INFO [00004494] Port=1 RD @13
4493.50ns INFO [00004495] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314
4493.50ns INFO [00004495] Port=1 RD @03
4494.50ns INFO [00004496] * RD COMPARE * port=0 adr=14 act=D72FA290 exp=D72FA290
4494.50ns INFO [00004496] * RD COMPARE * port=1 adr=13 act=01395314 exp=01395314
4494.50ns INFO [00004496] Port=0 RD @11
4495.50ns INFO [00004497] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4495.50ns INFO [00004497] Port=1 RD @12
4496.50ns INFO [00004498] * RD COMPARE * port=0 adr=11 act=8A79AFEA exp=8A79AFEA
4496.50ns INFO [00004498] Port=0 RD @07
4496.50ns INFO [00004498] Port=1 RD @1D
4497.50ns INFO [00004499] * RD COMPARE * port=1 adr=12 act=8898ABDA exp=8898ABDA
4497.50ns INFO [00004499] Port=0 RD @02
4498.00ns INFO [00004500] [00004500] ...tick...
4498.50ns INFO [00004500] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE
4498.50ns INFO [00004500] * RD COMPARE * port=1 adr=1D act=587FE727 exp=587FE727
4498.50ns INFO [00004500] Port=1 RD @08
4499.50ns INFO [00004501] * RD COMPARE * port=0 adr=02 act=E65ED25E exp=E65ED25E
4499.50ns INFO [00004501] Port=0 WR @13=E2414F42
4499.50ns INFO [00004501] Port=0 RD @15
4499.50ns INFO [00004501] Port=1 RD @01
4500.50ns INFO [00004502] * RD COMPARE * port=1 adr=08 act=FD1763DA exp=FD1763DA
4500.50ns INFO [00004502] Port=0 WR @12=1C067A2C
4501.50ns INFO [00004503] * RD COMPARE * port=0 adr=15 act=53CBC791 exp=53CBC791
4501.50ns INFO [00004503] * RD COMPARE * port=1 adr=01 act=47F7051E exp=47F7051E
4501.50ns INFO [00004503] Port=1 RD @12
4503.50ns INFO [00004505] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C
4503.50ns INFO [00004505] Port=0 WR @1D=A9E71464
4503.50ns INFO [00004505] Port=0 RD @04
4503.50ns INFO [00004505] Port=1 RD @18
4504.50ns INFO [00004506] Port=0 WR @15=E1A93BDB
4504.50ns INFO [00004506] Port=0 RD @1B
4504.50ns INFO [00004506] Port=1 RD @03
4505.50ns INFO [00004507] * RD COMPARE * port=0 adr=04 act=ED9E6BB7 exp=ED9E6BB7
4505.50ns INFO [00004507] * RD COMPARE * port=1 adr=18 act=0F807106 exp=0F807106
4505.50ns INFO [00004507] Port=0 WR @0D=DCBD4322
4505.50ns INFO [00004507] Port=0 RD @0E
4506.50ns INFO [00004508] * RD COMPARE * port=0 adr=1B act=9C9B691B exp=9C9B691B
4506.50ns INFO [00004508] * RD COMPARE * port=1 adr=03 act=E4279538 exp=E4279538
4506.50ns INFO [00004508] Port=0 WR @04=A1E94F9B
4506.50ns INFO [00004508] Port=0 RD @0B
4507.50ns INFO [00004509] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D
4507.50ns INFO [00004509] Port=0 WR @1C=103BA92D
4507.50ns INFO [00004509] Port=0 RD @0D
4508.50ns INFO [00004510] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9
4508.50ns INFO [00004510] Port=0 RD @09
4508.50ns INFO [00004510] Port=1 RD @19
4509.50ns INFO [00004511] * RD COMPARE * port=0 adr=0D act=DCBD4322 exp=DCBD4322
4509.50ns INFO [00004511] Port=0 WR @15=952AB610
4510.50ns INFO [00004512] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6
4510.50ns INFO [00004512] * RD COMPARE * port=1 adr=19 act=2AF2C360 exp=2AF2C360
4511.50ns INFO [00004513] Port=0 WR @19=C155E8C5
4512.50ns INFO [00004514] Port=0 RD @07
4513.50ns INFO [00004515] Port=0 RD @0A
4514.50ns INFO [00004516] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE
4514.50ns INFO [00004516] Port=0 WR @03=FFB6622C
4515.50ns INFO [00004517] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4517.50ns INFO [00004519] Port=0 WR @15=76D33D89
4518.50ns INFO [00004520] Port=0 RD @1D
4518.50ns INFO [00004520] Port=1 RD @1E
4519.50ns INFO [00004521] Port=0 RD @0A
4520.50ns INFO [00004522] * RD COMPARE * port=0 adr=1D act=A9E71464 exp=A9E71464
4520.50ns INFO [00004522] * RD COMPARE * port=1 adr=1E act=0E2E0212 exp=0E2E0212
4521.50ns INFO [00004523] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4521.50ns INFO [00004523] Port=0 RD @1F
4523.50ns INFO [00004525] * RD COMPARE * port=0 adr=1F act=E606AFEF exp=E606AFEF
4523.50ns INFO [00004525] Port=0 WR @05=73CD9A6B
4523.50ns INFO [00004525] Port=0 RD @0B
4524.50ns INFO [00004526] Port=0 WR @04=C5ABDEE2
4525.50ns INFO [00004527] * RD COMPARE * port=0 adr=0B act=6FC869D9 exp=6FC869D9
4527.50ns INFO [00004529] Port=1 RD @15
4528.50ns INFO [00004530] Port=0 RD @03
4529.50ns INFO [00004531] * RD COMPARE * port=1 adr=15 act=76D33D89 exp=76D33D89
4529.50ns INFO [00004531] Port=0 WR @1C=4637F828
4530.50ns INFO [00004532] * RD COMPARE * port=0 adr=03 act=FFB6622C exp=FFB6622C
4530.50ns INFO [00004532] Port=0 WR @1B=09AAB1BE
4530.50ns INFO [00004532] Port=1 RD @04
4531.50ns INFO [00004533] Port=1 RD @00
4532.50ns INFO [00004534] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4532.50ns INFO [00004534] Port=0 WR @02=72DC26B8
4532.50ns INFO [00004534] Port=0 RD @09
4533.50ns INFO [00004535] * RD COMPARE * port=1 adr=00 act=BE0025F2 exp=BE0025F2
4533.50ns INFO [00004535] Port=0 RD @00
4534.50ns INFO [00004536] * RD COMPARE * port=0 adr=09 act=AC622ED6 exp=AC622ED6
4534.50ns INFO [00004536] Port=0 WR @1D=59991688
4535.50ns INFO [00004537] * RD COMPARE * port=0 adr=00 act=BE0025F2 exp=BE0025F2
4535.50ns INFO [00004537] Port=0 WR @0B=4CF74A50
4535.50ns INFO [00004537] Port=1 RD @1F
4536.50ns INFO [00004538] Port=0 RD @1B
4537.50ns INFO [00004539] * RD COMPARE * port=1 adr=1F act=E606AFEF exp=E606AFEF
4537.50ns INFO [00004539] Port=0 WR @17=0F5D6342
4537.50ns INFO [00004539] Port=1 RD @16
4538.50ns INFO [00004540] * RD COMPARE * port=0 adr=1B act=09AAB1BE exp=09AAB1BE
4538.50ns INFO [00004540] Port=0 WR @05=82CE0FC9
4538.50ns INFO [00004540] Port=1 RD @04
4539.50ns INFO [00004541] * RD COMPARE * port=1 adr=16 act=3CD647E0 exp=3CD647E0
4540.50ns INFO [00004542] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4540.50ns INFO [00004542] Port=0 WR @06=914BC01E
4540.50ns INFO [00004542] Port=1 RD @0E
4541.50ns INFO [00004543] Port=1 RD @12
4542.50ns INFO [00004544] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D
4542.50ns INFO [00004544] Port=0 WR @02=05002234
4543.50ns INFO [00004545] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C
4543.50ns INFO [00004545] Port=0 RD @10
4544.50ns INFO [00004546] Port=0 WR @08=3336A44E
4544.50ns INFO [00004546] Port=0 RD @0C
4545.50ns INFO [00004547] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616
4545.50ns INFO [00004547] Port=1 RD @03
4546.50ns INFO [00004548] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B
4546.50ns INFO [00004548] Port=0 WR @14=1D360644
4547.50ns INFO [00004549] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C
4548.50ns INFO [00004550] Port=0 WR @1D=75D29FF7
4549.50ns INFO [00004551] Port=1 RD @0D
4550.50ns INFO [00004552] Port=0 RD @1D
4550.50ns INFO [00004552] Port=1 RD @09
4551.50ns INFO [00004553] * RD COMPARE * port=1 adr=0D act=DCBD4322 exp=DCBD4322
4551.50ns INFO [00004553] Port=0 WR @08=D3F03D1D
4551.50ns INFO [00004553] Port=1 RD @14
4552.50ns INFO [00004554] * RD COMPARE * port=0 adr=1D act=75D29FF7 exp=75D29FF7
4552.50ns INFO [00004554] * RD COMPARE * port=1 adr=09 act=AC622ED6 exp=AC622ED6
4553.50ns INFO [00004555] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644
4553.50ns INFO [00004555] Port=0 RD @02
4553.50ns INFO [00004555] Port=1 RD @14
4555.50ns INFO [00004557] * RD COMPARE * port=0 adr=02 act=05002234 exp=05002234
4555.50ns INFO [00004557] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644
4556.50ns INFO [00004558] Port=0 WR @06=97F2CB60
4556.50ns INFO [00004558] Port=0 RD @0A
4557.50ns INFO [00004559] Port=0 RD @0E
4558.50ns INFO [00004560] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4558.50ns INFO [00004560] Port=0 RD @0A
4559.50ns INFO [00004561] * RD COMPARE * port=0 adr=0E act=53C56F2D exp=53C56F2D
4559.50ns INFO [00004561] Port=0 WR @09=7C461CC9
4559.50ns INFO [00004561] Port=1 RD @07
4560.50ns INFO [00004562] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4561.50ns INFO [00004563] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE
4561.50ns INFO [00004563] Port=1 RD @11
4562.50ns INFO [00004564] Port=0 WR @15=ECD5DA86
4562.50ns INFO [00004564] Port=1 RD @08
4563.50ns INFO [00004565] * RD COMPARE * port=1 adr=11 act=8A79AFEA exp=8A79AFEA
4563.50ns INFO [00004565] Port=0 RD @04
4564.50ns INFO [00004566] * RD COMPARE * port=1 adr=08 act=D3F03D1D exp=D3F03D1D
4564.50ns INFO [00004566] Port=0 RD @06
4565.50ns INFO [00004567] * RD COMPARE * port=0 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4565.50ns INFO [00004567] Port=0 WR @16=50530870
4566.50ns INFO [00004568] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60
4568.50ns INFO [00004570] Port=0 WR @0B=F102B19C
4568.50ns INFO [00004570] Port=1 RD @14
4569.50ns INFO [00004571] Port=0 WR @08=E5235E0C
4569.50ns INFO [00004571] Port=1 RD @0E
4570.50ns INFO [00004572] * RD COMPARE * port=1 adr=14 act=1D360644 exp=1D360644
4570.50ns INFO [00004572] Port=0 RD @1D
4571.50ns INFO [00004573] * RD COMPARE * port=1 adr=0E act=53C56F2D exp=53C56F2D
4571.50ns INFO [00004573] Port=0 WR @1F=B39458A9
4571.50ns INFO [00004573] Port=0 RD @08
4572.50ns INFO [00004574] * RD COMPARE * port=0 adr=1D act=75D29FF7 exp=75D29FF7
4572.50ns INFO [00004574] Port=0 WR @02=B515DB5D
4572.50ns INFO [00004574] Port=0 RD @0B
4573.50ns INFO [00004575] * RD COMPARE * port=0 adr=08 act=E5235E0C exp=E5235E0C
4574.50ns INFO [00004576] * RD COMPARE * port=0 adr=0B act=F102B19C exp=F102B19C
4575.50ns INFO [00004577] Port=0 RD @0C
4575.50ns INFO [00004577] Port=1 RD @0B
4576.50ns INFO [00004578] Port=0 RD @18
4577.50ns INFO [00004579] * RD COMPARE * port=0 adr=0C act=ABCE224B exp=ABCE224B
4577.50ns INFO [00004579] * RD COMPARE * port=1 adr=0B act=F102B19C exp=F102B19C
4577.50ns INFO [00004579] Port=0 WR @01=914DD8F8
4577.50ns INFO [00004579] Port=0 RD @09
4577.50ns INFO [00004579] Port=1 RD @10
4578.50ns INFO [00004580] * RD COMPARE * port=0 adr=18 act=0F807106 exp=0F807106
4578.50ns INFO [00004580] Port=0 RD @08
4578.50ns INFO [00004580] Port=1 RD @19
4579.50ns INFO [00004581] * RD COMPARE * port=0 adr=09 act=7C461CC9 exp=7C461CC9
4579.50ns INFO [00004581] * RD COMPARE * port=1 adr=10 act=3246F616 exp=3246F616
4579.50ns INFO [00004581] Port=1 RD @0C
4580.50ns INFO [00004582] * RD COMPARE * port=0 adr=08 act=E5235E0C exp=E5235E0C
4580.50ns INFO [00004582] * RD COMPARE * port=1 adr=19 act=C155E8C5 exp=C155E8C5
4580.50ns INFO [00004582] Port=0 WR @15=D75348BD
4581.50ns INFO [00004583] * RD COMPARE * port=1 adr=0C act=ABCE224B exp=ABCE224B
4582.50ns INFO [00004584] Port=1 RD @07
4583.50ns INFO [00004585] Port=0 WR @13=FDE64FB1
4584.50ns INFO [00004586] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE
4585.50ns INFO [00004587] Port=0 WR @0D=F09F04CF
4585.50ns INFO [00004587] Port=0 RD @12
4587.50ns INFO [00004589] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C
4588.50ns INFO [00004590] Port=0 WR @1D=B787221F
4588.50ns INFO [00004590] Port=1 RD @18
4589.50ns INFO [00004591] Port=0 WR @13=C5DF0A5F
4590.50ns INFO [00004592] * RD COMPARE * port=1 adr=18 act=0F807106 exp=0F807106
4590.50ns INFO [00004592] Port=0 RD @06
4590.50ns INFO [00004592] Port=1 RD @09
4591.50ns INFO [00004593] Port=0 WR @00=BB5277BD
4591.50ns INFO [00004593] Port=0 RD @15
4592.50ns INFO [00004594] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60
4592.50ns INFO [00004594] * RD COMPARE * port=1 adr=09 act=7C461CC9 exp=7C461CC9
4592.50ns INFO [00004594] Port=0 WR @11=71C80A5B
4592.50ns INFO [00004594] Port=1 RD @03
4593.50ns INFO [00004595] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD
4594.50ns INFO [00004596] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C
4594.50ns INFO [00004596] Port=0 WR @09=B5C511DC
4594.50ns INFO [00004596] Port=1 RD @17
4595.50ns INFO [00004597] Port=0 WR @18=D06F6802
4595.50ns INFO [00004597] Port=0 RD @0B
4596.50ns INFO [00004598] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342
4596.50ns INFO [00004598] Port=0 WR @11=E0913A7D
4597.50ns INFO [00004599] * RD COMPARE * port=0 adr=0B act=F102B19C exp=F102B19C
4597.50ns INFO [00004599] Port=0 RD @1B
4597.50ns INFO [00004599] Port=1 RD @18
4598.00ns INFO [00004600] [00004600] ...tick...
4598.50ns INFO [00004600] Port=0 RD @09
4599.50ns INFO [00004601] * RD COMPARE * port=0 adr=1B act=09AAB1BE exp=09AAB1BE
4599.50ns INFO [00004601] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802
4599.50ns INFO [00004601] Port=0 WR @0C=DA59FDD6
4599.50ns INFO [00004601] Port=0 RD @0A
4599.50ns INFO [00004601] Port=1 RD @18
4600.50ns INFO [00004602] * RD COMPARE * port=0 adr=09 act=B5C511DC exp=B5C511DC
4600.50ns INFO [00004602] Port=0 RD @07
4600.50ns INFO [00004602] Port=1 RD @07
4601.50ns INFO [00004603] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4601.50ns INFO [00004603] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802
4601.50ns INFO [00004603] Port=0 WR @1C=E4E0E62D
4602.50ns INFO [00004604] * RD COMPARE * port=0 adr=07 act=5D86A9DE exp=5D86A9DE
4602.50ns INFO [00004604] * RD COMPARE * port=1 adr=07 act=5D86A9DE exp=5D86A9DE
4603.50ns INFO [00004605] Port=0 WR @1B=5B44DDD4
4603.50ns INFO [00004605] Port=0 RD @1E
4603.50ns INFO [00004605] Port=1 RD @16
4605.50ns INFO [00004607] * RD COMPARE * port=0 adr=1E act=0E2E0212 exp=0E2E0212
4605.50ns INFO [00004607] * RD COMPARE * port=1 adr=16 act=50530870 exp=50530870
4605.50ns INFO [00004607] Port=0 RD @02
4605.50ns INFO [00004607] Port=1 RD @19
4606.50ns INFO [00004608] Port=0 WR @19=38A96B3D
4607.50ns INFO [00004609] * RD COMPARE * port=0 adr=02 act=B515DB5D exp=B515DB5D
4607.50ns INFO [00004609] * RD COMPARE * port=1 adr=19 act=C155E8C5 exp=C155E8C5
4607.50ns INFO [00004609] Port=0 WR @01=26817019
4607.50ns INFO [00004609] Port=0 RD @17
4608.50ns INFO [00004610] Port=0 WR @08=82A3E4D5
4608.50ns INFO [00004610] Port=1 RD @12
4609.50ns INFO [00004611] * RD COMPARE * port=0 adr=17 act=0F5D6342 exp=0F5D6342
4609.50ns INFO [00004611] Port=1 RD @08
4610.50ns INFO [00004612] * RD COMPARE * port=1 adr=12 act=1C067A2C exp=1C067A2C
4610.50ns INFO [00004612] Port=0 WR @08=551D115F
4611.50ns INFO [00004613] * RD COMPARE * port=1 adr=08 act=82A3E4D5 exp=82A3E4D5
4611.50ns INFO [00004613] Port=0 WR @0E=3314D99E
4611.50ns INFO [00004613] Port=1 RD @15
4612.50ns INFO [00004614] Port=0 WR @13=23C1D0E2
4612.50ns INFO [00004614] Port=0 RD @15
4613.50ns INFO [00004615] * RD COMPARE * port=1 adr=15 act=D75348BD exp=D75348BD
4614.50ns INFO [00004616] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD
4614.50ns INFO [00004616] Port=0 WR @0C=772984F5
4615.50ns INFO [00004617] Port=0 WR @0C=67101AB5
4616.50ns INFO [00004618] Port=0 RD @18
4616.50ns INFO [00004618] Port=1 RD @0C
4617.50ns INFO [00004619] Port=1 RD @13
4618.50ns INFO [00004620] * RD COMPARE * port=0 adr=18 act=D06F6802 exp=D06F6802
4618.50ns INFO [00004620] * RD COMPARE * port=1 adr=0C act=67101AB5 exp=67101AB5
4619.50ns INFO [00004621] * RD COMPARE * port=1 adr=13 act=23C1D0E2 exp=23C1D0E2
4621.50ns INFO [00004623] Port=0 WR @1E=C87E787D
4621.50ns INFO [00004623] Port=0 RD @19
4622.50ns INFO [00004624] Port=0 WR @07=2844AB92
4622.50ns INFO [00004624] Port=0 RD @1A
4622.50ns INFO [00004624] Port=1 RD @09
4623.50ns INFO [00004625] * RD COMPARE * port=0 adr=19 act=38A96B3D exp=38A96B3D
4624.50ns INFO [00004626] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495
4624.50ns INFO [00004626] * RD COMPARE * port=1 adr=09 act=B5C511DC exp=B5C511DC
4624.50ns INFO [00004626] Port=0 WR @0B=340FC874
4624.50ns INFO [00004626] Port=1 RD @11
4625.50ns INFO [00004627] Port=0 WR @0D=88F6AFC8
4625.50ns INFO [00004627] Port=0 RD @01
4625.50ns INFO [00004627] Port=1 RD @05
4626.50ns INFO [00004628] * RD COMPARE * port=1 adr=11 act=E0913A7D exp=E0913A7D
4626.50ns INFO [00004628] Port=0 RD @19
4627.50ns INFO [00004629] * RD COMPARE * port=0 adr=01 act=26817019 exp=26817019
4627.50ns INFO [00004629] * RD COMPARE * port=1 adr=05 act=82CE0FC9 exp=82CE0FC9
4627.50ns INFO [00004629] Port=0 WR @09=611FD0D4
4627.50ns INFO [00004629] Port=1 RD @17
4628.50ns INFO [00004630] * RD COMPARE * port=0 adr=19 act=38A96B3D exp=38A96B3D
4628.50ns INFO [00004630] Port=1 RD @0B
4629.50ns INFO [00004631] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342
4629.50ns INFO [00004631] Port=0 RD @1F
4629.50ns INFO [00004631] Port=1 RD @16
4630.50ns INFO [00004632] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874
4630.50ns INFO [00004632] Port=1 RD @04
4631.50ns INFO [00004633] * RD COMPARE * port=0 adr=1F act=B39458A9 exp=B39458A9
4631.50ns INFO [00004633] * RD COMPARE * port=1 adr=16 act=50530870 exp=50530870
4631.50ns INFO [00004633] Port=0 WR @1C=958188BD
4632.50ns INFO [00004634] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4633.50ns INFO [00004635] Port=1 RD @00
4634.50ns INFO [00004636] Port=0 WR @1C=AE0995B8
4635.50ns INFO [00004637] * RD COMPARE * port=1 adr=00 act=BB5277BD exp=BB5277BD
4636.50ns INFO [00004638] Port=0 WR @19=BFDBBD98
4636.50ns INFO [00004638] Port=1 RD @0F
4637.50ns INFO [00004639] Port=0 RD @05
4637.50ns INFO [00004639] Port=1 RD @18
4638.50ns INFO [00004640] * RD COMPARE * port=1 adr=0F act=540866B1 exp=540866B1
4638.50ns INFO [00004640] Port=0 RD @0A
4638.50ns INFO [00004640] Port=1 RD @00
4639.50ns INFO [00004641] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9
4639.50ns INFO [00004641] * RD COMPARE * port=1 adr=18 act=D06F6802 exp=D06F6802
4639.50ns INFO [00004641] Port=0 RD @16
4640.50ns INFO [00004642] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4640.50ns INFO [00004642] * RD COMPARE * port=1 adr=00 act=BB5277BD exp=BB5277BD
4640.50ns INFO [00004642] Port=1 RD @09
4641.50ns INFO [00004643] * RD COMPARE * port=0 adr=16 act=50530870 exp=50530870
4641.50ns INFO [00004643] Port=0 WR @13=851E9D1F
4641.50ns INFO [00004643] Port=0 RD @08
4642.50ns INFO [00004644] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4
4643.50ns INFO [00004645] * RD COMPARE * port=0 adr=08 act=551D115F exp=551D115F
4643.50ns INFO [00004645] Port=0 WR @00=2B7D3CF0
4645.50ns INFO [00004647] Port=0 RD @11
4647.50ns INFO [00004649] * RD COMPARE * port=0 adr=11 act=E0913A7D exp=E0913A7D
4647.50ns INFO [00004649] Port=0 RD @06
4648.50ns INFO [00004650] Port=0 RD @0B
4648.50ns INFO [00004650] Port=1 RD @11
4649.50ns INFO [00004651] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60
4649.50ns INFO [00004651] Port=0 WR @07=17930A93
4650.50ns INFO [00004652] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874
4650.50ns INFO [00004652] * RD COMPARE * port=1 adr=11 act=E0913A7D exp=E0913A7D
4650.50ns INFO [00004652] Port=0 WR @14=78C3730C
4650.50ns INFO [00004652] Port=0 RD @07
4651.50ns INFO [00004653] Port=0 RD @12
4652.50ns INFO [00004654] * RD COMPARE * port=0 adr=07 act=17930A93 exp=17930A93
4652.50ns INFO [00004654] Port=0 RD @01
4652.50ns INFO [00004654] Port=1 RD @0A
4653.50ns INFO [00004655] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C
4653.50ns INFO [00004655] Port=0 WR @02=4A239CEE
4653.50ns INFO [00004655] Port=0 RD @14
4653.50ns INFO [00004655] Port=1 RD @01
4654.50ns INFO [00004656] * RD COMPARE * port=0 adr=01 act=26817019 exp=26817019
4654.50ns INFO [00004656] * RD COMPARE * port=1 adr=0A act=92E3CB1D exp=92E3CB1D
4654.50ns INFO [00004656] Port=0 RD @02
4655.50ns INFO [00004657] * RD COMPARE * port=0 adr=14 act=78C3730C exp=78C3730C
4655.50ns INFO [00004657] * RD COMPARE * port=1 adr=01 act=26817019 exp=26817019
4655.50ns INFO [00004657] Port=0 WR @19=C64A0F92
4655.50ns INFO [00004657] Port=1 RD @17
4656.50ns INFO [00004658] * RD COMPARE * port=0 adr=02 act=4A239CEE exp=4A239CEE
4656.50ns INFO [00004658] Port=0 WR @07=21F7BD18
4657.50ns INFO [00004659] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342
4658.50ns INFO [00004660] Port=0 RD @18
4660.50ns INFO [00004662] * RD COMPARE * port=0 adr=18 act=D06F6802 exp=D06F6802
4660.50ns INFO [00004662] Port=0 WR @01=A3234453
4660.50ns INFO [00004662] Port=1 RD @09
4661.50ns INFO [00004663] Port=0 RD @05
4661.50ns INFO [00004663] Port=1 RD @10
4662.50ns INFO [00004664] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4
4663.50ns INFO [00004665] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9
4663.50ns INFO [00004665] * RD COMPARE * port=1 adr=10 act=3246F616 exp=3246F616
4663.50ns INFO [00004665] Port=0 RD @12
4663.50ns INFO [00004665] Port=1 RD @03
4664.50ns INFO [00004666] Port=1 RD @03
4665.50ns INFO [00004667] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C
4665.50ns INFO [00004667] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C
4666.50ns INFO [00004668] * RD COMPARE * port=1 adr=03 act=FFB6622C exp=FFB6622C
4667.50ns INFO [00004669] Port=0 WR @1F=8FA3EF22
4667.50ns INFO [00004669] Port=1 RD @07
4668.50ns INFO [00004670] Port=0 RD @07
4669.50ns INFO [00004671] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18
4669.50ns INFO [00004671] Port=0 RD @06
4670.50ns INFO [00004672] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4670.50ns INFO [00004672] Port=0 WR @1E=3A556FAA
4671.50ns INFO [00004673] * RD COMPARE * port=0 adr=06 act=97F2CB60 exp=97F2CB60
4671.50ns INFO [00004673] Port=0 WR @13=56BD3D00
4671.50ns INFO [00004673] Port=0 RD @00
4671.50ns INFO [00004673] Port=1 RD @04
4672.50ns INFO [00004674] Port=0 RD @10
4673.50ns INFO [00004675] * RD COMPARE * port=0 adr=00 act=2B7D3CF0 exp=2B7D3CF0
4673.50ns INFO [00004675] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4673.50ns INFO [00004675] Port=0 WR @19=591DAD4B
4674.50ns INFO [00004676] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616
4674.50ns INFO [00004676] Port=0 WR @03=41463810
4675.50ns INFO [00004677] Port=0 WR @18=AA2BC0E0
4676.50ns INFO [00004678] Port=0 WR @1C=85F2D9EB
4676.50ns INFO [00004678] Port=1 RD @02
4677.50ns INFO [00004679] Port=0 WR @06=CD056F33
4677.50ns INFO [00004679] Port=1 RD @17
4678.50ns INFO [00004680] * RD COMPARE * port=1 adr=02 act=4A239CEE exp=4A239CEE
4679.50ns INFO [00004681] * RD COMPARE * port=1 adr=17 act=0F5D6342 exp=0F5D6342
4679.50ns INFO [00004681] Port=0 RD @1A
4680.50ns INFO [00004682] Port=0 WR @13=DBE652FA
4680.50ns INFO [00004682] Port=0 RD @05
4681.50ns INFO [00004683] * RD COMPARE * port=0 adr=1A act=C2961495 exp=C2961495
4682.50ns INFO [00004684] * RD COMPARE * port=0 adr=05 act=82CE0FC9 exp=82CE0FC9
4682.50ns INFO [00004684] Port=1 RD @19
4683.50ns INFO [00004685] Port=0 RD @12
4684.50ns INFO [00004686] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4684.50ns INFO [00004686] Port=0 RD @14
4684.50ns INFO [00004686] Port=1 RD @1F
4685.50ns INFO [00004687] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C
4686.50ns INFO [00004688] * RD COMPARE * port=0 adr=14 act=78C3730C exp=78C3730C
4686.50ns INFO [00004688] * RD COMPARE * port=1 adr=1F act=8FA3EF22 exp=8FA3EF22
4686.50ns INFO [00004688] Port=0 WR @13=666902F6
4687.50ns INFO [00004689] Port=0 RD @1B
4687.50ns INFO [00004689] Port=1 RD @09
4688.50ns INFO [00004690] Port=0 RD @0D
4688.50ns INFO [00004690] Port=1 RD @18
4689.50ns INFO [00004691] * RD COMPARE * port=0 adr=1B act=5B44DDD4 exp=5B44DDD4
4689.50ns INFO [00004691] * RD COMPARE * port=1 adr=09 act=611FD0D4 exp=611FD0D4
4690.50ns INFO [00004692] * RD COMPARE * port=0 adr=0D act=88F6AFC8 exp=88F6AFC8
4690.50ns INFO [00004692] * RD COMPARE * port=1 adr=18 act=AA2BC0E0 exp=AA2BC0E0
4690.50ns INFO [00004692] Port=0 RD @11
4690.50ns INFO [00004692] Port=1 RD @19
4691.50ns INFO [00004693] Port=0 RD @0E
4691.50ns INFO [00004693] Port=1 RD @06
4692.50ns INFO [00004694] * RD COMPARE * port=0 adr=11 act=E0913A7D exp=E0913A7D
4692.50ns INFO [00004694] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4693.50ns INFO [00004695] * RD COMPARE * port=0 adr=0E act=3314D99E exp=3314D99E
4693.50ns INFO [00004695] * RD COMPARE * port=1 adr=06 act=CD056F33 exp=CD056F33
4694.50ns INFO [00004696] Port=0 WR @0F=EF565C77
4694.50ns INFO [00004696] Port=0 RD @10
4694.50ns INFO [00004696] Port=1 RD @1B
4695.50ns INFO [00004697] Port=0 WR @16=0746892A
4695.50ns INFO [00004697] Port=0 RD @0A
4696.50ns INFO [00004698] * RD COMPARE * port=0 adr=10 act=3246F616 exp=3246F616
4696.50ns INFO [00004698] * RD COMPARE * port=1 adr=1B act=5B44DDD4 exp=5B44DDD4
4696.50ns INFO [00004698] Port=0 RD @0B
4697.50ns INFO [00004699] * RD COMPARE * port=0 adr=0A act=92E3CB1D exp=92E3CB1D
4697.50ns INFO [00004699] Port=0 WR @1A=87175E01
4697.50ns INFO [00004699] Port=1 RD @13
4698.00ns INFO [00004700] [00004700] ...tick...
4698.50ns INFO [00004700] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874
4699.50ns INFO [00004701] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6
4699.50ns INFO [00004701] Port=0 WR @02=C289F351
4700.50ns INFO [00004702] Port=0 WR @0E=EC2F0F9A
4703.50ns INFO [00004705] Port=0 RD @18
4703.50ns INFO [00004705] Port=1 RD @00
4704.50ns INFO [00004706] Port=1 RD @04
4705.50ns INFO [00004707] * RD COMPARE * port=0 adr=18 act=AA2BC0E0 exp=AA2BC0E0
4705.50ns INFO [00004707] * RD COMPARE * port=1 adr=00 act=2B7D3CF0 exp=2B7D3CF0
4705.50ns INFO [00004707] Port=0 WR @17=3DA48B7C
4705.50ns INFO [00004707] Port=1 RD @07
4706.50ns INFO [00004708] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4706.50ns INFO [00004708] Port=0 WR @0A=0D9C3B4C
4707.50ns INFO [00004709] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18
4707.50ns INFO [00004709] Port=0 RD @19
4709.50ns INFO [00004711] * RD COMPARE * port=0 adr=19 act=591DAD4B exp=591DAD4B
4710.50ns INFO [00004712] Port=0 WR @06=802028F0
4710.50ns INFO [00004712] Port=0 RD @0D
4711.50ns INFO [00004713] Port=0 WR @00=851DBCC3
4711.50ns INFO [00004713] Port=0 RD @1C
4712.50ns INFO [00004714] * RD COMPARE * port=0 adr=0D act=88F6AFC8 exp=88F6AFC8
4713.50ns INFO [00004715] * RD COMPARE * port=0 adr=1C act=85F2D9EB exp=85F2D9EB
4713.50ns INFO [00004715] Port=0 WR @1B=7A4BB075
4715.50ns INFO [00004717] Port=0 WR @0D=ECF8942D
4718.50ns INFO [00004720] Port=0 WR @05=B029E257
4719.50ns INFO [00004721] Port=0 RD @15
4720.50ns INFO [00004722] Port=1 RD @17
4721.50ns INFO [00004723] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD
4721.50ns INFO [00004723] Port=0 WR @0C=5394BE19
4721.50ns INFO [00004723] Port=1 RD @0A
4722.50ns INFO [00004724] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C
4722.50ns INFO [00004724] Port=0 WR @11=A48DCA1B
4722.50ns INFO [00004724] Port=0 RD @0E
4722.50ns INFO [00004724] Port=1 RD @04
4723.50ns INFO [00004725] * RD COMPARE * port=1 adr=0A act=0D9C3B4C exp=0D9C3B4C
4723.50ns INFO [00004725] Port=0 WR @10=5B140ED0
4723.50ns INFO [00004725] Port=0 RD @12
4724.50ns INFO [00004726] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A
4724.50ns INFO [00004726] * RD COMPARE * port=1 adr=04 act=C5ABDEE2 exp=C5ABDEE2
4724.50ns INFO [00004726] Port=1 RD @13
4725.50ns INFO [00004727] * RD COMPARE * port=0 adr=12 act=1C067A2C exp=1C067A2C
4725.50ns INFO [00004727] Port=1 RD @06
4726.50ns INFO [00004728] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6
4726.50ns INFO [00004728] Port=1 RD @16
4727.50ns INFO [00004729] * RD COMPARE * port=1 adr=06 act=802028F0 exp=802028F0
4727.50ns INFO [00004729] Port=0 RD @0C
4728.50ns INFO [00004730] * RD COMPARE * port=1 adr=16 act=0746892A exp=0746892A
4729.50ns INFO [00004731] * RD COMPARE * port=0 adr=0C act=5394BE19 exp=5394BE19
4729.50ns INFO [00004731] Port=0 WR @0A=EF802D53
4729.50ns INFO [00004731] Port=1 RD @0C
4731.50ns INFO [00004733] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19
4732.50ns INFO [00004734] Port=0 RD @10
4732.50ns INFO [00004734] Port=1 RD @17
4733.50ns INFO [00004735] Port=0 WR @1F=53EBBDCB
4733.50ns INFO [00004735] Port=1 RD @19
4734.50ns INFO [00004736] * RD COMPARE * port=0 adr=10 act=5B140ED0 exp=5B140ED0
4734.50ns INFO [00004736] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C
4734.50ns INFO [00004736] Port=0 RD @18
4734.50ns INFO [00004736] Port=1 RD @0E
4735.50ns INFO [00004737] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4735.50ns INFO [00004737] Port=0 WR @12=5D03A29E
4735.50ns INFO [00004737] Port=1 RD @19
4736.50ns INFO [00004738] * RD COMPARE * port=0 adr=18 act=AA2BC0E0 exp=AA2BC0E0
4736.50ns INFO [00004738] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A
4736.50ns INFO [00004738] Port=1 RD @10
4737.50ns INFO [00004739] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4737.50ns INFO [00004739] Port=0 WR @09=171F5D5A
4737.50ns INFO [00004739] Port=1 RD @13
4738.50ns INFO [00004740] * RD COMPARE * port=1 adr=10 act=5B140ED0 exp=5B140ED0
4738.50ns INFO [00004740] Port=0 RD @0D
4738.50ns INFO [00004740] Port=1 RD @05
4739.50ns INFO [00004741] * RD COMPARE * port=1 adr=13 act=666902F6 exp=666902F6
4739.50ns INFO [00004741] Port=0 WR @03=8910A1C9
4740.50ns INFO [00004742] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D
4740.50ns INFO [00004742] * RD COMPARE * port=1 adr=05 act=B029E257 exp=B029E257
4740.50ns INFO [00004742] Port=0 WR @08=45CB11AE
4740.50ns INFO [00004742] Port=0 RD @0D
4740.50ns INFO [00004742] Port=1 RD @0D
4742.50ns INFO [00004744] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D
4742.50ns INFO [00004744] * RD COMPARE * port=1 adr=0D act=ECF8942D exp=ECF8942D
4742.50ns INFO [00004744] Port=0 RD @1C
4743.50ns INFO [00004745] Port=0 WR @12=43390902
4744.50ns INFO [00004746] * RD COMPARE * port=0 adr=1C act=85F2D9EB exp=85F2D9EB
4745.50ns INFO [00004747] Port=1 RD @19
4747.50ns INFO [00004749] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4747.50ns INFO [00004749] Port=0 WR @11=C631E869
4748.50ns INFO [00004750] Port=0 WR @01=FF84CB30
4749.50ns INFO [00004751] Port=1 RD @0E
4750.50ns INFO [00004752] Port=0 RD @0A
4750.50ns INFO [00004752] Port=1 RD @0C
4751.50ns INFO [00004753] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A
4751.50ns INFO [00004753] Port=0 WR @1F=3251CF1F
4752.50ns INFO [00004754] * RD COMPARE * port=0 adr=0A act=EF802D53 exp=EF802D53
4752.50ns INFO [00004754] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19
4752.50ns INFO [00004754] Port=1 RD @02
4754.50ns INFO [00004756] * RD COMPARE * port=1 adr=02 act=C289F351 exp=C289F351
4755.50ns INFO [00004757] Port=0 RD @1E
4755.50ns INFO [00004757] Port=1 RD @08
4757.50ns INFO [00004759] * RD COMPARE * port=0 adr=1E act=3A556FAA exp=3A556FAA
4757.50ns INFO [00004759] * RD COMPARE * port=1 adr=08 act=45CB11AE exp=45CB11AE
4757.50ns INFO [00004759] Port=0 RD @01
4757.50ns INFO [00004759] Port=1 RD @11
4759.50ns INFO [00004761] * RD COMPARE * port=0 adr=01 act=FF84CB30 exp=FF84CB30
4759.50ns INFO [00004761] * RD COMPARE * port=1 adr=11 act=C631E869 exp=C631E869
4760.50ns INFO [00004762] Port=0 RD @0A
4762.50ns INFO [00004764] * RD COMPARE * port=0 adr=0A act=EF802D53 exp=EF802D53
4762.50ns INFO [00004764] Port=0 WR @00=8E677FD2
4762.50ns INFO [00004764] Port=0 RD @1E
4763.50ns INFO [00004765] Port=0 RD @17
4763.50ns INFO [00004765] Port=1 RD @0F
4764.50ns INFO [00004766] * RD COMPARE * port=0 adr=1E act=3A556FAA exp=3A556FAA
4764.50ns INFO [00004766] Port=1 RD @17
4765.50ns INFO [00004767] * RD COMPARE * port=0 adr=17 act=3DA48B7C exp=3DA48B7C
4765.50ns INFO [00004767] * RD COMPARE * port=1 adr=0F act=EF565C77 exp=EF565C77
4766.50ns INFO [00004768] * RD COMPARE * port=1 adr=17 act=3DA48B7C exp=3DA48B7C
4767.50ns INFO [00004769] Port=1 RD @01
4768.50ns INFO [00004770] Port=0 WR @14=80474751
4768.50ns INFO [00004770] Port=1 RD @07
4769.50ns INFO [00004771] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30
4769.50ns INFO [00004771] Port=0 RD @15
4769.50ns INFO [00004771] Port=1 RD @0A
4770.50ns INFO [00004772] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18
4770.50ns INFO [00004772] Port=0 WR @17=92BACECA
4770.50ns INFO [00004772] Port=0 RD @15
4771.50ns INFO [00004773] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD
4771.50ns INFO [00004773] * RD COMPARE * port=1 adr=0A act=EF802D53 exp=EF802D53
4771.50ns INFO [00004773] Port=1 RD @1B
4772.50ns INFO [00004774] * RD COMPARE * port=0 adr=15 act=D75348BD exp=D75348BD
4772.50ns INFO [00004774] Port=0 RD @16
4773.50ns INFO [00004775] * RD COMPARE * port=1 adr=1B act=7A4BB075 exp=7A4BB075
4773.50ns INFO [00004775] Port=1 RD @0B
4774.50ns INFO [00004776] * RD COMPARE * port=0 adr=16 act=0746892A exp=0746892A
4774.50ns INFO [00004776] Port=0 WR @13=C17751E7
4775.50ns INFO [00004777] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874
4775.50ns INFO [00004777] Port=0 WR @1F=7F7EF94F
4776.50ns INFO [00004778] Port=0 WR @15=3D9EF2C3
4777.50ns INFO [00004779] Port=0 WR @16=97306974
4777.50ns INFO [00004779] Port=0 RD @1B
4779.50ns INFO [00004781] * RD COMPARE * port=0 adr=1B act=7A4BB075 exp=7A4BB075
4779.50ns INFO [00004781] Port=0 WR @0A=4BFC48B0
4779.50ns INFO [00004781] Port=1 RD @01
4780.50ns INFO [00004782] Port=0 RD @0F
4781.50ns INFO [00004783] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30
4781.50ns INFO [00004783] Port=1 RD @02
4782.50ns INFO [00004784] * RD COMPARE * port=0 adr=0F act=EF565C77 exp=EF565C77
4783.50ns INFO [00004785] * RD COMPARE * port=1 adr=02 act=C289F351 exp=C289F351
4783.50ns INFO [00004785] Port=0 WR @11=E2BAB1C9
4783.50ns INFO [00004785] Port=1 RD @1F
4784.50ns INFO [00004786] Port=0 WR @15=A7A2C2C5
4784.50ns INFO [00004786] Port=0 RD @0B
4785.50ns INFO [00004787] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F
4785.50ns INFO [00004787] Port=0 WR @1B=7391CAE1
4785.50ns INFO [00004787] Port=0 RD @1A
4786.50ns INFO [00004788] * RD COMPARE * port=0 adr=0B act=340FC874 exp=340FC874
4786.50ns INFO [00004788] Port=0 WR @08=1B4835F8
4787.50ns INFO [00004789] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01
4787.50ns INFO [00004789] Port=1 RD @0C
4788.50ns INFO [00004790] Port=0 WR @04=BC0E2D3E
4788.50ns INFO [00004790] Port=0 RD @1A
4788.50ns INFO [00004790] Port=1 RD @15
4789.50ns INFO [00004791] * RD COMPARE * port=1 adr=0C act=5394BE19 exp=5394BE19
4790.50ns INFO [00004792] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01
4790.50ns INFO [00004792] * RD COMPARE * port=1 adr=15 act=A7A2C2C5 exp=A7A2C2C5
4791.50ns INFO [00004793] Port=0 WR @1B=8E1C42EC
4792.50ns INFO [00004794] Port=0 WR @12=8BC017CC
4794.50ns INFO [00004796] Port=0 WR @1B=9BCA6116
4794.50ns INFO [00004796] Port=0 RD @16
4795.50ns INFO [00004797] Port=0 WR @18=E6EB3492
4795.50ns INFO [00004797] Port=0 RD @0D
4796.50ns INFO [00004798] * RD COMPARE * port=0 adr=16 act=97306974 exp=97306974
4796.50ns INFO [00004798] Port=0 WR @16=1AC6116C
4797.50ns INFO [00004799] * RD COMPARE * port=0 adr=0D act=ECF8942D exp=ECF8942D
4797.50ns INFO [00004799] Port=0 WR @0A=94A7C31F
4797.50ns INFO [00004799] Port=1 RD @19
4798.00ns INFO [00004800] [00004800] ...tick...
4798.50ns INFO [00004800] Port=1 RD @01
4799.50ns INFO [00004801] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4799.50ns INFO [00004801] Port=0 WR @15=C78E2266
4800.50ns INFO [00004802] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30
4800.50ns INFO [00004802] Port=0 WR @09=1D09970A
4800.50ns INFO [00004802] Port=0 RD @03
4801.50ns INFO [00004803] Port=0 WR @02=5C852DF0
4802.50ns INFO [00004804] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4802.50ns INFO [00004804] Port=0 RD @08
4803.50ns INFO [00004805] Port=0 WR @0A=0C1A3932
4803.50ns INFO [00004805] Port=1 RD @1E
4804.50ns INFO [00004806] * RD COMPARE * port=0 adr=08 act=1B4835F8 exp=1B4835F8
4804.50ns INFO [00004806] Port=1 RD @1A
4805.50ns INFO [00004807] * RD COMPARE * port=1 adr=1E act=3A556FAA exp=3A556FAA
4805.50ns INFO [00004807] Port=0 WR @06=7AE2974F
4806.50ns INFO [00004808] * RD COMPARE * port=1 adr=1A act=87175E01 exp=87175E01
4807.50ns INFO [00004809] Port=0 WR @1D=13F6CDC4
4807.50ns INFO [00004809] Port=0 RD @03
4807.50ns INFO [00004809] Port=1 RD @10
4808.50ns INFO [00004810] Port=0 WR @06=0AFE6673
4809.50ns INFO [00004811] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4809.50ns INFO [00004811] * RD COMPARE * port=1 adr=10 act=5B140ED0 exp=5B140ED0
4810.50ns INFO [00004812] Port=0 WR @1B=615B3B7A
4810.50ns INFO [00004812] Port=1 RD @0B
4811.50ns INFO [00004813] Port=0 RD @1F
4811.50ns INFO [00004813] Port=1 RD @0F
4812.50ns INFO [00004814] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874
4812.50ns INFO [00004814] Port=0 WR @09=489CAEF9
4812.50ns INFO [00004814] Port=0 RD @07
4812.50ns INFO [00004814] Port=1 RD @1F
4813.50ns INFO [00004815] * RD COMPARE * port=0 adr=1F act=7F7EF94F exp=7F7EF94F
4813.50ns INFO [00004815] * RD COMPARE * port=1 adr=0F act=EF565C77 exp=EF565C77
4813.50ns INFO [00004815] Port=0 WR @13=36CAFD00
4813.50ns INFO [00004815] Port=1 RD @02
4814.50ns INFO [00004816] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4814.50ns INFO [00004816] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F
4814.50ns INFO [00004816] Port=0 WR @1B=3BCE5568
4814.50ns INFO [00004816] Port=0 RD @1A
4814.50ns INFO [00004816] Port=1 RD @16
4815.50ns INFO [00004817] * RD COMPARE * port=1 adr=02 act=5C852DF0 exp=5C852DF0
4815.50ns INFO [00004817] Port=0 WR @1A=F125DB13
4815.50ns INFO [00004817] Port=0 RD @05
4815.50ns INFO [00004817] Port=1 RD @1F
4816.50ns INFO [00004818] * RD COMPARE * port=0 adr=1A act=87175E01 exp=87175E01
4816.50ns INFO [00004818] * RD COMPARE * port=1 adr=16 act=1AC6116C exp=1AC6116C
4816.50ns INFO [00004818] Port=1 RD @16
4817.50ns INFO [00004819] * RD COMPARE * port=0 adr=05 act=B029E257 exp=B029E257
4817.50ns INFO [00004819] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F
4818.50ns INFO [00004820] * RD COMPARE * port=1 adr=16 act=1AC6116C exp=1AC6116C
4818.50ns INFO [00004820] Port=0 RD @03
4818.50ns INFO [00004820] Port=1 RD @12
4819.50ns INFO [00004821] Port=0 RD @14
4820.50ns INFO [00004822] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4820.50ns INFO [00004822] * RD COMPARE * port=1 adr=12 act=8BC017CC exp=8BC017CC
4821.50ns INFO [00004823] * RD COMPARE * port=0 adr=14 act=80474751 exp=80474751
4821.50ns INFO [00004823] Port=0 RD @1A
4822.50ns INFO [00004824] Port=0 RD @0E
4823.50ns INFO [00004825] * RD COMPARE * port=0 adr=1A act=F125DB13 exp=F125DB13
4823.50ns INFO [00004825] Port=0 RD @17
4823.50ns INFO [00004825] Port=1 RD @1E
4824.50ns INFO [00004826] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A
4825.50ns INFO [00004827] * RD COMPARE * port=0 adr=17 act=92BACECA exp=92BACECA
4825.50ns INFO [00004827] * RD COMPARE * port=1 adr=1E act=3A556FAA exp=3A556FAA
4825.50ns INFO [00004827] Port=0 WR @10=DF6DE4FF
4825.50ns INFO [00004827] Port=1 RD @02
4826.50ns INFO [00004828] Port=0 RD @13
4827.50ns INFO [00004829] * RD COMPARE * port=1 adr=02 act=5C852DF0 exp=5C852DF0
4827.50ns INFO [00004829] Port=0 WR @10=34CED22D
4828.50ns INFO [00004830] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00
4829.50ns INFO [00004831] Port=0 RD @05
4829.50ns INFO [00004831] Port=1 RD @17
4830.50ns INFO [00004832] Port=0 WR @08=52742C31
4830.50ns INFO [00004832] Port=1 RD @03
4831.50ns INFO [00004833] * RD COMPARE * port=0 adr=05 act=B029E257 exp=B029E257
4831.50ns INFO [00004833] * RD COMPARE * port=1 adr=17 act=92BACECA exp=92BACECA
4831.50ns INFO [00004833] Port=0 WR @16=3A6A9DAC
4832.50ns INFO [00004834] * RD COMPARE * port=1 adr=03 act=8910A1C9 exp=8910A1C9
4832.50ns INFO [00004834] Port=0 WR @1C=9B3A4A9B
4835.50ns INFO [00004837] Port=0 RD @07
4836.50ns INFO [00004838] Port=0 RD @03
4836.50ns INFO [00004838] Port=1 RD @03
4837.50ns INFO [00004839] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4838.50ns INFO [00004840] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4838.50ns INFO [00004840] * RD COMPARE * port=1 adr=03 act=8910A1C9 exp=8910A1C9
4838.50ns INFO [00004840] Port=0 WR @05=9A90669A
4838.50ns INFO [00004840] Port=1 RD @0B
4839.50ns INFO [00004841] Port=0 WR @14=B847BF1E
4839.50ns INFO [00004841] Port=1 RD @1D
4840.50ns INFO [00004842] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874
4840.50ns INFO [00004842] Port=0 WR @0D=AEB1AEFB
4840.50ns INFO [00004842] Port=0 RD @19
4840.50ns INFO [00004842] Port=1 RD @19
4841.50ns INFO [00004843] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4
4841.50ns INFO [00004843] Port=0 RD @1B
4841.50ns INFO [00004843] Port=1 RD @0D
4842.50ns INFO [00004844] * RD COMPARE * port=0 adr=19 act=591DAD4B exp=591DAD4B
4842.50ns INFO [00004844] * RD COMPARE * port=1 adr=19 act=591DAD4B exp=591DAD4B
4842.50ns INFO [00004844] Port=0 WR @02=187B7BC6
4842.50ns INFO [00004844] Port=1 RD @1B
4843.50ns INFO [00004845] * RD COMPARE * port=0 adr=1B act=3BCE5568 exp=3BCE5568
4843.50ns INFO [00004845] * RD COMPARE * port=1 adr=0D act=AEB1AEFB exp=AEB1AEFB
4843.50ns INFO [00004845] Port=0 RD @12
4844.50ns INFO [00004846] * RD COMPARE * port=1 adr=1B act=3BCE5568 exp=3BCE5568
4845.50ns INFO [00004847] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC
4846.50ns INFO [00004848] Port=1 RD @1F
4847.50ns INFO [00004849] Port=0 WR @0C=43F1CFFD
4848.50ns INFO [00004850] * RD COMPARE * port=1 adr=1F act=7F7EF94F exp=7F7EF94F
4848.50ns INFO [00004850] Port=1 RD @04
4849.50ns INFO [00004851] Port=0 RD @07
4850.50ns INFO [00004852] * RD COMPARE * port=1 adr=04 act=BC0E2D3E exp=BC0E2D3E
4851.50ns INFO [00004853] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4852.50ns INFO [00004854] Port=0 WR @0D=7254B884
4852.50ns INFO [00004854] Port=0 RD @17
4854.50ns INFO [00004856] * RD COMPARE * port=0 adr=17 act=92BACECA exp=92BACECA
4854.50ns INFO [00004856] Port=0 WR @1E=35B660DE
4854.50ns INFO [00004856] Port=0 RD @08
4854.50ns INFO [00004856] Port=1 RD @10
4856.50ns INFO [00004858] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
4856.50ns INFO [00004858] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D
4857.50ns INFO [00004859] Port=1 RD @01
4858.50ns INFO [00004860] Port=1 RD @05
4859.50ns INFO [00004861] * RD COMPARE * port=1 adr=01 act=FF84CB30 exp=FF84CB30
4860.50ns INFO [00004862] * RD COMPARE * port=1 adr=05 act=9A90669A exp=9A90669A
4860.50ns INFO [00004862] Port=0 WR @00=856C5E48
4860.50ns INFO [00004862] Port=1 RD @1A
4861.50ns INFO [00004863] Port=0 RD @07
4861.50ns INFO [00004863] Port=1 RD @16
4862.50ns INFO [00004864] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13
4862.50ns INFO [00004864] Port=0 RD @16
4863.50ns INFO [00004865] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4863.50ns INFO [00004865] * RD COMPARE * port=1 adr=16 act=3A6A9DAC exp=3A6A9DAC
4864.50ns INFO [00004866] * RD COMPARE * port=0 adr=16 act=3A6A9DAC exp=3A6A9DAC
4864.50ns INFO [00004866] Port=0 WR @06=EC91D225
4864.50ns INFO [00004866] Port=0 RD @0F
4866.50ns INFO [00004868] * RD COMPARE * port=0 adr=0F act=EF565C77 exp=EF565C77
4867.50ns INFO [00004869] Port=0 WR @17=0F31F274
4867.50ns INFO [00004869] Port=0 RD @12
4868.50ns INFO [00004870] Port=0 RD @1B
4868.50ns INFO [00004870] Port=1 RD @0E
4869.50ns INFO [00004871] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC
4869.50ns INFO [00004871] Port=0 WR @1F=4515983B
4869.50ns INFO [00004871] Port=0 RD @03
4870.50ns INFO [00004872] * RD COMPARE * port=0 adr=1B act=3BCE5568 exp=3BCE5568
4870.50ns INFO [00004872] * RD COMPARE * port=1 adr=0E act=EC2F0F9A exp=EC2F0F9A
4870.50ns INFO [00004872] Port=0 RD @13
4871.50ns INFO [00004873] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4871.50ns INFO [00004873] Port=0 WR @01=5FB401F4
4871.50ns INFO [00004873] Port=1 RD @1A
4872.50ns INFO [00004874] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00
4872.50ns INFO [00004874] Port=1 RD @1E
4873.50ns INFO [00004875] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13
4873.50ns INFO [00004875] Port=0 RD @02
4873.50ns INFO [00004875] Port=1 RD @1F
4874.50ns INFO [00004876] * RD COMPARE * port=1 adr=1E act=35B660DE exp=35B660DE
4875.50ns INFO [00004877] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6
4875.50ns INFO [00004877] * RD COMPARE * port=1 adr=1F act=4515983B exp=4515983B
4875.50ns INFO [00004877] Port=0 WR @1F=46C0C0E1
4875.50ns INFO [00004877] Port=0 RD @09
4877.50ns INFO [00004879] * RD COMPARE * port=0 adr=09 act=489CAEF9 exp=489CAEF9
4877.50ns INFO [00004879] Port=0 RD @0A
4878.50ns INFO [00004880] Port=0 WR @0F=9C9C152B
4879.50ns INFO [00004881] * RD COMPARE * port=0 adr=0A act=0C1A3932 exp=0C1A3932
4879.50ns INFO [00004881] Port=0 WR @01=190B00B5
4881.50ns INFO [00004883] Port=0 WR @14=AAACCC3E
4881.50ns INFO [00004883] Port=1 RD @10
4882.50ns INFO [00004884] Port=0 WR @0D=E7158945
4882.50ns INFO [00004884] Port=0 RD @03
4883.50ns INFO [00004885] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D
4883.50ns INFO [00004885] Port=0 WR @17=58B0A704
4884.50ns INFO [00004886] * RD COMPARE * port=0 adr=03 act=8910A1C9 exp=8910A1C9
4884.50ns INFO [00004886] Port=0 RD @16
4885.50ns INFO [00004887] Port=1 RD @16
4886.50ns INFO [00004888] * RD COMPARE * port=0 adr=16 act=3A6A9DAC exp=3A6A9DAC
4886.50ns INFO [00004888] Port=0 WR @15=2343657E
4887.50ns INFO [00004889] * RD COMPARE * port=1 adr=16 act=3A6A9DAC exp=3A6A9DAC
4888.50ns INFO [00004890] Port=0 RD @02
4888.50ns INFO [00004890] Port=1 RD @1A
4889.50ns INFO [00004891] Port=0 WR @19=5AE02CA8
4889.50ns INFO [00004891] Port=1 RD @06
4890.50ns INFO [00004892] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6
4890.50ns INFO [00004892] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13
4890.50ns INFO [00004892] Port=0 RD @07
4891.50ns INFO [00004893] * RD COMPARE * port=1 adr=06 act=EC91D225 exp=EC91D225
4891.50ns INFO [00004893] Port=0 WR @1E=BA559893
4891.50ns INFO [00004893] Port=0 RD @1A
4892.50ns INFO [00004894] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4892.50ns INFO [00004894] Port=0 RD @02
4892.50ns INFO [00004894] Port=1 RD @10
4893.50ns INFO [00004895] * RD COMPARE * port=0 adr=1A act=F125DB13 exp=F125DB13
4894.50ns INFO [00004896] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6
4894.50ns INFO [00004896] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D
4894.50ns INFO [00004896] Port=0 RD @0A
4894.50ns INFO [00004896] Port=1 RD @06
4895.50ns INFO [00004897] Port=0 WR @06=3A9E858F
4895.50ns INFO [00004897] Port=1 RD @0A
4896.50ns INFO [00004898] * RD COMPARE * port=0 adr=0A act=0C1A3932 exp=0C1A3932
4896.50ns INFO [00004898] * RD COMPARE * port=1 adr=06 act=EC91D225 exp=EC91D225
4896.50ns INFO [00004898] Port=0 WR @1B=3F520B6B
4897.50ns INFO [00004899] * RD COMPARE * port=1 adr=0A act=0C1A3932 exp=0C1A3932
4897.50ns INFO [00004899] Port=1 RD @02
4898.00ns INFO [00004900] [00004900] ...tick...
4898.50ns INFO [00004900] Port=0 WR @0D=A2A589BB
4899.50ns INFO [00004901] * RD COMPARE * port=1 adr=02 act=187B7BC6 exp=187B7BC6
4899.50ns INFO [00004901] Port=0 RD @0E
4899.50ns INFO [00004901] Port=1 RD @07
4901.50ns INFO [00004903] * RD COMPARE * port=0 adr=0E act=EC2F0F9A exp=EC2F0F9A
4901.50ns INFO [00004903] * RD COMPARE * port=1 adr=07 act=21F7BD18 exp=21F7BD18
4901.50ns INFO [00004903] Port=0 WR @03=F28A1B74
4902.50ns INFO [00004904] Port=1 RD @05
4903.50ns INFO [00004905] Port=0 WR @01=46B14509
4904.50ns INFO [00004906] * RD COMPARE * port=1 adr=05 act=9A90669A exp=9A90669A
4904.50ns INFO [00004906] Port=0 WR @1B=83EAE9CC
4905.50ns INFO [00004907] Port=0 RD @1F
4906.50ns INFO [00004908] Port=0 RD @15
4906.50ns INFO [00004908] Port=1 RD @02
4907.50ns INFO [00004909] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1
4907.50ns INFO [00004909] Port=0 WR @16=7D4CCCD7
4907.50ns INFO [00004909] Port=1 RD @0D
4908.50ns INFO [00004910] * RD COMPARE * port=0 adr=15 act=2343657E exp=2343657E
4908.50ns INFO [00004910] * RD COMPARE * port=1 adr=02 act=187B7BC6 exp=187B7BC6
4909.50ns INFO [00004911] * RD COMPARE * port=1 adr=0D act=A2A589BB exp=A2A589BB
4909.50ns INFO [00004911] Port=0 RD @16
4910.50ns INFO [00004912] Port=0 WR @0E=174AF134
4911.50ns INFO [00004913] * RD COMPARE * port=0 adr=16 act=7D4CCCD7 exp=7D4CCCD7
4911.50ns INFO [00004913] Port=1 RD @08
4912.50ns INFO [00004914] Port=0 RD @13
4913.50ns INFO [00004915] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
4913.50ns INFO [00004915] Port=0 RD @07
4914.50ns INFO [00004916] * RD COMPARE * port=0 adr=13 act=36CAFD00 exp=36CAFD00
4914.50ns INFO [00004916] Port=0 WR @16=C9691D00
4914.50ns INFO [00004916] Port=0 RD @02
4915.50ns INFO [00004917] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4915.50ns INFO [00004917] Port=0 WR @0E=C98595FA
4916.50ns INFO [00004918] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6
4916.50ns INFO [00004918] Port=0 RD @17
4917.50ns INFO [00004919] Port=0 WR @19=B9217D0F
4918.50ns INFO [00004920] * RD COMPARE * port=0 adr=17 act=58B0A704 exp=58B0A704
4918.50ns INFO [00004920] Port=0 RD @11
4919.50ns INFO [00004921] Port=0 RD @0C
4920.50ns INFO [00004922] * RD COMPARE * port=0 adr=11 act=E2BAB1C9 exp=E2BAB1C9
4920.50ns INFO [00004922] Port=0 RD @06
4921.50ns INFO [00004923] * RD COMPARE * port=0 adr=0C act=43F1CFFD exp=43F1CFFD
4921.50ns INFO [00004923] Port=0 RD @07
4922.50ns INFO [00004924] * RD COMPARE * port=0 adr=06 act=3A9E858F exp=3A9E858F
4922.50ns INFO [00004924] Port=0 RD @12
4922.50ns INFO [00004924] Port=1 RD @08
4923.50ns INFO [00004925] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4923.50ns INFO [00004925] Port=0 WR @09=9F46C603
4923.50ns INFO [00004925] Port=0 RD @14
4924.50ns INFO [00004926] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC
4924.50ns INFO [00004926] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
4924.50ns INFO [00004926] Port=0 RD @17
4925.50ns INFO [00004927] * RD COMPARE * port=0 adr=14 act=AAACCC3E exp=AAACCC3E
4926.50ns INFO [00004928] * RD COMPARE * port=0 adr=17 act=58B0A704 exp=58B0A704
4927.50ns INFO [00004929] Port=0 WR @0F=EB8A0185
4927.50ns INFO [00004929] Port=0 RD @03
4927.50ns INFO [00004929] Port=1 RD @1A
4928.50ns INFO [00004930] Port=0 WR @0C=40D7F38D
4929.50ns INFO [00004931] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
4929.50ns INFO [00004931] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13
4930.50ns INFO [00004932] Port=0 WR @0E=628D7F14
4931.50ns INFO [00004933] Port=0 WR @15=80EBEA7F
4931.50ns INFO [00004933] Port=1 RD @1D
4932.50ns INFO [00004934] Port=0 RD @0E
4933.50ns INFO [00004935] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4
4933.50ns INFO [00004935] Port=0 WR @04=FBB8221C
4934.50ns INFO [00004936] * RD COMPARE * port=0 adr=0E act=628D7F14 exp=628D7F14
4934.50ns INFO [00004936] Port=0 RD @1D
4935.50ns INFO [00004937] Port=0 RD @03
4936.50ns INFO [00004938] * RD COMPARE * port=0 adr=1D act=13F6CDC4 exp=13F6CDC4
4936.50ns INFO [00004938] Port=0 RD @1F
4937.50ns INFO [00004939] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
4937.50ns INFO [00004939] Port=0 RD @1C
4938.50ns INFO [00004940] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1
4938.50ns INFO [00004940] Port=0 RD @0F
4939.50ns INFO [00004941] * RD COMPARE * port=0 adr=1C act=9B3A4A9B exp=9B3A4A9B
4939.50ns INFO [00004941] Port=0 WR @13=96218472
4940.50ns INFO [00004942] * RD COMPARE * port=0 adr=0F act=EB8A0185 exp=EB8A0185
4940.50ns INFO [00004942] Port=1 RD @10
4941.50ns INFO [00004943] Port=0 WR @00=FA8F1515
4941.50ns INFO [00004943] Port=0 RD @1F
4942.50ns INFO [00004944] * RD COMPARE * port=1 adr=10 act=34CED22D exp=34CED22D
4942.50ns INFO [00004944] Port=0 RD @15
4943.50ns INFO [00004945] * RD COMPARE * port=0 adr=1F act=46C0C0E1 exp=46C0C0E1
4943.50ns INFO [00004945] Port=0 WR @14=5EBA21DB
4943.50ns INFO [00004945] Port=0 RD @10
4944.50ns INFO [00004946] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F
4944.50ns INFO [00004946] Port=0 RD @1B
4944.50ns INFO [00004946] Port=1 RD @03
4945.50ns INFO [00004947] * RD COMPARE * port=0 adr=10 act=34CED22D exp=34CED22D
4945.50ns INFO [00004947] Port=1 RD @17
4946.50ns INFO [00004948] * RD COMPARE * port=0 adr=1B act=83EAE9CC exp=83EAE9CC
4946.50ns INFO [00004948] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74
4946.50ns INFO [00004948] Port=0 WR @11=1DEE5E8E
4946.50ns INFO [00004948] Port=1 RD @03
4947.50ns INFO [00004949] * RD COMPARE * port=1 adr=17 act=58B0A704 exp=58B0A704
4947.50ns INFO [00004949] Port=1 RD @08
4948.50ns INFO [00004950] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74
4948.50ns INFO [00004950] Port=0 RD @02
4948.50ns INFO [00004950] Port=1 RD @09
4949.50ns INFO [00004951] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
4949.50ns INFO [00004951] Port=1 RD @0D
4950.50ns INFO [00004952] * RD COMPARE * port=0 adr=02 act=187B7BC6 exp=187B7BC6
4950.50ns INFO [00004952] * RD COMPARE * port=1 adr=09 act=9F46C603 exp=9F46C603
4950.50ns INFO [00004952] Port=0 WR @05=13E832F2
4950.50ns INFO [00004952] Port=0 RD @14
4951.50ns INFO [00004953] * RD COMPARE * port=1 adr=0D act=A2A589BB exp=A2A589BB
4951.50ns INFO [00004953] Port=1 RD @0A
4952.50ns INFO [00004954] * RD COMPARE * port=0 adr=14 act=5EBA21DB exp=5EBA21DB
4952.50ns INFO [00004954] Port=1 RD @1F
4953.50ns INFO [00004955] * RD COMPARE * port=1 adr=0A act=0C1A3932 exp=0C1A3932
4953.50ns INFO [00004955] Port=1 RD @1B
4954.50ns INFO [00004956] * RD COMPARE * port=1 adr=1F act=46C0C0E1 exp=46C0C0E1
4954.50ns INFO [00004956] Port=1 RD @0B
4955.50ns INFO [00004957] * RD COMPARE * port=1 adr=1B act=83EAE9CC exp=83EAE9CC
4955.50ns INFO [00004957] Port=0 WR @19=E32C56F7
4956.50ns INFO [00004958] * RD COMPARE * port=1 adr=0B act=340FC874 exp=340FC874
4957.50ns INFO [00004959] Port=0 WR @1B=043E2BAE
4957.50ns INFO [00004959] Port=0 RD @10
4958.50ns INFO [00004960] Port=0 RD @12
4958.50ns INFO [00004960] Port=1 RD @1F
4959.50ns INFO [00004961] * RD COMPARE * port=0 adr=10 act=34CED22D exp=34CED22D
4960.50ns INFO [00004962] * RD COMPARE * port=0 adr=12 act=8BC017CC exp=8BC017CC
4960.50ns INFO [00004962] * RD COMPARE * port=1 adr=1F act=46C0C0E1 exp=46C0C0E1
4960.50ns INFO [00004962] Port=1 RD @01
4961.50ns INFO [00004963] Port=0 WR @09=5CA0AB67
4961.50ns INFO [00004963] Port=0 RD @05
4961.50ns INFO [00004963] Port=1 RD @1C
4962.50ns INFO [00004964] * RD COMPARE * port=1 adr=01 act=46B14509 exp=46B14509
4963.50ns INFO [00004965] * RD COMPARE * port=0 adr=05 act=13E832F2 exp=13E832F2
4963.50ns INFO [00004965] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
4963.50ns INFO [00004965] Port=0 WR @10=25D3DEDE
4967.50ns INFO [00004969] Port=0 WR @17=341841E8
4967.50ns INFO [00004969] Port=1 RD @05
4968.50ns INFO [00004970] Port=0 RD @07
4969.50ns INFO [00004971] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2
4969.50ns INFO [00004971] Port=0 WR @16=91F15125
4969.50ns INFO [00004971] Port=1 RD @01
4970.50ns INFO [00004972] * RD COMPARE * port=0 adr=07 act=21F7BD18 exp=21F7BD18
4970.50ns INFO [00004972] Port=0 RD @16
4971.50ns INFO [00004973] * RD COMPARE * port=1 adr=01 act=46B14509 exp=46B14509
4971.50ns INFO [00004973] Port=0 RD @15
4971.50ns INFO [00004973] Port=1 RD @1C
4972.50ns INFO [00004974] * RD COMPARE * port=0 adr=16 act=91F15125 exp=91F15125
4972.50ns INFO [00004974] Port=0 WR @1F=8289EAFA
4973.50ns INFO [00004975] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F
4973.50ns INFO [00004975] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
4973.50ns INFO [00004975] Port=1 RD @08
4975.50ns INFO [00004977] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
4975.50ns INFO [00004977] Port=0 WR @09=77AD18D7
4975.50ns INFO [00004977] Port=0 RD @11
4976.50ns INFO [00004978] Port=1 RD @1A
4977.50ns INFO [00004979] * RD COMPARE * port=0 adr=11 act=1DEE5E8E exp=1DEE5E8E
4977.50ns INFO [00004979] Port=1 RD @05
4978.50ns INFO [00004980] * RD COMPARE * port=1 adr=1A act=F125DB13 exp=F125DB13
4979.50ns INFO [00004981] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2
4979.50ns INFO [00004981] Port=0 WR @17=5D34D111
4979.50ns INFO [00004981] Port=0 RD @1F
4981.50ns INFO [00004983] * RD COMPARE * port=0 adr=1F act=8289EAFA exp=8289EAFA
4981.50ns INFO [00004983] Port=0 RD @05
4982.50ns INFO [00004984] Port=0 RD @15
4983.50ns INFO [00004985] * RD COMPARE * port=0 adr=05 act=13E832F2 exp=13E832F2
4983.50ns INFO [00004985] Port=0 WR @1A=0C6C53AF
4983.50ns INFO [00004985] Port=1 RD @15
4984.50ns INFO [00004986] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F
4984.50ns INFO [00004986] Port=0 RD @09
4985.50ns INFO [00004987] * RD COMPARE * port=1 adr=15 act=80EBEA7F exp=80EBEA7F
4985.50ns INFO [00004987] Port=0 WR @10=07B0E33A
4986.50ns INFO [00004988] * RD COMPARE * port=0 adr=09 act=77AD18D7 exp=77AD18D7
4986.50ns INFO [00004988] Port=0 WR @0D=E6C3A1E4
4986.50ns INFO [00004988] Port=1 RD @19
4988.50ns INFO [00004990] * RD COMPARE * port=1 adr=19 act=E32C56F7 exp=E32C56F7
4988.50ns INFO [00004990] Port=0 WR @1B=1C14F7AC
4988.50ns INFO [00004990] Port=0 RD @10
4990.50ns INFO [00004992] * RD COMPARE * port=0 adr=10 act=07B0E33A exp=07B0E33A
4991.50ns INFO [00004993] Port=0 WR @13=54D79C82
4991.50ns INFO [00004993] Port=0 RD @19
4991.50ns INFO [00004993] Port=1 RD @1F
4992.50ns INFO [00004994] Port=0 RD @0E
4992.50ns INFO [00004994] Port=1 RD @0D
4993.50ns INFO [00004995] * RD COMPARE * port=0 adr=19 act=E32C56F7 exp=E32C56F7
4993.50ns INFO [00004995] * RD COMPARE * port=1 adr=1F act=8289EAFA exp=8289EAFA
4993.50ns INFO [00004995] Port=1 RD @1C
4994.50ns INFO [00004996] * RD COMPARE * port=0 adr=0E act=628D7F14 exp=628D7F14
4994.50ns INFO [00004996] * RD COMPARE * port=1 adr=0D act=E6C3A1E4 exp=E6C3A1E4
4994.50ns INFO [00004996] Port=0 WR @13=C945AD8B
4994.50ns INFO [00004996] Port=0 RD @03
4995.50ns INFO [00004997] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
4995.50ns INFO [00004997] Port=1 RD @06
4996.50ns INFO [00004998] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
4996.50ns INFO [00004998] Port=0 RD @08
4996.50ns INFO [00004998] Port=1 RD @11
4997.50ns INFO [00004999] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F
4997.50ns INFO [00004999] Port=0 WR @10=507798CB
4998.00ns INFO [00005000] [00005000] ...tick...
4998.50ns INFO [00005000] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
4998.50ns INFO [00005000] * RD COMPARE * port=1 adr=11 act=1DEE5E8E exp=1DEE5E8E
4998.50ns INFO [00005000] Port=0 RD @10
4998.50ns INFO [00005000] Port=1 RD @05
4999.50ns INFO [00005001] Port=0 RD @04
5000.50ns INFO [00005002] * RD COMPARE * port=0 adr=10 act=507798CB exp=507798CB
5000.50ns INFO [00005002] * RD COMPARE * port=1 adr=05 act=13E832F2 exp=13E832F2
5000.50ns INFO [00005002] Port=0 WR @01=E207E633
5001.50ns INFO [00005003] * RD COMPARE * port=0 adr=04 act=FBB8221C exp=FBB8221C
5001.50ns INFO [00005003] Port=0 WR @05=124911EA
5002.50ns INFO [00005004] Port=0 WR @1A=B8BFE2B6
5003.50ns INFO [00005005] Port=1 RD @17
5004.50ns INFO [00005006] Port=0 WR @1E=1230871A
5005.50ns INFO [00005007] * RD COMPARE * port=1 adr=17 act=5D34D111 exp=5D34D111
5005.50ns INFO [00005007] Port=0 RD @06
5005.50ns INFO [00005007] Port=1 RD @06
5006.50ns INFO [00005008] Port=0 WR @02=4165C672
5007.50ns INFO [00005009] * RD COMPARE * port=0 adr=06 act=3A9E858F exp=3A9E858F
5007.50ns INFO [00005009] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F
5007.50ns INFO [00005009] Port=1 RD @0E
5008.50ns INFO [00005010] Port=0 WR @0A=6B567526
5008.50ns INFO [00005010] Port=0 RD @1F
5008.50ns INFO [00005010] Port=1 RD @1C
5009.50ns INFO [00005011] * RD COMPARE * port=1 adr=0E act=628D7F14 exp=628D7F14
5009.50ns INFO [00005011] Port=0 WR @18=1FC3B050
5010.50ns INFO [00005012] * RD COMPARE * port=0 adr=1F act=8289EAFA exp=8289EAFA
5010.50ns INFO [00005012] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
5010.50ns INFO [00005012] Port=0 WR @00=B7A47A92
5011.50ns INFO [00005013] Port=0 WR @17=333FA6A2
5011.50ns INFO [00005013] Port=0 RD @01
5011.50ns INFO [00005013] Port=1 RD @08
5012.50ns INFO [00005014] Port=0 WR @0B=1AF78B9D
5012.50ns INFO [00005014] Port=1 RD @1E
5013.50ns INFO [00005015] * RD COMPARE * port=0 adr=01 act=E207E633 exp=E207E633
5013.50ns INFO [00005015] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5013.50ns INFO [00005015] Port=0 WR @01=F8D34F80
5013.50ns INFO [00005015] Port=1 RD @17
5014.50ns INFO [00005016] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A
5015.50ns INFO [00005017] * RD COMPARE * port=1 adr=17 act=333FA6A2 exp=333FA6A2
5015.50ns INFO [00005017] Port=0 RD @18
5015.50ns INFO [00005017] Port=1 RD @18
5016.50ns INFO [00005018] Port=0 WR @1F=0653DB11
5017.50ns INFO [00005019] * RD COMPARE * port=0 adr=18 act=1FC3B050 exp=1FC3B050
5017.50ns INFO [00005019] * RD COMPARE * port=1 adr=18 act=1FC3B050 exp=1FC3B050
5017.50ns INFO [00005019] Port=0 RD @03
5018.50ns INFO [00005020] Port=0 WR @0B=DCAE6297
5019.50ns INFO [00005021] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
5019.50ns INFO [00005021] Port=0 WR @07=A0912676
5020.50ns INFO [00005022] Port=0 WR @0E=AF80C38C
5020.50ns INFO [00005022] Port=1 RD @03
5022.50ns INFO [00005024] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74
5024.50ns INFO [00005026] Port=0 WR @19=499964C4
5024.50ns INFO [00005026] Port=0 RD @15
5025.50ns INFO [00005027] Port=1 RD @13
5026.50ns INFO [00005028] * RD COMPARE * port=0 adr=15 act=80EBEA7F exp=80EBEA7F
5027.50ns INFO [00005029] * RD COMPARE * port=1 adr=13 act=C945AD8B exp=C945AD8B
5027.50ns INFO [00005029] Port=0 RD @03
5028.50ns INFO [00005030] Port=0 WR @0F=85785028
5028.50ns INFO [00005030] Port=0 RD @01
5029.50ns INFO [00005031] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
5029.50ns INFO [00005031] Port=1 RD @08
5030.50ns INFO [00005032] * RD COMPARE * port=0 adr=01 act=F8D34F80 exp=F8D34F80
5031.50ns INFO [00005033] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5031.50ns INFO [00005033] Port=0 RD @1E
5032.50ns INFO [00005034] Port=0 RD @19
5033.50ns INFO [00005035] * RD COMPARE * port=0 adr=1E act=1230871A exp=1230871A
5033.50ns INFO [00005035] Port=0 RD @18
5034.50ns INFO [00005036] * RD COMPARE * port=0 adr=19 act=499964C4 exp=499964C4
5034.50ns INFO [00005036] Port=1 RD @1F
5035.50ns INFO [00005037] * RD COMPARE * port=0 adr=18 act=1FC3B050 exp=1FC3B050
5035.50ns INFO [00005037] Port=0 WR @0A=197AD9D4
5035.50ns INFO [00005037] Port=1 RD @12
5036.50ns INFO [00005038] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11
5037.50ns INFO [00005039] * RD COMPARE * port=1 adr=12 act=8BC017CC exp=8BC017CC
5037.50ns INFO [00005039] Port=0 WR @15=25416884
5037.50ns INFO [00005039] Port=1 RD @10
5038.50ns INFO [00005040] Port=0 WR @10=7B43BD66
5038.50ns INFO [00005040] Port=0 RD @0D
5038.50ns INFO [00005040] Port=1 RD @09
5039.50ns INFO [00005041] * RD COMPARE * port=1 adr=10 act=507798CB exp=507798CB
5039.50ns INFO [00005041] Port=0 WR @01=D786AAC3
5039.50ns INFO [00005041] Port=1 RD @14
5040.50ns INFO [00005042] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4
5040.50ns INFO [00005042] * RD COMPARE * port=1 adr=09 act=77AD18D7 exp=77AD18D7
5040.50ns INFO [00005042] Port=1 RD @01
5041.50ns INFO [00005043] * RD COMPARE * port=1 adr=14 act=5EBA21DB exp=5EBA21DB
5041.50ns INFO [00005043] Port=1 RD @11
5042.50ns INFO [00005044] * RD COMPARE * port=1 adr=01 act=D786AAC3 exp=D786AAC3
5043.50ns INFO [00005045] * RD COMPARE * port=1 adr=11 act=1DEE5E8E exp=1DEE5E8E
5044.50ns INFO [00005046] Port=1 RD @0D
5045.50ns INFO [00005047] Port=0 WR @17=250F1FB1
5045.50ns INFO [00005047] Port=1 RD @0B
5046.50ns INFO [00005048] * RD COMPARE * port=1 adr=0D act=E6C3A1E4 exp=E6C3A1E4
5046.50ns INFO [00005048] Port=1 RD @06
5047.50ns INFO [00005049] * RD COMPARE * port=1 adr=0B act=DCAE6297 exp=DCAE6297
5048.50ns INFO [00005050] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F
5049.50ns INFO [00005051] Port=0 WR @0F=FC444DE3
5052.50ns INFO [00005054] Port=0 WR @0B=5957F46A
5052.50ns INFO [00005054] Port=0 RD @0F
5053.50ns INFO [00005055] Port=0 WR @18=B54E489D
5054.50ns INFO [00005056] * RD COMPARE * port=0 adr=0F act=FC444DE3 exp=FC444DE3
5054.50ns INFO [00005056] Port=1 RD @06
5055.50ns INFO [00005057] Port=0 RD @05
5055.50ns INFO [00005057] Port=1 RD @1F
5056.50ns INFO [00005058] * RD COMPARE * port=1 adr=06 act=3A9E858F exp=3A9E858F
5056.50ns INFO [00005058] Port=0 WR @12=4AB91F32
5056.50ns INFO [00005058] Port=1 RD @1D
5057.50ns INFO [00005059] * RD COMPARE * port=0 adr=05 act=124911EA exp=124911EA
5057.50ns INFO [00005059] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11
5057.50ns INFO [00005059] Port=0 RD @07
5057.50ns INFO [00005059] Port=1 RD @00
5058.50ns INFO [00005060] * RD COMPARE * port=1 adr=1D act=13F6CDC4 exp=13F6CDC4
5058.50ns INFO [00005060] Port=0 RD @04
5058.50ns INFO [00005060] Port=1 RD @1C
5059.50ns INFO [00005061] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676
5059.50ns INFO [00005061] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92
5059.50ns INFO [00005061] Port=1 RD @0B
5060.50ns INFO [00005062] * RD COMPARE * port=0 adr=04 act=FBB8221C exp=FBB8221C
5060.50ns INFO [00005062] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
5060.50ns INFO [00005062] Port=0 WR @05=0750E0DE
5060.50ns INFO [00005062] Port=0 RD @1F
5060.50ns INFO [00005062] Port=1 RD @1C
5061.50ns INFO [00005063] * RD COMPARE * port=1 adr=0B act=5957F46A exp=5957F46A
5061.50ns INFO [00005063] Port=0 RD @15
5062.50ns INFO [00005064] * RD COMPARE * port=0 adr=1F act=0653DB11 exp=0653DB11
5062.50ns INFO [00005064] * RD COMPARE * port=1 adr=1C act=9B3A4A9B exp=9B3A4A9B
5062.50ns INFO [00005064] Port=0 WR @0F=6058DCD6
5063.50ns INFO [00005065] * RD COMPARE * port=0 adr=15 act=25416884 exp=25416884
5063.50ns INFO [00005065] Port=0 WR @02=3D456E91
5063.50ns INFO [00005065] Port=0 RD @1C
5063.50ns INFO [00005065] Port=1 RD @00
5064.50ns INFO [00005066] Port=0 WR @1C=6CA5F5A1
5064.50ns INFO [00005066] Port=0 RD @0D
5065.50ns INFO [00005067] * RD COMPARE * port=0 adr=1C act=9B3A4A9B exp=9B3A4A9B
5065.50ns INFO [00005067] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92
5066.50ns INFO [00005068] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4
5066.50ns INFO [00005068] Port=0 WR @16=C7BFAFE2
5066.50ns INFO [00005068] Port=0 RD @05
5066.50ns INFO [00005068] Port=1 RD @1E
5067.50ns INFO [00005069] Port=0 WR @1B=152D4C36
5068.50ns INFO [00005070] * RD COMPARE * port=0 adr=05 act=0750E0DE exp=0750E0DE
5068.50ns INFO [00005070] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A
5068.50ns INFO [00005070] Port=0 RD @0E
5069.50ns INFO [00005071] Port=0 WR @06=30E93D07
5070.50ns INFO [00005072] * RD COMPARE * port=0 adr=0E act=AF80C38C exp=AF80C38C
5070.50ns INFO [00005072] Port=0 WR @05=EE4D5E5B
5070.50ns INFO [00005072] Port=1 RD @1C
5071.50ns INFO [00005073] Port=0 WR @0A=AB1D3F11
5071.50ns INFO [00005073] Port=1 RD @00
5072.50ns INFO [00005074] * RD COMPARE * port=1 adr=1C act=6CA5F5A1 exp=6CA5F5A1
5072.50ns INFO [00005074] Port=1 RD @0A
5073.50ns INFO [00005075] * RD COMPARE * port=1 adr=00 act=B7A47A92 exp=B7A47A92
5073.50ns INFO [00005075] Port=0 WR @0B=D914EA52
5073.50ns INFO [00005075] Port=0 RD @08
5073.50ns INFO [00005075] Port=1 RD @14
5074.50ns INFO [00005076] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11
5075.50ns INFO [00005077] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5075.50ns INFO [00005077] * RD COMPARE * port=1 adr=14 act=5EBA21DB exp=5EBA21DB
5077.50ns INFO [00005079] Port=0 WR @04=54478986
5078.50ns INFO [00005080] Port=0 WR @0C=BAE15181
5079.50ns INFO [00005081] Port=0 WR @04=CF78DD2B
5079.50ns INFO [00005081] Port=0 RD @17
5080.50ns INFO [00005082] Port=0 WR @09=D63DAB53
5081.50ns INFO [00005083] * RD COMPARE * port=0 adr=17 act=250F1FB1 exp=250F1FB1
5082.50ns INFO [00005084] Port=0 WR @00=EE3ADC83
5082.50ns INFO [00005084] Port=1 RD @12
5083.50ns INFO [00005085] Port=0 WR @00=5FCA3FDC
5083.50ns INFO [00005085] Port=1 RD @13
5084.50ns INFO [00005086] * RD COMPARE * port=1 adr=12 act=4AB91F32 exp=4AB91F32
5084.50ns INFO [00005086] Port=0 RD @0B
5085.50ns INFO [00005087] * RD COMPARE * port=1 adr=13 act=C945AD8B exp=C945AD8B
5085.50ns INFO [00005087] Port=0 WR @0C=A2313E57
5085.50ns INFO [00005087] Port=0 RD @0A
5085.50ns INFO [00005087] Port=1 RD @0F
5086.50ns INFO [00005088] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52
5086.50ns INFO [00005088] Port=1 RD @19
5087.50ns INFO [00005089] * RD COMPARE * port=0 adr=0A act=AB1D3F11 exp=AB1D3F11
5087.50ns INFO [00005089] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6
5088.50ns INFO [00005090] * RD COMPARE * port=1 adr=19 act=499964C4 exp=499964C4
5089.50ns INFO [00005091] Port=0 RD @08
5090.50ns INFO [00005092] Port=0 WR @1A=F9011864
5091.50ns INFO [00005093] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5091.50ns INFO [00005093] Port=0 WR @11=41BB7566
5091.50ns INFO [00005093] Port=0 RD @08
5092.50ns INFO [00005094] Port=0 WR @18=9D6848D1
5092.50ns INFO [00005094] Port=1 RD @02
5093.50ns INFO [00005095] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5093.50ns INFO [00005095] Port=0 RD @04
5093.50ns INFO [00005095] Port=1 RD @05
5094.50ns INFO [00005096] * RD COMPARE * port=1 adr=02 act=3D456E91 exp=3D456E91
5095.50ns INFO [00005097] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5095.50ns INFO [00005097] * RD COMPARE * port=1 adr=05 act=EE4D5E5B exp=EE4D5E5B
5095.50ns INFO [00005097] Port=0 WR @12=916D03D7
5095.50ns INFO [00005097] Port=0 RD @00
5095.50ns INFO [00005097] Port=1 RD @01
5096.50ns INFO [00005098] Port=0 WR @15=8A37821F
5097.50ns INFO [00005099] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC
5097.50ns INFO [00005099] * RD COMPARE * port=1 adr=01 act=D786AAC3 exp=D786AAC3
5097.50ns INFO [00005099] Port=0 WR @05=8CAC597B
5097.50ns INFO [00005099] Port=0 RD @14
5098.00ns INFO [00005100] [00005100] ...tick...
5098.50ns INFO [00005100] Port=0 RD @0D
5099.50ns INFO [00005101] * RD COMPARE * port=0 adr=14 act=5EBA21DB exp=5EBA21DB
5099.50ns INFO [00005101] Port=0 WR @19=F2046953
5099.50ns INFO [00005101] Port=1 RD @1E
5100.50ns INFO [00005102] * RD COMPARE * port=0 adr=0D act=E6C3A1E4 exp=E6C3A1E4
5100.50ns INFO [00005102] Port=1 RD @17
5101.50ns INFO [00005103] * RD COMPARE * port=1 adr=1E act=1230871A exp=1230871A
5101.50ns INFO [00005103] Port=0 WR @0D=B90633DF
5101.50ns INFO [00005103] Port=0 RD @0B
5102.50ns INFO [00005104] * RD COMPARE * port=1 adr=17 act=250F1FB1 exp=250F1FB1
5102.50ns INFO [00005104] Port=0 WR @16=A79E9597
5102.50ns INFO [00005104] Port=1 RD @1C
5103.50ns INFO [00005105] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52
5104.50ns INFO [00005106] * RD COMPARE * port=1 adr=1C act=6CA5F5A1 exp=6CA5F5A1
5106.50ns INFO [00005108] Port=0 RD @04
5106.50ns INFO [00005108] Port=1 RD @15
5107.50ns INFO [00005109] Port=0 RD @0A
5107.50ns INFO [00005109] Port=1 RD @0A
5108.50ns INFO [00005110] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5108.50ns INFO [00005110] * RD COMPARE * port=1 adr=15 act=8A37821F exp=8A37821F
5108.50ns INFO [00005110] Port=0 WR @1A=F9B2D430
5108.50ns INFO [00005110] Port=0 RD @00
5109.50ns INFO [00005111] * RD COMPARE * port=0 adr=0A act=AB1D3F11 exp=AB1D3F11
5109.50ns INFO [00005111] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11
5109.50ns INFO [00005111] Port=0 RD @13
5109.50ns INFO [00005111] Port=1 RD @02
5110.50ns INFO [00005112] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC
5110.50ns INFO [00005112] Port=0 RD @16
5111.50ns INFO [00005113] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B
5111.50ns INFO [00005113] * RD COMPARE * port=1 adr=02 act=3D456E91 exp=3D456E91
5111.50ns INFO [00005113] Port=0 RD @04
5111.50ns INFO [00005113] Port=1 RD @15
5112.50ns INFO [00005114] * RD COMPARE * port=0 adr=16 act=A79E9597 exp=A79E9597
5112.50ns INFO [00005114] Port=0 RD @08
5112.50ns INFO [00005114] Port=1 RD @07
5113.50ns INFO [00005115] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5113.50ns INFO [00005115] * RD COMPARE * port=1 adr=15 act=8A37821F exp=8A37821F
5114.50ns INFO [00005116] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5114.50ns INFO [00005116] * RD COMPARE * port=1 adr=07 act=A0912676 exp=A0912676
5115.50ns INFO [00005117] Port=0 RD @02
5115.50ns INFO [00005117] Port=1 RD @0B
5117.50ns INFO [00005119] * RD COMPARE * port=0 adr=02 act=3D456E91 exp=3D456E91
5117.50ns INFO [00005119] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52
5117.50ns INFO [00005119] Port=1 RD @1A
5118.50ns INFO [00005120] Port=0 RD @1F
5118.50ns INFO [00005120] Port=1 RD @1A
5119.50ns INFO [00005121] * RD COMPARE * port=1 adr=1A act=F9B2D430 exp=F9B2D430
5120.50ns INFO [00005122] * RD COMPARE * port=0 adr=1F act=0653DB11 exp=0653DB11
5120.50ns INFO [00005122] * RD COMPARE * port=1 adr=1A act=F9B2D430 exp=F9B2D430
5120.50ns INFO [00005122] Port=0 RD @1A
5121.50ns INFO [00005123] Port=0 WR @1B=37C6E50E
5121.50ns INFO [00005123] Port=0 RD @0C
5121.50ns INFO [00005123] Port=1 RD @0A
5122.50ns INFO [00005124] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430
5122.50ns INFO [00005124] Port=0 RD @07
5123.50ns INFO [00005125] * RD COMPARE * port=0 adr=0C act=A2313E57 exp=A2313E57
5123.50ns INFO [00005125] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11
5123.50ns INFO [00005125] Port=1 RD @0A
5124.50ns INFO [00005126] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676
5124.50ns INFO [00005126] Port=0 RD @01
5125.50ns INFO [00005127] * RD COMPARE * port=1 adr=0A act=AB1D3F11 exp=AB1D3F11
5125.50ns INFO [00005127] Port=0 RD @1B
5126.50ns INFO [00005128] * RD COMPARE * port=0 adr=01 act=D786AAC3 exp=D786AAC3
5127.50ns INFO [00005129] * RD COMPARE * port=0 adr=1B act=37C6E50E exp=37C6E50E
5128.50ns INFO [00005130] Port=1 RD @04
5129.50ns INFO [00005131] Port=0 WR @14=15F1808C
5129.50ns INFO [00005131] Port=1 RD @0D
5130.50ns INFO [00005132] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5130.50ns INFO [00005132] Port=1 RD @0F
5131.50ns INFO [00005133] * RD COMPARE * port=1 adr=0D act=B90633DF exp=B90633DF
5132.50ns INFO [00005134] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6
5132.50ns INFO [00005134] Port=0 WR @06=6EC146C4
5133.50ns INFO [00005135] Port=0 WR @1D=7A58EE09
5133.50ns INFO [00005135] Port=0 RD @10
5133.50ns INFO [00005135] Port=1 RD @16
5135.50ns INFO [00005137] * RD COMPARE * port=0 adr=10 act=7B43BD66 exp=7B43BD66
5135.50ns INFO [00005137] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597
5136.50ns INFO [00005138] Port=0 WR @15=6DB32A7C
5136.50ns INFO [00005138] Port=1 RD @0B
5137.50ns INFO [00005139] Port=1 RD @0F
5138.50ns INFO [00005140] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52
5138.50ns INFO [00005140] Port=0 WR @0C=4778169C
5139.50ns INFO [00005141] * RD COMPARE * port=1 adr=0F act=6058DCD6 exp=6058DCD6
5140.50ns INFO [00005142] Port=0 WR @1B=F0746763
5140.50ns INFO [00005142] Port=1 RD @03
5141.50ns INFO [00005143] Port=0 RD @06
5142.50ns INFO [00005144] * RD COMPARE * port=1 adr=03 act=F28A1B74 exp=F28A1B74
5142.50ns INFO [00005144] Port=0 WR @19=C40F3217
5143.50ns INFO [00005145] * RD COMPARE * port=0 adr=06 act=6EC146C4 exp=6EC146C4
5143.50ns INFO [00005145] Port=0 WR @0A=19D5F612
5144.50ns INFO [00005146] Port=1 RD @05
5145.50ns INFO [00005147] Port=0 RD @13
5145.50ns INFO [00005147] Port=1 RD @1B
5146.50ns INFO [00005148] * RD COMPARE * port=1 adr=05 act=8CAC597B exp=8CAC597B
5146.50ns INFO [00005148] Port=0 RD @00
5146.50ns INFO [00005148] Port=1 RD @0B
5147.50ns INFO [00005149] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B
5147.50ns INFO [00005149] * RD COMPARE * port=1 adr=1B act=F0746763 exp=F0746763
5147.50ns INFO [00005149] Port=0 WR @0E=D4ACDA0E
5147.50ns INFO [00005149] Port=0 RD @1A
5148.50ns INFO [00005150] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC
5148.50ns INFO [00005150] * RD COMPARE * port=1 adr=0B act=D914EA52 exp=D914EA52
5148.50ns INFO [00005150] Port=0 WR @06=FEEF971F
5149.50ns INFO [00005151] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430
5149.50ns INFO [00005151] Port=0 WR @02=64648A11
5149.50ns INFO [00005151] Port=0 RD @07
5149.50ns INFO [00005151] Port=1 RD @04
5150.50ns INFO [00005152] Port=0 WR @06=830A6277
5151.50ns INFO [00005153] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676
5151.50ns INFO [00005153] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5151.50ns INFO [00005153] Port=0 RD @14
5151.50ns INFO [00005153] Port=1 RD @12
5152.50ns INFO [00005154] Port=0 WR @05=D2AF43CE
5153.50ns INFO [00005155] * RD COMPARE * port=0 adr=14 act=15F1808C exp=15F1808C
5153.50ns INFO [00005155] * RD COMPARE * port=1 adr=12 act=916D03D7 exp=916D03D7
5154.50ns INFO [00005156] Port=0 RD @13
5155.50ns INFO [00005157] Port=1 RD @1B
5156.50ns INFO [00005158] * RD COMPARE * port=0 adr=13 act=C945AD8B exp=C945AD8B
5156.50ns INFO [00005158] Port=0 WR @0D=BBE3A913
5156.50ns INFO [00005158] Port=1 RD @16
5157.50ns INFO [00005159] * RD COMPARE * port=1 adr=1B act=F0746763 exp=F0746763
5157.50ns INFO [00005159] Port=0 WR @15=74413D66
5157.50ns INFO [00005159] Port=0 RD @0C
5157.50ns INFO [00005159] Port=1 RD @16
5158.50ns INFO [00005160] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597
5158.50ns INFO [00005160] Port=0 RD @1D
5158.50ns INFO [00005160] Port=1 RD @1F
5159.50ns INFO [00005161] * RD COMPARE * port=0 adr=0C act=4778169C exp=4778169C
5159.50ns INFO [00005161] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597
5160.50ns INFO [00005162] * RD COMPARE * port=0 adr=1D act=7A58EE09 exp=7A58EE09
5160.50ns INFO [00005162] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11
5160.50ns INFO [00005162] Port=0 WR @0F=637C1268
5161.50ns INFO [00005163] Port=0 WR @1C=2A6AE6A7
5161.50ns INFO [00005163] Port=0 RD @05
5162.50ns INFO [00005164] Port=0 RD @06
5163.50ns INFO [00005165] * RD COMPARE * port=0 adr=05 act=D2AF43CE exp=D2AF43CE
5163.50ns INFO [00005165] Port=0 WR @15=806A0542
5163.50ns INFO [00005165] Port=0 RD @0B
5164.50ns INFO [00005166] * RD COMPARE * port=0 adr=06 act=830A6277 exp=830A6277
5164.50ns INFO [00005166] Port=0 RD @10
5165.50ns INFO [00005167] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52
5165.50ns INFO [00005167] Port=0 WR @05=3EDC8182
5165.50ns INFO [00005167] Port=1 RD @16
5166.50ns INFO [00005168] * RD COMPARE * port=0 adr=10 act=7B43BD66 exp=7B43BD66
5166.50ns INFO [00005168] Port=1 RD @1F
5167.50ns INFO [00005169] * RD COMPARE * port=1 adr=16 act=A79E9597 exp=A79E9597
5168.50ns INFO [00005170] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11
5168.50ns INFO [00005170] Port=0 RD @0E
5168.50ns INFO [00005170] Port=1 RD @0C
5169.50ns INFO [00005171] Port=0 WR @0F=9FCEC08C
5169.50ns INFO [00005171] Port=0 RD @11
5170.50ns INFO [00005172] * RD COMPARE * port=0 adr=0E act=D4ACDA0E exp=D4ACDA0E
5170.50ns INFO [00005172] * RD COMPARE * port=1 adr=0C act=4778169C exp=4778169C
5170.50ns INFO [00005172] Port=1 RD @08
5171.50ns INFO [00005173] * RD COMPARE * port=0 adr=11 act=41BB7566 exp=41BB7566
5171.50ns INFO [00005173] Port=1 RD @02
5172.50ns INFO [00005174] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5172.50ns INFO [00005174] Port=0 RD @03
5172.50ns INFO [00005174] Port=1 RD @1F
5173.50ns INFO [00005175] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11
5173.50ns INFO [00005175] Port=0 WR @14=AAE07A69
5173.50ns INFO [00005175] Port=0 RD @1B
5174.50ns INFO [00005176] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
5174.50ns INFO [00005176] * RD COMPARE * port=1 adr=1F act=0653DB11 exp=0653DB11
5174.50ns INFO [00005176] Port=0 WR @1F=6D1E4044
5174.50ns INFO [00005176] Port=0 RD @07
5175.50ns INFO [00005177] * RD COMPARE * port=0 adr=1B act=F0746763 exp=F0746763
5176.50ns INFO [00005178] * RD COMPARE * port=0 adr=07 act=A0912676 exp=A0912676
5176.50ns INFO [00005178] Port=0 WR @11=290A98D8
5177.50ns INFO [00005179] Port=0 WR @13=14B3AC77
5177.50ns INFO [00005179] Port=0 RD @11
5178.50ns INFO [00005180] Port=0 WR @14=E59C5762
5178.50ns INFO [00005180] Port=0 RD @15
5179.50ns INFO [00005181] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8
5179.50ns INFO [00005181] Port=0 WR @1B=232B42C7
5179.50ns INFO [00005181] Port=0 RD @0F
5180.50ns INFO [00005182] * RD COMPARE * port=0 adr=15 act=806A0542 exp=806A0542
5180.50ns INFO [00005182] Port=0 WR @0A=E0657826
5180.50ns INFO [00005182] Port=1 RD @02
5181.50ns INFO [00005183] * RD COMPARE * port=0 adr=0F act=9FCEC08C exp=9FCEC08C
5181.50ns INFO [00005183] Port=0 WR @16=D608B71E
5181.50ns INFO [00005183] Port=0 RD @0B
5182.50ns INFO [00005184] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11
5182.50ns INFO [00005184] Port=0 RD @19
5183.50ns INFO [00005185] * RD COMPARE * port=0 adr=0B act=D914EA52 exp=D914EA52
5183.50ns INFO [00005185] Port=0 WR @06=7AB9AC9C
5183.50ns INFO [00005185] Port=1 RD @0D
5184.50ns INFO [00005186] * RD COMPARE * port=0 adr=19 act=C40F3217 exp=C40F3217
5184.50ns INFO [00005186] Port=0 RD @03
5185.50ns INFO [00005187] * RD COMPARE * port=1 adr=0D act=BBE3A913 exp=BBE3A913
5185.50ns INFO [00005187] Port=0 RD @1E
5186.50ns INFO [00005188] * RD COMPARE * port=0 adr=03 act=F28A1B74 exp=F28A1B74
5186.50ns INFO [00005188] Port=0 RD @1B
5187.50ns INFO [00005189] * RD COMPARE * port=0 adr=1E act=1230871A exp=1230871A
5187.50ns INFO [00005189] Port=1 RD @1C
5188.50ns INFO [00005190] * RD COMPARE * port=0 adr=1B act=232B42C7 exp=232B42C7
5188.50ns INFO [00005190] Port=0 WR @17=F455461E
5188.50ns INFO [00005190] Port=0 RD @12
5189.50ns INFO [00005191] * RD COMPARE * port=1 adr=1C act=2A6AE6A7 exp=2A6AE6A7
5190.50ns INFO [00005192] * RD COMPARE * port=0 adr=12 act=916D03D7 exp=916D03D7
5191.50ns INFO [00005193] Port=0 WR @1E=ADDA8028
5193.50ns INFO [00005195] Port=0 WR @0D=5DAC4430
5194.50ns INFO [00005196] Port=0 WR @09=DE076290
5194.50ns INFO [00005196] Port=0 RD @0F
5195.50ns INFO [00005197] Port=0 RD @08
5196.50ns INFO [00005198] * RD COMPARE * port=0 adr=0F act=9FCEC08C exp=9FCEC08C
5196.50ns INFO [00005198] Port=0 WR @0A=421E29B0
5196.50ns INFO [00005198] Port=0 RD @02
5197.50ns INFO [00005199] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5198.00ns INFO [00005200] [00005200] ...tick...
5198.50ns INFO [00005200] * RD COMPARE * port=0 adr=02 act=64648A11 exp=64648A11
5199.50ns INFO [00005201] Port=0 RD @0D
5199.50ns INFO [00005201] Port=1 RD @18
5200.50ns INFO [00005202] Port=0 RD @09
5200.50ns INFO [00005202] Port=1 RD @0A
5201.50ns INFO [00005203] * RD COMPARE * port=0 adr=0D act=5DAC4430 exp=5DAC4430
5201.50ns INFO [00005203] * RD COMPARE * port=1 adr=18 act=9D6848D1 exp=9D6848D1
5202.50ns INFO [00005204] * RD COMPARE * port=0 adr=09 act=DE076290 exp=DE076290
5202.50ns INFO [00005204] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0
5202.50ns INFO [00005204] Port=0 RD @1F
5203.50ns INFO [00005205] Port=0 WR @0D=7A5BEE1D
5203.50ns INFO [00005205] Port=0 RD @00
5203.50ns INFO [00005205] Port=1 RD @09
5204.50ns INFO [00005206] * RD COMPARE * port=0 adr=1F act=6D1E4044 exp=6D1E4044
5204.50ns INFO [00005206] Port=0 WR @05=C48E05DD
5205.50ns INFO [00005207] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC
5205.50ns INFO [00005207] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290
5206.50ns INFO [00005208] Port=0 WR @0E=0376DB44
5206.50ns INFO [00005208] Port=0 RD @0C
5207.50ns INFO [00005209] Port=0 WR @0B=773B06D1
5207.50ns INFO [00005209] Port=1 RD @0A
5208.50ns INFO [00005210] * RD COMPARE * port=0 adr=0C act=4778169C exp=4778169C
5208.50ns INFO [00005210] Port=0 RD @08
5209.50ns INFO [00005211] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0
5210.50ns INFO [00005212] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5211.50ns INFO [00005213] Port=1 RD @09
5212.50ns INFO [00005214] Port=0 WR @1B=68E8BBE7
5212.50ns INFO [00005214] Port=1 RD @10
5213.50ns INFO [00005215] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290
5213.50ns INFO [00005215] Port=1 RD @09
5214.50ns INFO [00005216] * RD COMPARE * port=1 adr=10 act=7B43BD66 exp=7B43BD66
5214.50ns INFO [00005216] Port=0 WR @1C=101D41B8
5215.50ns INFO [00005217] * RD COMPARE * port=1 adr=09 act=DE076290 exp=DE076290
5215.50ns INFO [00005217] Port=0 RD @11
5216.50ns INFO [00005218] Port=0 WR @16=DE36F4A9
5216.50ns INFO [00005218] Port=1 RD @1D
5217.50ns INFO [00005219] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8
5217.50ns INFO [00005219] Port=0 WR @09=9F621555
5217.50ns INFO [00005219] Port=1 RD @02
5218.50ns INFO [00005220] * RD COMPARE * port=1 adr=1D act=7A58EE09 exp=7A58EE09
5218.50ns INFO [00005220] Port=1 RD @0B
5219.50ns INFO [00005221] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11
5219.50ns INFO [00005221] Port=1 RD @02
5220.50ns INFO [00005222] * RD COMPARE * port=1 adr=0B act=773B06D1 exp=773B06D1
5220.50ns INFO [00005222] Port=0 WR @1E=6061BB6E
5220.50ns INFO [00005222] Port=1 RD @00
5221.50ns INFO [00005223] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11
5221.50ns INFO [00005223] Port=1 RD @10
5222.50ns INFO [00005224] * RD COMPARE * port=1 adr=00 act=5FCA3FDC exp=5FCA3FDC
5222.50ns INFO [00005224] Port=0 WR @1D=2420922B
5222.50ns INFO [00005224] Port=1 RD @0F
5223.50ns INFO [00005225] * RD COMPARE * port=1 adr=10 act=7B43BD66 exp=7B43BD66
5223.50ns INFO [00005225] Port=0 WR @17=6473000D
5224.50ns INFO [00005226] * RD COMPARE * port=1 adr=0F act=9FCEC08C exp=9FCEC08C
5225.50ns INFO [00005227] Port=0 RD @01
5226.50ns INFO [00005228] Port=0 RD @16
5226.50ns INFO [00005228] Port=1 RD @18
5227.50ns INFO [00005229] * RD COMPARE * port=0 adr=01 act=D786AAC3 exp=D786AAC3
5227.50ns INFO [00005229] Port=0 RD @12
5228.50ns INFO [00005230] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9
5228.50ns INFO [00005230] * RD COMPARE * port=1 adr=18 act=9D6848D1 exp=9D6848D1
5228.50ns INFO [00005230] Port=0 WR @10=89F2B4DC
5229.50ns INFO [00005231] * RD COMPARE * port=0 adr=12 act=916D03D7 exp=916D03D7
5229.50ns INFO [00005231] Port=0 WR @18=B70E8413
5229.50ns INFO [00005231] Port=0 RD @0D
5229.50ns INFO [00005231] Port=1 RD @1E
5230.50ns INFO [00005232] Port=0 WR @19=1BA2BACE
5231.50ns INFO [00005233] * RD COMPARE * port=0 adr=0D act=7A5BEE1D exp=7A5BEE1D
5231.50ns INFO [00005233] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E
5231.50ns INFO [00005233] Port=1 RD @1E
5232.50ns INFO [00005234] Port=0 WR @01=99840CBF
5233.50ns INFO [00005235] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E
5233.50ns INFO [00005235] Port=1 RD @02
5234.50ns INFO [00005236] Port=0 RD @1B
5235.50ns INFO [00005237] * RD COMPARE * port=1 adr=02 act=64648A11 exp=64648A11
5236.50ns INFO [00005238] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7
5237.50ns INFO [00005239] Port=0 RD @0E
5238.50ns INFO [00005240] Port=0 RD @00
5238.50ns INFO [00005240] Port=1 RD @1F
5239.50ns INFO [00005241] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44
5239.50ns INFO [00005241] Port=0 WR @13=2409E236
5239.50ns INFO [00005241] Port=0 RD @16
5240.50ns INFO [00005242] * RD COMPARE * port=0 adr=00 act=5FCA3FDC exp=5FCA3FDC
5240.50ns INFO [00005242] * RD COMPARE * port=1 adr=1F act=6D1E4044 exp=6D1E4044
5240.50ns INFO [00005242] Port=0 WR @0D=F4B54233
5240.50ns INFO [00005242] Port=0 RD @0A
5241.50ns INFO [00005243] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9
5241.50ns INFO [00005243] Port=0 RD @0E
5242.50ns INFO [00005244] * RD COMPARE * port=0 adr=0A act=421E29B0 exp=421E29B0
5243.50ns INFO [00005245] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44
5243.50ns INFO [00005245] Port=0 WR @14=EC2B93BD
5243.50ns INFO [00005245] Port=1 RD @12
5244.50ns INFO [00005246] Port=0 WR @07=50C54CF5
5244.50ns INFO [00005246] Port=0 RD @11
5245.50ns INFO [00005247] * RD COMPARE * port=1 adr=12 act=916D03D7 exp=916D03D7
5245.50ns INFO [00005247] Port=0 WR @13=04DF459D
5246.50ns INFO [00005248] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8
5246.50ns INFO [00005248] Port=0 WR @12=1ADED745
5246.50ns INFO [00005248] Port=0 RD @08
5247.50ns INFO [00005249] Port=0 WR @10=517C4C56
5248.50ns INFO [00005250] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5249.50ns INFO [00005251] Port=1 RD @09
5250.50ns INFO [00005252] Port=0 WR @18=64D9AFCB
5251.50ns INFO [00005253] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5251.50ns INFO [00005253] Port=0 WR @07=4F8F31B2
5252.50ns INFO [00005254] Port=0 WR @02=16D15C8C
5253.50ns INFO [00005255] Port=0 RD @04
5254.50ns INFO [00005256] Port=0 RD @01
5255.50ns INFO [00005257] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5255.50ns INFO [00005257] Port=1 RD @10
5256.50ns INFO [00005258] * RD COMPARE * port=0 adr=01 act=99840CBF exp=99840CBF
5256.50ns INFO [00005258] Port=1 RD @1B
5257.50ns INFO [00005259] * RD COMPARE * port=1 adr=10 act=517C4C56 exp=517C4C56
5257.50ns INFO [00005259] Port=1 RD @0A
5258.50ns INFO [00005260] * RD COMPARE * port=1 adr=1B act=68E8BBE7 exp=68E8BBE7
5258.50ns INFO [00005260] Port=0 WR @00=B3F0268E
5259.50ns INFO [00005261] * RD COMPARE * port=1 adr=0A act=421E29B0 exp=421E29B0
5259.50ns INFO [00005261] Port=0 RD @10
5261.50ns INFO [00005263] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56
5262.50ns INFO [00005264] Port=0 WR @13=2FF7BACA
5263.50ns INFO [00005265] Port=0 RD @1B
5264.50ns INFO [00005266] Port=0 WR @19=BED9EE99
5264.50ns INFO [00005266] Port=0 RD @11
5264.50ns INFO [00005266] Port=1 RD @00
5265.50ns INFO [00005267] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7
5265.50ns INFO [00005267] Port=0 WR @1D=7FE9A539
5265.50ns INFO [00005267] Port=1 RD @0D
5266.50ns INFO [00005268] * RD COMPARE * port=0 adr=11 act=290A98D8 exp=290A98D8
5266.50ns INFO [00005268] * RD COMPARE * port=1 adr=00 act=B3F0268E exp=B3F0268E
5266.50ns INFO [00005268] Port=0 WR @05=2968A70C
5266.50ns INFO [00005268] Port=0 RD @0E
5267.50ns INFO [00005269] * RD COMPARE * port=1 adr=0D act=F4B54233 exp=F4B54233
5267.50ns INFO [00005269] Port=0 WR @03=F0B6CF3D
5268.50ns INFO [00005270] * RD COMPARE * port=0 adr=0E act=0376DB44 exp=0376DB44
5270.50ns INFO [00005272] Port=0 WR @05=D2541DD9
5271.50ns INFO [00005273] Port=0 WR @00=F3401C9D
5271.50ns INFO [00005273] Port=0 RD @0A
5273.50ns INFO [00005275] * RD COMPARE * port=0 adr=0A act=421E29B0 exp=421E29B0
5273.50ns INFO [00005275] Port=0 WR @0A=4CAD4EB5
5273.50ns INFO [00005275] Port=0 RD @04
5274.50ns INFO [00005276] Port=0 WR @15=0E3350C9
5274.50ns INFO [00005276] Port=0 RD @19
5275.50ns INFO [00005277] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5275.50ns INFO [00005277] Port=0 WR @0E=571D8A69
5276.50ns INFO [00005278] * RD COMPARE * port=0 adr=19 act=BED9EE99 exp=BED9EE99
5277.50ns INFO [00005279] Port=0 WR @12=607CA7C6
5277.50ns INFO [00005279] Port=0 RD @02
5277.50ns INFO [00005279] Port=1 RD @03
5279.50ns INFO [00005281] * RD COMPARE * port=0 adr=02 act=16D15C8C exp=16D15C8C
5279.50ns INFO [00005281] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5279.50ns INFO [00005281] Port=0 RD @16
5279.50ns INFO [00005281] Port=1 RD @08
5281.50ns INFO [00005283] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9
5281.50ns INFO [00005283] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5281.50ns INFO [00005283] Port=0 WR @0C=455563B5
5281.50ns INFO [00005283] Port=0 RD @1F
5282.50ns INFO [00005284] Port=0 RD @13
5283.50ns INFO [00005285] * RD COMPARE * port=0 adr=1F act=6D1E4044 exp=6D1E4044
5283.50ns INFO [00005285] Port=1 RD @04
5284.50ns INFO [00005286] * RD COMPARE * port=0 adr=13 act=2FF7BACA exp=2FF7BACA
5284.50ns INFO [00005286] Port=0 WR @0D=80466522
5284.50ns INFO [00005286] Port=1 RD @11
5285.50ns INFO [00005287] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5285.50ns INFO [00005287] Port=0 WR @07=D1FB192D
5285.50ns INFO [00005287] Port=0 RD @15
5286.50ns INFO [00005288] * RD COMPARE * port=1 adr=11 act=290A98D8 exp=290A98D8
5287.50ns INFO [00005289] * RD COMPARE * port=0 adr=15 act=0E3350C9 exp=0E3350C9
5287.50ns INFO [00005289] Port=1 RD @0E
5288.50ns INFO [00005290] Port=0 WR @05=E7A9212C
5288.50ns INFO [00005290] Port=0 RD @03
5289.50ns INFO [00005291] * RD COMPARE * port=1 adr=0E act=571D8A69 exp=571D8A69
5289.50ns INFO [00005291] Port=0 WR @12=0F1C7D3B
5289.50ns INFO [00005291] Port=1 RD @08
5290.50ns INFO [00005292] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D
5290.50ns INFO [00005292] Port=0 WR @19=EA25CADF
5290.50ns INFO [00005292] Port=0 RD @12
5290.50ns INFO [00005292] Port=1 RD @17
5291.50ns INFO [00005293] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5292.50ns INFO [00005294] * RD COMPARE * port=0 adr=12 act=0F1C7D3B exp=0F1C7D3B
5292.50ns INFO [00005294] * RD COMPARE * port=1 adr=17 act=6473000D exp=6473000D
5293.50ns INFO [00005295] Port=0 WR @0F=21122439
5293.50ns INFO [00005295] Port=0 RD @13
5294.50ns INFO [00005296] Port=1 RD @16
5295.50ns INFO [00005297] * RD COMPARE * port=0 adr=13 act=2FF7BACA exp=2FF7BACA
5296.50ns INFO [00005298] * RD COMPARE * port=1 adr=16 act=DE36F4A9 exp=DE36F4A9
5296.50ns INFO [00005298] Port=1 RD @09
5297.50ns INFO [00005299] Port=1 RD @11
5298.00ns INFO [00005300] [00005300] ...tick...
5298.50ns INFO [00005300] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5298.50ns INFO [00005300] Port=0 WR @1F=1E809DAB
5298.50ns INFO [00005300] Port=0 RD @17
5299.50ns INFO [00005301] * RD COMPARE * port=1 adr=11 act=290A98D8 exp=290A98D8
5299.50ns INFO [00005301] Port=0 RD @1A
5299.50ns INFO [00005301] Port=1 RD @13
5300.50ns INFO [00005302] * RD COMPARE * port=0 adr=17 act=6473000D exp=6473000D
5300.50ns INFO [00005302] Port=0 RD @1B
5300.50ns INFO [00005302] Port=1 RD @08
5301.50ns INFO [00005303] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430
5301.50ns INFO [00005303] * RD COMPARE * port=1 adr=13 act=2FF7BACA exp=2FF7BACA
5301.50ns INFO [00005303] Port=0 WR @11=0BE4EB55
5301.50ns INFO [00005303] Port=1 RD @14
5302.50ns INFO [00005304] * RD COMPARE * port=0 adr=1B act=68E8BBE7 exp=68E8BBE7
5302.50ns INFO [00005304] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5302.50ns INFO [00005304] Port=0 RD @1C
5303.50ns INFO [00005305] * RD COMPARE * port=1 adr=14 act=EC2B93BD exp=EC2B93BD
5304.50ns INFO [00005306] * RD COMPARE * port=0 adr=1C act=101D41B8 exp=101D41B8
5304.50ns INFO [00005306] Port=0 WR @13=C12895B2
5305.50ns INFO [00005307] Port=0 WR @05=0A197138
5306.50ns INFO [00005308] Port=1 RD @19
5307.50ns INFO [00005309] Port=0 RD @0C
5308.50ns INFO [00005310] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF
5308.50ns INFO [00005310] Port=1 RD @10
5309.50ns INFO [00005311] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5
5309.50ns INFO [00005311] Port=0 RD @10
5310.50ns INFO [00005312] * RD COMPARE * port=1 adr=10 act=517C4C56 exp=517C4C56
5310.50ns INFO [00005312] Port=1 RD @05
5311.50ns INFO [00005313] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56
5312.50ns INFO [00005314] * RD COMPARE * port=1 adr=05 act=0A197138 exp=0A197138
5312.50ns INFO [00005314] Port=1 RD @0B
5313.50ns INFO [00005315] Port=0 WR @1F=2FF4867F
5314.50ns INFO [00005316] * RD COMPARE * port=1 adr=0B act=773B06D1 exp=773B06D1
5314.50ns INFO [00005316] Port=0 RD @1A
5315.50ns INFO [00005317] Port=0 RD @17
5316.50ns INFO [00005318] * RD COMPARE * port=0 adr=1A act=F9B2D430 exp=F9B2D430
5316.50ns INFO [00005318] Port=0 WR @07=CCCED6C3
5316.50ns INFO [00005318] Port=0 RD @14
5317.50ns INFO [00005319] * RD COMPARE * port=0 adr=17 act=6473000D exp=6473000D
5317.50ns INFO [00005319] Port=0 WR @0B=A64E9634
5318.50ns INFO [00005320] * RD COMPARE * port=0 adr=14 act=EC2B93BD exp=EC2B93BD
5318.50ns INFO [00005320] Port=0 WR @17=5A14250B
5319.50ns INFO [00005321] Port=0 WR @0E=DE422317
5319.50ns INFO [00005321] Port=0 RD @08
5320.50ns INFO [00005322] Port=0 RD @16
5320.50ns INFO [00005322] Port=1 RD @13
5321.50ns INFO [00005323] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5322.50ns INFO [00005324] * RD COMPARE * port=0 adr=16 act=DE36F4A9 exp=DE36F4A9
5322.50ns INFO [00005324] * RD COMPARE * port=1 adr=13 act=C12895B2 exp=C12895B2
5322.50ns INFO [00005324] Port=0 WR @01=6FA6561B
5322.50ns INFO [00005324] Port=1 RD @12
5323.50ns INFO [00005325] Port=0 WR @01=89168CE5
5324.50ns INFO [00005326] * RD COMPARE * port=1 adr=12 act=0F1C7D3B exp=0F1C7D3B
5324.50ns INFO [00005326] Port=1 RD @08
5325.50ns INFO [00005327] Port=0 WR @02=9E80BA61
5325.50ns INFO [00005327] Port=0 RD @15
5325.50ns INFO [00005327] Port=1 RD @18
5326.50ns INFO [00005328] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5326.50ns INFO [00005328] Port=0 WR @0D=E0AE7F5D
5326.50ns INFO [00005328] Port=0 RD @06
5327.50ns INFO [00005329] * RD COMPARE * port=0 adr=15 act=0E3350C9 exp=0E3350C9
5327.50ns INFO [00005329] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB
5327.50ns INFO [00005329] Port=0 WR @07=9812EA8C
5327.50ns INFO [00005329] Port=0 RD @04
5328.50ns INFO [00005330] * RD COMPARE * port=0 adr=06 act=7AB9AC9C exp=7AB9AC9C
5328.50ns INFO [00005330] Port=0 RD @17
5328.50ns INFO [00005330] Port=1 RD @1F
5329.50ns INFO [00005331] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5330.50ns INFO [00005332] * RD COMPARE * port=0 adr=17 act=5A14250B exp=5A14250B
5330.50ns INFO [00005332] * RD COMPARE * port=1 adr=1F act=2FF4867F exp=2FF4867F
5330.50ns INFO [00005332] Port=0 RD @0A
5331.50ns INFO [00005333] Port=0 WR @0A=AF161A4B
5331.50ns INFO [00005333] Port=0 RD @06
5332.50ns INFO [00005334] * RD COMPARE * port=0 adr=0A act=4CAD4EB5 exp=4CAD4EB5
5332.50ns INFO [00005334] Port=0 RD @10
5332.50ns INFO [00005334] Port=1 RD @02
5333.50ns INFO [00005335] * RD COMPARE * port=0 adr=06 act=7AB9AC9C exp=7AB9AC9C
5334.50ns INFO [00005336] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56
5334.50ns INFO [00005336] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5335.50ns INFO [00005337] Port=1 RD @08
5337.50ns INFO [00005339] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5337.50ns INFO [00005339] Port=0 WR @1B=5B69825B
5337.50ns INFO [00005339] Port=0 RD @0D
5338.50ns INFO [00005340] Port=0 RD @0A
5338.50ns INFO [00005340] Port=1 RD @1D
5339.50ns INFO [00005341] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D
5340.50ns INFO [00005342] * RD COMPARE * port=0 adr=0A act=AF161A4B exp=AF161A4B
5340.50ns INFO [00005342] * RD COMPARE * port=1 adr=1D act=7FE9A539 exp=7FE9A539
5340.50ns INFO [00005342] Port=0 RD @14
5341.50ns INFO [00005343] Port=0 WR @1F=37582378
5342.50ns INFO [00005344] * RD COMPARE * port=0 adr=14 act=EC2B93BD exp=EC2B93BD
5346.50ns INFO [00005348] Port=0 RD @11
5347.50ns INFO [00005349] Port=0 RD @00
5348.50ns INFO [00005350] * RD COMPARE * port=0 adr=11 act=0BE4EB55 exp=0BE4EB55
5349.50ns INFO [00005351] * RD COMPARE * port=0 adr=00 act=F3401C9D exp=F3401C9D
5349.50ns INFO [00005351] Port=0 RD @10
5349.50ns INFO [00005351] Port=1 RD @0B
5351.50ns INFO [00005353] * RD COMPARE * port=0 adr=10 act=517C4C56 exp=517C4C56
5351.50ns INFO [00005353] * RD COMPARE * port=1 adr=0B act=A64E9634 exp=A64E9634
5352.50ns INFO [00005354] Port=0 RD @02
5352.50ns INFO [00005354] Port=1 RD @11
5353.50ns INFO [00005355] Port=0 RD @04
5353.50ns INFO [00005355] Port=1 RD @11
5354.50ns INFO [00005356] * RD COMPARE * port=0 adr=02 act=9E80BA61 exp=9E80BA61
5354.50ns INFO [00005356] * RD COMPARE * port=1 adr=11 act=0BE4EB55 exp=0BE4EB55
5354.50ns INFO [00005356] Port=0 WR @10=7EAA063B
5354.50ns INFO [00005356] Port=1 RD @18
5355.50ns INFO [00005357] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5355.50ns INFO [00005357] * RD COMPARE * port=1 adr=11 act=0BE4EB55 exp=0BE4EB55
5355.50ns INFO [00005357] Port=0 WR @07=FEBD49B8
5355.50ns INFO [00005357] Port=1 RD @19
5356.50ns INFO [00005358] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB
5356.50ns INFO [00005358] Port=0 WR @13=A8579E48
5356.50ns INFO [00005358] Port=0 RD @1C
5357.50ns INFO [00005359] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF
5357.50ns INFO [00005359] Port=0 WR @1B=5A339781
5357.50ns INFO [00005359] Port=0 RD @05
5358.50ns INFO [00005360] * RD COMPARE * port=0 adr=1C act=101D41B8 exp=101D41B8
5358.50ns INFO [00005360] Port=1 RD @06
5359.50ns INFO [00005361] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138
5359.50ns INFO [00005361] Port=0 WR @1C=ECFCA852
5360.50ns INFO [00005362] * RD COMPARE * port=1 adr=06 act=7AB9AC9C exp=7AB9AC9C
5361.50ns INFO [00005363] Port=1 RD @03
5362.50ns INFO [00005364] Port=0 WR @14=0239C67F
5362.50ns INFO [00005364] Port=0 RD @17
5362.50ns INFO [00005364] Port=1 RD @1E
5363.50ns INFO [00005365] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5364.50ns INFO [00005366] * RD COMPARE * port=0 adr=17 act=5A14250B exp=5A14250B
5364.50ns INFO [00005366] * RD COMPARE * port=1 adr=1E act=6061BB6E exp=6061BB6E
5364.50ns INFO [00005366] Port=1 RD @02
5365.50ns INFO [00005367] Port=0 RD @1B
5366.50ns INFO [00005368] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5366.50ns INFO [00005368] Port=0 WR @15=17F0CE45
5366.50ns INFO [00005368] Port=0 RD @08
5366.50ns INFO [00005368] Port=1 RD @08
5367.50ns INFO [00005369] * RD COMPARE * port=0 adr=1B act=5A339781 exp=5A339781
5368.50ns INFO [00005370] * RD COMPARE * port=0 adr=08 act=52742C31 exp=52742C31
5368.50ns INFO [00005370] * RD COMPARE * port=1 adr=08 act=52742C31 exp=52742C31
5369.50ns INFO [00005371] Port=0 RD @02
5369.50ns INFO [00005371] Port=1 RD @03
5370.50ns INFO [00005372] Port=1 RD @19
5371.50ns INFO [00005373] * RD COMPARE * port=0 adr=02 act=9E80BA61 exp=9E80BA61
5371.50ns INFO [00005373] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5371.50ns INFO [00005373] Port=0 WR @00=4C8306D7
5372.50ns INFO [00005374] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF
5372.50ns INFO [00005374] Port=0 WR @07=33992709
5373.50ns INFO [00005375] Port=0 RD @0B
5373.50ns INFO [00005375] Port=1 RD @0C
5374.50ns INFO [00005376] Port=0 RD @04
5375.50ns INFO [00005377] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634
5375.50ns INFO [00005377] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5
5376.50ns INFO [00005378] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5376.50ns INFO [00005378] Port=0 WR @1D=18552F0E
5376.50ns INFO [00005378] Port=0 RD @13
5376.50ns INFO [00005378] Port=1 RD @02
5377.50ns INFO [00005379] Port=1 RD @04
5378.50ns INFO [00005380] * RD COMPARE * port=0 adr=13 act=A8579E48 exp=A8579E48
5378.50ns INFO [00005380] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5378.50ns INFO [00005380] Port=0 RD @05
5378.50ns INFO [00005380] Port=1 RD @0C
5379.50ns INFO [00005381] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5379.50ns INFO [00005381] Port=0 RD @0E
5379.50ns INFO [00005381] Port=1 RD @15
5380.50ns INFO [00005382] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138
5380.50ns INFO [00005382] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5
5380.50ns INFO [00005382] Port=1 RD @00
5381.50ns INFO [00005383] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317
5381.50ns INFO [00005383] * RD COMPARE * port=1 adr=15 act=17F0CE45 exp=17F0CE45
5381.50ns INFO [00005383] Port=1 RD @09
5382.50ns INFO [00005384] * RD COMPARE * port=1 adr=00 act=4C8306D7 exp=4C8306D7
5382.50ns INFO [00005384] Port=1 RD @13
5383.50ns INFO [00005385] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5384.50ns INFO [00005386] * RD COMPARE * port=1 adr=13 act=A8579E48 exp=A8579E48
5384.50ns INFO [00005386] Port=0 WR @08=57BD3FF4
5384.50ns INFO [00005386] Port=1 RD @09
5385.50ns INFO [00005387] Port=0 WR @17=6E638B6C
5386.50ns INFO [00005388] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5386.50ns INFO [00005388] Port=0 WR @01=EDDEEBD4
5387.50ns INFO [00005389] Port=0 WR @13=0EC84DB0
5387.50ns INFO [00005389] Port=1 RD @19
5389.50ns INFO [00005391] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF
5389.50ns INFO [00005391] Port=0 RD @15
5390.50ns INFO [00005392] Port=0 RD @13
5390.50ns INFO [00005392] Port=1 RD @00
5391.50ns INFO [00005393] * RD COMPARE * port=0 adr=15 act=17F0CE45 exp=17F0CE45
5391.50ns INFO [00005393] Port=0 RD @0D
5392.50ns INFO [00005394] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0
5392.50ns INFO [00005394] * RD COMPARE * port=1 adr=00 act=4C8306D7 exp=4C8306D7
5392.50ns INFO [00005394] Port=1 RD @02
5393.50ns INFO [00005395] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D
5393.50ns INFO [00005395] Port=0 RD @04
5393.50ns INFO [00005395] Port=1 RD @02
5394.50ns INFO [00005396] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5394.50ns INFO [00005396] Port=0 RD @07
5395.50ns INFO [00005397] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5395.50ns INFO [00005397] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5395.50ns INFO [00005397] Port=0 WR @15=1200EFB7
5395.50ns INFO [00005397] Port=0 RD @14
5396.50ns INFO [00005398] * RD COMPARE * port=0 adr=07 act=33992709 exp=33992709
5396.50ns INFO [00005398] Port=1 RD @09
5397.50ns INFO [00005399] * RD COMPARE * port=0 adr=14 act=0239C67F exp=0239C67F
5397.50ns INFO [00005399] Port=0 RD @0D
5398.00ns INFO [00005400] [00005400] ...tick...
5398.50ns INFO [00005400] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5398.50ns INFO [00005400] Port=0 RD @03
5398.50ns INFO [00005400] Port=1 RD @04
5399.50ns INFO [00005401] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D
5399.50ns INFO [00005401] Port=0 WR @11=958EEE0D
5399.50ns INFO [00005401] Port=0 RD @10
5399.50ns INFO [00005401] Port=1 RD @0A
5400.50ns INFO [00005402] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D
5400.50ns INFO [00005402] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5400.50ns INFO [00005402] Port=1 RD @0C
5401.50ns INFO [00005403] * RD COMPARE * port=0 adr=10 act=7EAA063B exp=7EAA063B
5401.50ns INFO [00005403] * RD COMPARE * port=1 adr=0A act=AF161A4B exp=AF161A4B
5401.50ns INFO [00005403] Port=0 WR @07=57C9EE5A
5401.50ns INFO [00005403] Port=1 RD @1B
5402.50ns INFO [00005404] * RD COMPARE * port=1 adr=0C act=455563B5 exp=455563B5
5402.50ns INFO [00005404] Port=0 WR @1E=B368B042
5402.50ns INFO [00005404] Port=1 RD @05
5403.50ns INFO [00005405] * RD COMPARE * port=1 adr=1B act=5A339781 exp=5A339781
5403.50ns INFO [00005405] Port=0 WR @1E=8CCA25FA
5403.50ns INFO [00005405] Port=0 RD @13
5403.50ns INFO [00005405] Port=1 RD @06
5404.50ns INFO [00005406] * RD COMPARE * port=1 adr=05 act=0A197138 exp=0A197138
5404.50ns INFO [00005406] Port=0 WR @14=52706EBA
5405.50ns INFO [00005407] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0
5405.50ns INFO [00005407] * RD COMPARE * port=1 adr=06 act=7AB9AC9C exp=7AB9AC9C
5405.50ns INFO [00005407] Port=0 RD @05
5406.50ns INFO [00005408] Port=0 WR @01=AC4029BB
5406.50ns INFO [00005408] Port=0 RD @13
5407.50ns INFO [00005409] * RD COMPARE * port=0 adr=05 act=0A197138 exp=0A197138
5407.50ns INFO [00005409] Port=0 WR @14=099887B0
5407.50ns INFO [00005409] Port=0 RD @09
5408.50ns INFO [00005410] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0
5408.50ns INFO [00005410] Port=0 WR @05=B388CE89
5408.50ns INFO [00005410] Port=0 RD @0D
5409.50ns INFO [00005411] * RD COMPARE * port=0 adr=09 act=9F621555 exp=9F621555
5409.50ns INFO [00005411] Port=1 RD @05
5410.50ns INFO [00005412] * RD COMPARE * port=0 adr=0D act=E0AE7F5D exp=E0AE7F5D
5410.50ns INFO [00005412] Port=0 WR @1A=BBA0E005
5411.50ns INFO [00005413] * RD COMPARE * port=1 adr=05 act=B388CE89 exp=B388CE89
5411.50ns INFO [00005413] Port=1 RD @0F
5412.50ns INFO [00005414] Port=0 WR @15=A92B382F
5412.50ns INFO [00005414] Port=1 RD @0F
5413.50ns INFO [00005415] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439
5413.50ns INFO [00005415] Port=1 RD @19
5414.50ns INFO [00005416] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439
5414.50ns INFO [00005416] Port=1 RD @16
5415.50ns INFO [00005417] * RD COMPARE * port=1 adr=19 act=EA25CADF exp=EA25CADF
5415.50ns INFO [00005417] Port=0 WR @00=A8E79891
5415.50ns INFO [00005417] Port=1 RD @09
5416.50ns INFO [00005418] * RD COMPARE * port=1 adr=16 act=DE36F4A9 exp=DE36F4A9
5416.50ns INFO [00005418] Port=0 RD @18
5417.50ns INFO [00005419] * RD COMPARE * port=1 adr=09 act=9F621555 exp=9F621555
5418.50ns INFO [00005420] * RD COMPARE * port=0 adr=18 act=64D9AFCB exp=64D9AFCB
5418.50ns INFO [00005420] Port=0 WR @05=F2A242AF
5418.50ns INFO [00005420] Port=0 RD @1C
5419.50ns INFO [00005421] Port=0 RD @0E
5419.50ns INFO [00005421] Port=1 RD @0F
5420.50ns INFO [00005422] * RD COMPARE * port=0 adr=1C act=ECFCA852 exp=ECFCA852
5420.50ns INFO [00005422] Port=0 WR @06=4C42765B
5420.50ns INFO [00005422] Port=1 RD @02
5421.50ns INFO [00005423] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317
5421.50ns INFO [00005423] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439
5421.50ns INFO [00005423] Port=0 WR @1A=9FD2B19C
5422.50ns INFO [00005424] * RD COMPARE * port=1 adr=02 act=9E80BA61 exp=9E80BA61
5422.50ns INFO [00005424] Port=0 WR @16=DECF8B0D
5422.50ns INFO [00005424] Port=0 RD @1F
5422.50ns INFO [00005424] Port=1 RD @01
5423.50ns INFO [00005425] Port=0 RD @1F
5424.50ns INFO [00005426] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378
5424.50ns INFO [00005426] * RD COMPARE * port=1 adr=01 act=AC4029BB exp=AC4029BB
5424.50ns INFO [00005426] Port=0 WR @08=CBED7E82
5424.50ns INFO [00005426] Port=0 RD @0E
5425.50ns INFO [00005427] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378
5426.50ns INFO [00005428] * RD COMPARE * port=0 adr=0E act=DE422317 exp=DE422317
5426.50ns INFO [00005428] Port=0 WR @19=B7897883
5426.50ns INFO [00005428] Port=1 RD @05
5427.50ns INFO [00005429] Port=0 RD @08
5428.50ns INFO [00005430] * RD COMPARE * port=1 adr=05 act=F2A242AF exp=F2A242AF
5429.50ns INFO [00005431] * RD COMPARE * port=0 adr=08 act=CBED7E82 exp=CBED7E82
5429.50ns INFO [00005431] Port=0 RD @1F
5430.50ns INFO [00005432] Port=0 RD @0C
5431.50ns INFO [00005433] * RD COMPARE * port=0 adr=1F act=37582378 exp=37582378
5431.50ns INFO [00005433] Port=0 WR @1F=8AE7AC2F
5431.50ns INFO [00005433] Port=1 RD @18
5432.50ns INFO [00005434] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5
5433.50ns INFO [00005435] * RD COMPARE * port=1 adr=18 act=64D9AFCB exp=64D9AFCB
5433.50ns INFO [00005435] Port=0 RD @0F
5434.50ns INFO [00005436] Port=0 WR @02=D6078056
5434.50ns INFO [00005436] Port=0 RD @1D
5435.50ns INFO [00005437] * RD COMPARE * port=0 adr=0F act=21122439 exp=21122439
5435.50ns INFO [00005437] Port=1 RD @05
5436.50ns INFO [00005438] * RD COMPARE * port=0 adr=1D act=18552F0E exp=18552F0E
5436.50ns INFO [00005438] Port=0 WR @18=C4B6407C
5436.50ns INFO [00005438] Port=1 RD @10
5437.50ns INFO [00005439] * RD COMPARE * port=1 adr=05 act=F2A242AF exp=F2A242AF
5437.50ns INFO [00005439] Port=0 WR @1B=F68004F6
5438.50ns INFO [00005440] * RD COMPARE * port=1 adr=10 act=7EAA063B exp=7EAA063B
5438.50ns INFO [00005440] Port=1 RD @18
5439.50ns INFO [00005441] Port=0 WR @07=B076ACE5
5439.50ns INFO [00005441] Port=0 RD @16
5440.50ns INFO [00005442] * RD COMPARE * port=1 adr=18 act=C4B6407C exp=C4B6407C
5440.50ns INFO [00005442] Port=0 RD @1C
5440.50ns INFO [00005442] Port=1 RD @1C
5441.50ns INFO [00005443] * RD COMPARE * port=0 adr=16 act=DECF8B0D exp=DECF8B0D
5441.50ns INFO [00005443] Port=0 RD @0A
5441.50ns INFO [00005443] Port=1 RD @0E
5442.50ns INFO [00005444] * RD COMPARE * port=0 adr=1C act=ECFCA852 exp=ECFCA852
5442.50ns INFO [00005444] * RD COMPARE * port=1 adr=1C act=ECFCA852 exp=ECFCA852
5442.50ns INFO [00005444] Port=0 RD @08
5442.50ns INFO [00005444] Port=1 RD @0D
5443.50ns INFO [00005445] * RD COMPARE * port=0 adr=0A act=AF161A4B exp=AF161A4B
5443.50ns INFO [00005445] * RD COMPARE * port=1 adr=0E act=DE422317 exp=DE422317
5443.50ns INFO [00005445] Port=0 RD @13
5443.50ns INFO [00005445] Port=1 RD @1A
5444.50ns INFO [00005446] * RD COMPARE * port=0 adr=08 act=CBED7E82 exp=CBED7E82
5444.50ns INFO [00005446] * RD COMPARE * port=1 adr=0D act=E0AE7F5D exp=E0AE7F5D
5445.50ns INFO [00005447] * RD COMPARE * port=0 adr=13 act=0EC84DB0 exp=0EC84DB0
5445.50ns INFO [00005447] * RD COMPARE * port=1 adr=1A act=9FD2B19C exp=9FD2B19C
5445.50ns INFO [00005447] Port=0 WR @12=4AC08DDF
5445.50ns INFO [00005447] Port=1 RD @0F
5447.50ns INFO [00005449] * RD COMPARE * port=1 adr=0F act=21122439 exp=21122439
5448.50ns INFO [00005450] Port=0 WR @1B=2FFDC02F
5448.50ns INFO [00005450] Port=0 RD @09
5449.50ns INFO [00005451] Port=1 RD @1E
5450.50ns INFO [00005452] * RD COMPARE * port=0 adr=09 act=9F621555 exp=9F621555
5450.50ns INFO [00005452] Port=0 RD @0B
5451.50ns INFO [00005453] * RD COMPARE * port=1 adr=1E act=8CCA25FA exp=8CCA25FA
5451.50ns INFO [00005453] Port=0 RD @07
5451.50ns INFO [00005453] Port=1 RD @03
5452.50ns INFO [00005454] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634
5452.50ns INFO [00005454] Port=0 RD @0C
5453.50ns INFO [00005455] * RD COMPARE * port=0 adr=07 act=B076ACE5 exp=B076ACE5
5453.50ns INFO [00005455] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5453.50ns INFO [00005455] Port=0 WR @16=D12B8C01
5454.50ns INFO [00005456] * RD COMPARE * port=0 adr=0C act=455563B5 exp=455563B5
5454.50ns INFO [00005456] Port=0 WR @1A=7DB41DB5
5454.50ns INFO [00005456] Port=0 RD @0B
5455.50ns INFO [00005457] Port=1 RD @1D
5456.50ns INFO [00005458] * RD COMPARE * port=0 adr=0B act=A64E9634 exp=A64E9634
5456.50ns INFO [00005458] Port=0 WR @09=54EE4666
5457.50ns INFO [00005459] * RD COMPARE * port=1 adr=1D act=18552F0E exp=18552F0E
5457.50ns INFO [00005459] Port=0 WR @17=CBE6C4A1
5458.50ns INFO [00005460] Port=0 RD @12
5458.50ns INFO [00005460] Port=1 RD @17
5459.50ns INFO [00005461] Port=0 WR @18=89E3483C
5460.50ns INFO [00005462] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF
5460.50ns INFO [00005462] * RD COMPARE * port=1 adr=17 act=CBE6C4A1 exp=CBE6C4A1
5460.50ns INFO [00005462] Port=0 RD @11
5460.50ns INFO [00005462] Port=1 RD @19
5461.50ns INFO [00005463] Port=1 RD @03
5462.50ns INFO [00005464] * RD COMPARE * port=0 adr=11 act=958EEE0D exp=958EEE0D
5462.50ns INFO [00005464] * RD COMPARE * port=1 adr=19 act=B7897883 exp=B7897883
5462.50ns INFO [00005464] Port=0 WR @07=B47544CA
5462.50ns INFO [00005464] Port=0 RD @01
5462.50ns INFO [00005464] Port=1 RD @00
5463.50ns INFO [00005465] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5463.50ns INFO [00005465] Port=0 WR @09=81C3ED8A
5464.50ns INFO [00005466] * RD COMPARE * port=0 adr=01 act=AC4029BB exp=AC4029BB
5464.50ns INFO [00005466] * RD COMPARE * port=1 adr=00 act=A8E79891 exp=A8E79891
5464.50ns INFO [00005466] Port=1 RD @04
5465.50ns INFO [00005467] Port=0 RD @16
5465.50ns INFO [00005467] Port=1 RD @0E
5466.50ns INFO [00005468] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5466.50ns INFO [00005468] Port=1 RD @1D
5467.50ns INFO [00005469] * RD COMPARE * port=0 adr=16 act=D12B8C01 exp=D12B8C01
5467.50ns INFO [00005469] * RD COMPARE * port=1 adr=0E act=DE422317 exp=DE422317
5468.50ns INFO [00005470] * RD COMPARE * port=1 adr=1D act=18552F0E exp=18552F0E
5468.50ns INFO [00005470] Port=0 WR @0F=4D3072AF
5468.50ns INFO [00005470] Port=0 RD @05
5468.50ns INFO [00005470] Port=1 RD @07
5469.50ns INFO [00005471] Port=0 WR @18=F5BBFA30
5470.50ns INFO [00005472] * RD COMPARE * port=0 adr=05 act=F2A242AF exp=F2A242AF
5470.50ns INFO [00005472] * RD COMPARE * port=1 adr=07 act=B47544CA exp=B47544CA
5470.50ns INFO [00005472] Port=0 WR @00=A497E11D
5470.50ns INFO [00005472] Port=1 RD @0D
5471.50ns INFO [00005473] Port=0 WR @0E=24CFC146
5471.50ns INFO [00005473] Port=0 RD @0F
5471.50ns INFO [00005473] Port=1 RD @0B
5472.50ns INFO [00005474] * RD COMPARE * port=1 adr=0D act=E0AE7F5D exp=E0AE7F5D
5472.50ns INFO [00005474] Port=0 WR @0A=E3F6321F
5472.50ns INFO [00005474] Port=0 RD @0F
5473.50ns INFO [00005475] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF
5473.50ns INFO [00005475] * RD COMPARE * port=1 adr=0B act=A64E9634 exp=A64E9634
5473.50ns INFO [00005475] Port=0 WR @0B=F24A01AF
5473.50ns INFO [00005475] Port=0 RD @12
5473.50ns INFO [00005475] Port=1 RD @1B
5474.50ns INFO [00005476] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF
5474.50ns INFO [00005476] Port=0 RD @12
5475.50ns INFO [00005477] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF
5475.50ns INFO [00005477] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F
5476.50ns INFO [00005478] * RD COMPARE * port=0 adr=12 act=4AC08DDF exp=4AC08DDF
5476.50ns INFO [00005478] Port=0 RD @0F
5477.50ns INFO [00005479] Port=0 WR @19=24C11182
5478.50ns INFO [00005480] * RD COMPARE * port=0 adr=0F act=4D3072AF exp=4D3072AF
5479.50ns INFO [00005481] Port=0 WR @1C=64C0B739
5479.50ns INFO [00005481] Port=0 RD @1E
5479.50ns INFO [00005481] Port=1 RD @12
5480.50ns INFO [00005482] Port=0 WR @1D=BB0A0006
5480.50ns INFO [00005482] Port=0 RD @03
5480.50ns INFO [00005482] Port=1 RD @09
5481.50ns INFO [00005483] * RD COMPARE * port=0 adr=1E act=8CCA25FA exp=8CCA25FA
5481.50ns INFO [00005483] * RD COMPARE * port=1 adr=12 act=4AC08DDF exp=4AC08DDF
5481.50ns INFO [00005483] Port=0 WR @10=F290EF44
5481.50ns INFO [00005483] Port=0 RD @18
5481.50ns INFO [00005483] Port=1 RD @01
5482.50ns INFO [00005484] * RD COMPARE * port=0 adr=03 act=F0B6CF3D exp=F0B6CF3D
5482.50ns INFO [00005484] * RD COMPARE * port=1 adr=09 act=81C3ED8A exp=81C3ED8A
5482.50ns INFO [00005484] Port=0 RD @10
5482.50ns INFO [00005484] Port=1 RD @12
5483.50ns INFO [00005485] * RD COMPARE * port=0 adr=18 act=F5BBFA30 exp=F5BBFA30
5483.50ns INFO [00005485] * RD COMPARE * port=1 adr=01 act=AC4029BB exp=AC4029BB
5484.50ns INFO [00005486] * RD COMPARE * port=0 adr=10 act=F290EF44 exp=F290EF44
5484.50ns INFO [00005486] * RD COMPARE * port=1 adr=12 act=4AC08DDF exp=4AC08DDF
5484.50ns INFO [00005486] Port=0 RD @10
5484.50ns INFO [00005486] Port=1 RD @1F
5486.50ns INFO [00005488] * RD COMPARE * port=0 adr=10 act=F290EF44 exp=F290EF44
5486.50ns INFO [00005488] * RD COMPARE * port=1 adr=1F act=8AE7AC2F exp=8AE7AC2F
5486.50ns INFO [00005488] Port=0 WR @1E=3D6DB61E
5486.50ns INFO [00005488] Port=1 RD @1A
5487.50ns INFO [00005489] Port=0 WR @1E=84E23EA6
5487.50ns INFO [00005489] Port=0 RD @1B
5488.50ns INFO [00005490] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5
5488.50ns INFO [00005490] Port=0 WR @08=9E1839CC
5488.50ns INFO [00005490] Port=0 RD @14
5489.50ns INFO [00005491] * RD COMPARE * port=0 adr=1B act=2FFDC02F exp=2FFDC02F
5490.50ns INFO [00005492] * RD COMPARE * port=0 adr=14 act=099887B0 exp=099887B0
5490.50ns INFO [00005492] Port=1 RD @04
5491.50ns INFO [00005493] Port=0 WR @0D=A23C3B5F
5491.50ns INFO [00005493] Port=1 RD @1B
5492.50ns INFO [00005494] * RD COMPARE * port=1 adr=04 act=CF78DD2B exp=CF78DD2B
5492.50ns INFO [00005494] Port=1 RD @1B
5493.50ns INFO [00005495] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F
5493.50ns INFO [00005495] Port=0 WR @0F=94FE023C
5493.50ns INFO [00005495] Port=0 RD @15
5493.50ns INFO [00005495] Port=1 RD @13
5494.50ns INFO [00005496] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F
5495.50ns INFO [00005497] * RD COMPARE * port=0 adr=15 act=A92B382F exp=A92B382F
5495.50ns INFO [00005497] * RD COMPARE * port=1 adr=13 act=0EC84DB0 exp=0EC84DB0
5495.50ns INFO [00005497] Port=0 WR @16=511552CD
5495.50ns INFO [00005497] Port=1 RD @13
5496.50ns INFO [00005498] Port=0 WR @0C=80B1C5EF
5496.50ns INFO [00005498] Port=0 RD @04
5496.50ns INFO [00005498] Port=1 RD @09
5497.50ns INFO [00005499] * RD COMPARE * port=1 adr=13 act=0EC84DB0 exp=0EC84DB0
5498.00ns INFO [00005500] [00005500] ...tick...
5498.50ns INFO [00005500] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5498.50ns INFO [00005500] * RD COMPARE * port=1 adr=09 act=81C3ED8A exp=81C3ED8A
5499.50ns INFO [00005501] Port=0 WR @12=85A1AA44
5499.50ns INFO [00005501] Port=0 RD @17
5500.50ns INFO [00005502] Port=0 WR @01=813D26AA
5501.50ns INFO [00005503] * RD COMPARE * port=0 adr=17 act=CBE6C4A1 exp=CBE6C4A1
5501.50ns INFO [00005503] Port=0 WR @13=3C399B61
5501.50ns INFO [00005503] Port=1 RD @18
5503.50ns INFO [00005505] * RD COMPARE * port=1 adr=18 act=F5BBFA30 exp=F5BBFA30
5503.50ns INFO [00005505] Port=0 RD @16
5504.50ns INFO [00005506] Port=0 WR @14=2A18A77C
5504.50ns INFO [00005506] Port=1 RD @11
5505.50ns INFO [00005507] * RD COMPARE * port=0 adr=16 act=511552CD exp=511552CD
5506.50ns INFO [00005508] * RD COMPARE * port=1 adr=11 act=958EEE0D exp=958EEE0D
5506.50ns INFO [00005508] Port=1 RD @10
5508.50ns INFO [00005510] * RD COMPARE * port=1 adr=10 act=F290EF44 exp=F290EF44
5510.50ns INFO [00005512] Port=0 WR @1E=4922835B
5512.50ns INFO [00005514] Port=0 WR @18=1F8CB1D4
5512.50ns INFO [00005514] Port=1 RD @1A
5514.50ns INFO [00005516] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5
5514.50ns INFO [00005516] Port=0 WR @11=971DA71D
5514.50ns INFO [00005516] Port=0 RD @1E
5516.50ns INFO [00005518] * RD COMPARE * port=0 adr=1E act=4922835B exp=4922835B
5516.50ns INFO [00005518] Port=0 WR @00=5119A0D5
5517.50ns INFO [00005519] Port=0 WR @10=CF38B13B
5517.50ns INFO [00005519] Port=1 RD @00
5519.50ns INFO [00005521] * RD COMPARE * port=1 adr=00 act=5119A0D5 exp=5119A0D5
5519.50ns INFO [00005521] Port=1 RD @02
5520.50ns INFO [00005522] Port=1 RD @11
5521.50ns INFO [00005523] * RD COMPARE * port=1 adr=02 act=D6078056 exp=D6078056
5521.50ns INFO [00005523] Port=1 RD @1B
5522.50ns INFO [00005524] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D
5522.50ns INFO [00005524] Port=1 RD @03
5523.50ns INFO [00005525] * RD COMPARE * port=1 adr=1B act=2FFDC02F exp=2FFDC02F
5524.50ns INFO [00005526] * RD COMPARE * port=1 adr=03 act=F0B6CF3D exp=F0B6CF3D
5525.50ns INFO [00005527] Port=0 WR @01=F1EA5850
5525.50ns INFO [00005527] Port=1 RD @19
5526.50ns INFO [00005528] Port=0 RD @0E
5527.50ns INFO [00005529] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5528.50ns INFO [00005530] * RD COMPARE * port=0 adr=0E act=24CFC146 exp=24CFC146
5528.50ns INFO [00005530] Port=1 RD @0A
5529.50ns INFO [00005531] Port=0 RD @11
5529.50ns INFO [00005531] Port=1 RD @0F
5530.50ns INFO [00005532] * RD COMPARE * port=1 adr=0A act=E3F6321F exp=E3F6321F
5530.50ns INFO [00005532] Port=0 RD @1B
5530.50ns INFO [00005532] Port=1 RD @0A
5531.50ns INFO [00005533] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D
5531.50ns INFO [00005533] * RD COMPARE * port=1 adr=0F act=94FE023C exp=94FE023C
5531.50ns INFO [00005533] Port=0 WR @00=A8EB8116
5531.50ns INFO [00005533] Port=0 RD @12
5532.50ns INFO [00005534] * RD COMPARE * port=0 adr=1B act=2FFDC02F exp=2FFDC02F
5532.50ns INFO [00005534] * RD COMPARE * port=1 adr=0A act=E3F6321F exp=E3F6321F
5532.50ns INFO [00005534] Port=0 RD @1C
5533.50ns INFO [00005535] * RD COMPARE * port=0 adr=12 act=85A1AA44 exp=85A1AA44
5533.50ns INFO [00005535] Port=0 RD @0B
5534.50ns INFO [00005536] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739
5535.50ns INFO [00005537] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF
5535.50ns INFO [00005537] Port=0 WR @0C=DA9C6427
5535.50ns INFO [00005537] Port=1 RD @0D
5536.50ns INFO [00005538] Port=0 WR @14=03E968F6
5537.50ns INFO [00005539] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F
5537.50ns INFO [00005539] Port=0 WR @12=25B15E52
5538.50ns INFO [00005540] Port=0 WR @02=8A5FFF47
5538.50ns INFO [00005540] Port=1 RD @10
5539.50ns INFO [00005541] Port=0 RD @07
5540.50ns INFO [00005542] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B
5540.50ns INFO [00005542] Port=0 WR @14=25DAFD27
5540.50ns INFO [00005542] Port=0 RD @1C
5541.50ns INFO [00005543] * RD COMPARE * port=0 adr=07 act=B47544CA exp=B47544CA
5541.50ns INFO [00005543] Port=0 WR @07=9BD0054A
5541.50ns INFO [00005543] Port=0 RD @1C
5542.50ns INFO [00005544] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739
5542.50ns INFO [00005544] Port=1 RD @1F
5543.50ns INFO [00005545] * RD COMPARE * port=0 adr=1C act=64C0B739 exp=64C0B739
5543.50ns INFO [00005545] Port=0 WR @0A=E6A8EB43
5544.50ns INFO [00005546] * RD COMPARE * port=1 adr=1F act=8AE7AC2F exp=8AE7AC2F
5544.50ns INFO [00005546] Port=0 WR @1C=E5A83D65
5546.50ns INFO [00005548] Port=0 WR @05=9C6F3AAF
5546.50ns INFO [00005548] Port=0 RD @14
5547.50ns INFO [00005549] Port=0 WR @08=AD7533C4
5547.50ns INFO [00005549] Port=0 RD @09
5548.50ns INFO [00005550] * RD COMPARE * port=0 adr=14 act=25DAFD27 exp=25DAFD27
5548.50ns INFO [00005550] Port=0 WR @02=8D0AD41C
5549.50ns INFO [00005551] * RD COMPARE * port=0 adr=09 act=81C3ED8A exp=81C3ED8A
5549.50ns INFO [00005551] Port=0 WR @1C=8DA942D2
5550.50ns INFO [00005552] Port=0 RD @0A
5550.50ns INFO [00005552] Port=1 RD @0F
5552.50ns INFO [00005554] * RD COMPARE * port=0 adr=0A act=E6A8EB43 exp=E6A8EB43
5552.50ns INFO [00005554] * RD COMPARE * port=1 adr=0F act=94FE023C exp=94FE023C
5553.50ns INFO [00005555] Port=0 RD @14
5553.50ns INFO [00005555] Port=1 RD @1D
5554.50ns INFO [00005556] Port=0 WR @06=1929BE3D
5554.50ns INFO [00005556] Port=0 RD @1D
5554.50ns INFO [00005556] Port=1 RD @1A
5555.50ns INFO [00005557] * RD COMPARE * port=0 adr=14 act=25DAFD27 exp=25DAFD27
5555.50ns INFO [00005557] * RD COMPARE * port=1 adr=1D act=BB0A0006 exp=BB0A0006
5555.50ns INFO [00005557] Port=0 RD @1E
5556.50ns INFO [00005558] * RD COMPARE * port=0 adr=1D act=BB0A0006 exp=BB0A0006
5556.50ns INFO [00005558] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5
5556.50ns INFO [00005558] Port=0 RD @18
5557.50ns INFO [00005559] * RD COMPARE * port=0 adr=1E act=4922835B exp=4922835B
5557.50ns INFO [00005559] Port=0 WR @0F=6E3F4892
5557.50ns INFO [00005559] Port=1 RD @06
5558.50ns INFO [00005560] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5558.50ns INFO [00005560] Port=0 RD @01
5558.50ns INFO [00005560] Port=1 RD @18
5559.50ns INFO [00005561] * RD COMPARE * port=1 adr=06 act=1929BE3D exp=1929BE3D
5560.50ns INFO [00005562] * RD COMPARE * port=0 adr=01 act=F1EA5850 exp=F1EA5850
5560.50ns INFO [00005562] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5560.50ns INFO [00005562] Port=1 RD @05
5561.50ns INFO [00005563] Port=0 WR @14=55782C9B
5562.50ns INFO [00005564] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF
5562.50ns INFO [00005564] Port=0 RD @09
5563.50ns INFO [00005565] Port=1 RD @10
5564.50ns INFO [00005566] * RD COMPARE * port=0 adr=09 act=81C3ED8A exp=81C3ED8A
5564.50ns INFO [00005566] Port=0 WR @1E=091C1707
5564.50ns INFO [00005566] Port=0 RD @13
5564.50ns INFO [00005566] Port=1 RD @08
5565.50ns INFO [00005567] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B
5565.50ns INFO [00005567] Port=0 RD @10
5565.50ns INFO [00005567] Port=1 RD @17
5566.50ns INFO [00005568] * RD COMPARE * port=0 adr=13 act=3C399B61 exp=3C399B61
5566.50ns INFO [00005568] * RD COMPARE * port=1 adr=08 act=AD7533C4 exp=AD7533C4
5567.50ns INFO [00005569] * RD COMPARE * port=0 adr=10 act=CF38B13B exp=CF38B13B
5567.50ns INFO [00005569] * RD COMPARE * port=1 adr=17 act=CBE6C4A1 exp=CBE6C4A1
5567.50ns INFO [00005569] Port=1 RD @1D
5569.50ns INFO [00005571] * RD COMPARE * port=1 adr=1D act=BB0A0006 exp=BB0A0006
5569.50ns INFO [00005571] Port=0 WR @15=B5D84A88
5569.50ns INFO [00005571] Port=0 RD @02
5569.50ns INFO [00005571] Port=1 RD @14
5571.50ns INFO [00005573] * RD COMPARE * port=0 adr=02 act=8D0AD41C exp=8D0AD41C
5571.50ns INFO [00005573] * RD COMPARE * port=1 adr=14 act=55782C9B exp=55782C9B
5572.50ns INFO [00005574] Port=0 WR @17=914819B2
5573.50ns INFO [00005575] Port=0 RD @1F
5574.50ns INFO [00005576] Port=0 RD @01
5575.50ns INFO [00005577] * RD COMPARE * port=0 adr=1F act=8AE7AC2F exp=8AE7AC2F
5575.50ns INFO [00005577] Port=0 WR @14=1F146486
5575.50ns INFO [00005577] Port=0 RD @00
5575.50ns INFO [00005577] Port=1 RD @12
5576.50ns INFO [00005578] * RD COMPARE * port=0 adr=01 act=F1EA5850 exp=F1EA5850
5576.50ns INFO [00005578] Port=0 RD @1F
5576.50ns INFO [00005578] Port=1 RD @05
5577.50ns INFO [00005579] * RD COMPARE * port=0 adr=00 act=A8EB8116 exp=A8EB8116
5577.50ns INFO [00005579] * RD COMPARE * port=1 adr=12 act=25B15E52 exp=25B15E52
5577.50ns INFO [00005579] Port=0 RD @1D
5577.50ns INFO [00005579] Port=1 RD @1A
5578.50ns INFO [00005580] * RD COMPARE * port=0 adr=1F act=8AE7AC2F exp=8AE7AC2F
5578.50ns INFO [00005580] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF
5578.50ns INFO [00005580] Port=0 WR @08=42AB8001
5579.50ns INFO [00005581] * RD COMPARE * port=0 adr=1D act=BB0A0006 exp=BB0A0006
5579.50ns INFO [00005581] * RD COMPARE * port=1 adr=1A act=7DB41DB5 exp=7DB41DB5
5579.50ns INFO [00005581] Port=0 RD @11
5580.50ns INFO [00005582] Port=0 WR @03=2F3E8BDC
5580.50ns INFO [00005582] Port=0 RD @0B
5581.50ns INFO [00005583] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D
5581.50ns INFO [00005583] Port=0 WR @06=B56C53E4
5582.50ns INFO [00005584] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF
5582.50ns INFO [00005584] Port=1 RD @14
5583.50ns INFO [00005585] Port=0 WR @1E=126FF06C
5583.50ns INFO [00005585] Port=1 RD @15
5584.50ns INFO [00005586] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486
5584.50ns INFO [00005586] Port=0 WR @00=6FBE2D5D
5585.50ns INFO [00005587] * RD COMPARE * port=1 adr=15 act=B5D84A88 exp=B5D84A88
5585.50ns INFO [00005587] Port=1 RD @01
5586.50ns INFO [00005588] Port=0 WR @1B=B5858A7C
5587.50ns INFO [00005589] * RD COMPARE * port=1 adr=01 act=F1EA5850 exp=F1EA5850
5587.50ns INFO [00005589] Port=1 RD @11
5588.50ns INFO [00005590] Port=0 WR @09=DC85BD2B
5589.50ns INFO [00005591] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D
5589.50ns INFO [00005591] Port=1 RD @19
5590.50ns INFO [00005592] Port=0 RD @0D
5590.50ns INFO [00005592] Port=1 RD @11
5591.50ns INFO [00005593] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5591.50ns INFO [00005593] Port=0 WR @1A=BB1A4E30
5591.50ns INFO [00005593] Port=0 RD @19
5592.50ns INFO [00005594] * RD COMPARE * port=0 adr=0D act=A23C3B5F exp=A23C3B5F
5592.50ns INFO [00005594] * RD COMPARE * port=1 adr=11 act=971DA71D exp=971DA71D
5592.50ns INFO [00005594] Port=0 RD @11
5593.50ns INFO [00005595] * RD COMPARE * port=0 adr=19 act=24C11182 exp=24C11182
5593.50ns INFO [00005595] Port=0 WR @12=472E1AC4
5593.50ns INFO [00005595] Port=1 RD @1A
5594.50ns INFO [00005596] * RD COMPARE * port=0 adr=11 act=971DA71D exp=971DA71D
5594.50ns INFO [00005596] Port=0 WR @1C=B7A0545F
5595.50ns INFO [00005597] * RD COMPARE * port=1 adr=1A act=BB1A4E30 exp=BB1A4E30
5595.50ns INFO [00005597] Port=0 RD @0E
5595.50ns INFO [00005597] Port=1 RD @1E
5596.50ns INFO [00005598] Port=0 RD @17
5596.50ns INFO [00005598] Port=1 RD @17
5597.50ns INFO [00005599] * RD COMPARE * port=0 adr=0E act=24CFC146 exp=24CFC146
5597.50ns INFO [00005599] * RD COMPARE * port=1 adr=1E act=126FF06C exp=126FF06C
5597.50ns INFO [00005599] Port=0 WR @07=F67C7AC3
5597.50ns INFO [00005599] Port=0 RD @0C
5597.50ns INFO [00005599] Port=1 RD @16
5598.00ns INFO [00005600] [00005600] ...tick...
5598.50ns INFO [00005600] * RD COMPARE * port=0 adr=17 act=914819B2 exp=914819B2
5598.50ns INFO [00005600] * RD COMPARE * port=1 adr=17 act=914819B2 exp=914819B2
5598.50ns INFO [00005600] Port=0 WR @00=8A0BEB6F
5598.50ns INFO [00005600] Port=0 RD @04
5599.50ns INFO [00005601] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427
5599.50ns INFO [00005601] * RD COMPARE * port=1 adr=16 act=511552CD exp=511552CD
5600.50ns INFO [00005602] * RD COMPARE * port=0 adr=04 act=CF78DD2B exp=CF78DD2B
5601.50ns INFO [00005603] Port=0 WR @13=E98BF009
5601.50ns INFO [00005603] Port=0 RD @0F
5601.50ns INFO [00005603] Port=1 RD @00
5603.50ns INFO [00005605] * RD COMPARE * port=0 adr=0F act=6E3F4892 exp=6E3F4892
5603.50ns INFO [00005605] * RD COMPARE * port=1 adr=00 act=8A0BEB6F exp=8A0BEB6F
5603.50ns INFO [00005605] Port=1 RD @1A
5604.50ns INFO [00005606] Port=0 WR @07=27DD4AE8
5604.50ns INFO [00005606] Port=1 RD @06
5605.50ns INFO [00005607] * RD COMPARE * port=1 adr=1A act=BB1A4E30 exp=BB1A4E30
5605.50ns INFO [00005607] Port=0 RD @0C
5606.50ns INFO [00005608] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4
5607.50ns INFO [00005609] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427
5607.50ns INFO [00005609] Port=1 RD @0D
5608.50ns INFO [00005610] Port=0 RD @1C
5608.50ns INFO [00005610] Port=1 RD @14
5609.50ns INFO [00005611] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F
5609.50ns INFO [00005611] Port=0 RD @00
5610.50ns INFO [00005612] * RD COMPARE * port=0 adr=1C act=B7A0545F exp=B7A0545F
5610.50ns INFO [00005612] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486
5610.50ns INFO [00005612] Port=0 WR @1F=E5A9F032
5610.50ns INFO [00005612] Port=1 RD @09
5611.50ns INFO [00005613] * RD COMPARE * port=0 adr=00 act=8A0BEB6F exp=8A0BEB6F
5612.50ns INFO [00005614] * RD COMPARE * port=1 adr=09 act=DC85BD2B exp=DC85BD2B
5612.50ns INFO [00005614] Port=0 RD @12
5613.50ns INFO [00005615] Port=0 WR @16=46ECCE3C
5613.50ns INFO [00005615] Port=0 RD @1A
5614.50ns INFO [00005616] * RD COMPARE * port=0 adr=12 act=472E1AC4 exp=472E1AC4
5614.50ns INFO [00005616] Port=0 WR @13=6DC6ABDF
5614.50ns INFO [00005616] Port=1 RD @0B
5615.50ns INFO [00005617] * RD COMPARE * port=0 adr=1A act=BB1A4E30 exp=BB1A4E30
5616.50ns INFO [00005618] * RD COMPARE * port=1 adr=0B act=F24A01AF exp=F24A01AF
5616.50ns INFO [00005618] Port=0 WR @16=0F4E1F8F
5618.50ns INFO [00005620] Port=1 RD @0C
5619.50ns INFO [00005621] Port=0 WR @0F=0E4CA6AB
5619.50ns INFO [00005621] Port=1 RD @14
5620.50ns INFO [00005622] * RD COMPARE * port=1 adr=0C act=DA9C6427 exp=DA9C6427
5620.50ns INFO [00005622] Port=0 RD @17
5621.50ns INFO [00005623] * RD COMPARE * port=1 adr=14 act=1F146486 exp=1F146486
5621.50ns INFO [00005623] Port=0 WR @1E=AAD6DE2F
5621.50ns INFO [00005623] Port=0 RD @1B
5621.50ns INFO [00005623] Port=1 RD @10
5622.50ns INFO [00005624] * RD COMPARE * port=0 adr=17 act=914819B2 exp=914819B2
5623.50ns INFO [00005625] * RD COMPARE * port=0 adr=1B act=B5858A7C exp=B5858A7C
5623.50ns INFO [00005625] * RD COMPARE * port=1 adr=10 act=CF38B13B exp=CF38B13B
5623.50ns INFO [00005625] Port=0 WR @0E=4CA2FB5C
5623.50ns INFO [00005625] Port=0 RD @1F
5624.50ns INFO [00005626] Port=0 RD @1C
5625.50ns INFO [00005627] * RD COMPARE * port=0 adr=1F act=E5A9F032 exp=E5A9F032
5625.50ns INFO [00005627] Port=1 RD @0E
5626.50ns INFO [00005628] * RD COMPARE * port=0 adr=1C act=B7A0545F exp=B7A0545F
5627.50ns INFO [00005629] * RD COMPARE * port=1 adr=0E act=4CA2FB5C exp=4CA2FB5C
5627.50ns INFO [00005629] Port=1 RD @00
5628.50ns INFO [00005630] Port=0 WR @14=F9C8BF9B
5628.50ns INFO [00005630] Port=1 RD @0F
5629.50ns INFO [00005631] * RD COMPARE * port=1 adr=00 act=8A0BEB6F exp=8A0BEB6F
5629.50ns INFO [00005631] Port=0 WR @11=711291D4
5630.50ns INFO [00005632] * RD COMPARE * port=1 adr=0F act=0E4CA6AB exp=0E4CA6AB
5630.50ns INFO [00005632] Port=0 WR @08=3CDB2E46
5631.50ns INFO [00005633] Port=1 RD @1B
5632.50ns INFO [00005634] Port=0 WR @1D=6B06FEDF
5633.50ns INFO [00005635] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C
5633.50ns INFO [00005635] Port=0 WR @0A=8809B9E9
5633.50ns INFO [00005635] Port=0 RD @08
5634.50ns INFO [00005636] Port=0 WR @1A=375D17B3
5635.50ns INFO [00005637] * RD COMPARE * port=0 adr=08 act=3CDB2E46 exp=3CDB2E46
5635.50ns INFO [00005637] Port=0 WR @0E=D8D25CDF
5636.50ns INFO [00005638] Port=1 RD @1D
5638.50ns INFO [00005640] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF
5639.50ns INFO [00005641] Port=0 RD @1A
5640.50ns INFO [00005642] Port=0 WR @17=0E96CFE3
5641.50ns INFO [00005643] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5641.50ns INFO [00005643] Port=0 RD @0E
5642.50ns INFO [00005644] Port=0 WR @00=05345864
5643.50ns INFO [00005645] * RD COMPARE * port=0 adr=0E act=D8D25CDF exp=D8D25CDF
5644.50ns INFO [00005646] Port=0 WR @08=073196FB
5644.50ns INFO [00005646] Port=0 RD @13
5646.50ns INFO [00005648] * RD COMPARE * port=0 adr=13 act=6DC6ABDF exp=6DC6ABDF
5646.50ns INFO [00005648] Port=0 WR @07=64C24796
5646.50ns INFO [00005648] Port=0 RD @1E
5647.50ns INFO [00005649] Port=0 RD @0B
5648.50ns INFO [00005650] * RD COMPARE * port=0 adr=1E act=AAD6DE2F exp=AAD6DE2F
5649.50ns INFO [00005651] * RD COMPARE * port=0 adr=0B act=F24A01AF exp=F24A01AF
5649.50ns INFO [00005651] Port=0 RD @05
5649.50ns INFO [00005651] Port=1 RD @1B
5650.50ns INFO [00005652] Port=1 RD @03
5651.50ns INFO [00005653] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF
5651.50ns INFO [00005653] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C
5651.50ns INFO [00005653] Port=0 RD @1E
5651.50ns INFO [00005653] Port=1 RD @08
5652.50ns INFO [00005654] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC
5652.50ns INFO [00005654] Port=0 WR @1E=2BCBD73E
5652.50ns INFO [00005654] Port=0 RD @17
5652.50ns INFO [00005654] Port=1 RD @1B
5653.50ns INFO [00005655] * RD COMPARE * port=0 adr=1E act=AAD6DE2F exp=AAD6DE2F
5653.50ns INFO [00005655] * RD COMPARE * port=1 adr=08 act=073196FB exp=073196FB
5653.50ns INFO [00005655] Port=0 RD @07
5653.50ns INFO [00005655] Port=1 RD @01
5654.50ns INFO [00005656] * RD COMPARE * port=0 adr=17 act=0E96CFE3 exp=0E96CFE3
5654.50ns INFO [00005656] * RD COMPARE * port=1 adr=1B act=B5858A7C exp=B5858A7C
5654.50ns INFO [00005656] Port=0 RD @0F
5654.50ns INFO [00005656] Port=1 RD @1D
5655.50ns INFO [00005657] * RD COMPARE * port=0 adr=07 act=64C24796 exp=64C24796
5655.50ns INFO [00005657] * RD COMPARE * port=1 adr=01 act=F1EA5850 exp=F1EA5850
5655.50ns INFO [00005657] Port=0 WR @10=6525DF20
5655.50ns INFO [00005657] Port=1 RD @17
5656.50ns INFO [00005658] * RD COMPARE * port=0 adr=0F act=0E4CA6AB exp=0E4CA6AB
5656.50ns INFO [00005658] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF
5656.50ns INFO [00005658] Port=0 WR @1C=68FFFDDC
5657.50ns INFO [00005659] * RD COMPARE * port=1 adr=17 act=0E96CFE3 exp=0E96CFE3
5657.50ns INFO [00005659] Port=0 WR @04=01B308D6
5657.50ns INFO [00005659] Port=1 RD @12
5658.50ns INFO [00005660] Port=0 RD @0F
5659.50ns INFO [00005661] * RD COMPARE * port=1 adr=12 act=472E1AC4 exp=472E1AC4
5660.50ns INFO [00005662] * RD COMPARE * port=0 adr=0F act=0E4CA6AB exp=0E4CA6AB
5660.50ns INFO [00005662] Port=1 RD @0D
5661.50ns INFO [00005663] Port=0 WR @01=D3E5C3D7
5662.50ns INFO [00005664] * RD COMPARE * port=1 adr=0D act=A23C3B5F exp=A23C3B5F
5662.50ns INFO [00005664] Port=0 WR @11=426E07E3
5663.50ns INFO [00005665] Port=0 WR @17=35E560CE
5664.50ns INFO [00005666] Port=0 WR @1F=182BCEB0
5664.50ns INFO [00005666] Port=1 RD @07
5665.50ns INFO [00005667] Port=0 WR @0B=8D932B4C
5665.50ns INFO [00005667] Port=0 RD @1E
5666.50ns INFO [00005668] * RD COMPARE * port=1 adr=07 act=64C24796 exp=64C24796
5667.50ns INFO [00005669] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E
5667.50ns INFO [00005669] Port=0 WR @0D=574CEF3D
5667.50ns INFO [00005669] Port=0 RD @1D
5668.50ns INFO [00005670] Port=0 WR @08=CF1F4686
5669.50ns INFO [00005671] * RD COMPARE * port=0 adr=1D act=6B06FEDF exp=6B06FEDF
5669.50ns INFO [00005671] Port=1 RD @0D
5670.50ns INFO [00005672] Port=1 RD @15
5671.50ns INFO [00005673] * RD COMPARE * port=1 adr=0D act=574CEF3D exp=574CEF3D
5671.50ns INFO [00005673] Port=1 RD @1E
5672.50ns INFO [00005674] * RD COMPARE * port=1 adr=15 act=B5D84A88 exp=B5D84A88
5672.50ns INFO [00005674] Port=1 RD @0B
5673.50ns INFO [00005675] * RD COMPARE * port=1 adr=1E act=2BCBD73E exp=2BCBD73E
5673.50ns INFO [00005675] Port=0 RD @0C
5673.50ns INFO [00005675] Port=1 RD @18
5674.50ns INFO [00005676] * RD COMPARE * port=1 adr=0B act=8D932B4C exp=8D932B4C
5674.50ns INFO [00005676] Port=0 WR @17=D54F08D4
5674.50ns INFO [00005676] Port=0 RD @1E
5674.50ns INFO [00005676] Port=1 RD @06
5675.50ns INFO [00005677] * RD COMPARE * port=0 adr=0C act=DA9C6427 exp=DA9C6427
5675.50ns INFO [00005677] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5675.50ns INFO [00005677] Port=0 WR @13=F0BCDD88
5676.50ns INFO [00005678] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E
5676.50ns INFO [00005678] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4
5676.50ns INFO [00005678] Port=1 RD @04
5677.50ns INFO [00005679] Port=0 RD @1E
5678.50ns INFO [00005680] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6
5678.50ns INFO [00005680] Port=0 WR @1C=03C5420C
5678.50ns INFO [00005680] Port=0 RD @01
5678.50ns INFO [00005680] Port=1 RD @1D
5679.50ns INFO [00005681] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E
5679.50ns INFO [00005681] Port=0 WR @17=E3324224
5680.50ns INFO [00005682] * RD COMPARE * port=0 adr=01 act=D3E5C3D7 exp=D3E5C3D7
5680.50ns INFO [00005682] * RD COMPARE * port=1 adr=1D act=6B06FEDF exp=6B06FEDF
5680.50ns INFO [00005682] Port=0 WR @0F=20474481
5680.50ns INFO [00005682] Port=0 RD @1F
5681.50ns INFO [00005683] Port=1 RD @16
5682.50ns INFO [00005684] * RD COMPARE * port=0 adr=1F act=182BCEB0 exp=182BCEB0
5683.50ns INFO [00005685] * RD COMPARE * port=1 adr=16 act=0F4E1F8F exp=0F4E1F8F
5684.50ns INFO [00005686] Port=0 WR @1D=DBB24E9D
5684.50ns INFO [00005686] Port=1 RD @17
5685.50ns INFO [00005687] Port=0 WR @0E=1ECC7112
5685.50ns INFO [00005687] Port=0 RD @0B
5686.50ns INFO [00005688] * RD COMPARE * port=1 adr=17 act=E3324224 exp=E3324224
5686.50ns INFO [00005688] Port=1 RD @03
5687.50ns INFO [00005689] * RD COMPARE * port=0 adr=0B act=8D932B4C exp=8D932B4C
5688.50ns INFO [00005690] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC
5691.50ns INFO [00005693] Port=0 RD @1A
5692.50ns INFO [00005694] Port=0 RD @15
5692.50ns INFO [00005694] Port=1 RD @11
5693.50ns INFO [00005695] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5693.50ns INFO [00005695] Port=1 RD @01
5694.50ns INFO [00005696] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88
5694.50ns INFO [00005696] * RD COMPARE * port=1 adr=11 act=426E07E3 exp=426E07E3
5694.50ns INFO [00005696] Port=0 RD @16
5694.50ns INFO [00005696] Port=1 RD @13
5695.50ns INFO [00005697] * RD COMPARE * port=1 adr=01 act=D3E5C3D7 exp=D3E5C3D7
5695.50ns INFO [00005697] Port=0 RD @13
5695.50ns INFO [00005697] Port=1 RD @16
5696.50ns INFO [00005698] * RD COMPARE * port=0 adr=16 act=0F4E1F8F exp=0F4E1F8F
5696.50ns INFO [00005698] * RD COMPARE * port=1 adr=13 act=F0BCDD88 exp=F0BCDD88
5696.50ns INFO [00005698] Port=0 RD @06
5697.50ns INFO [00005699] * RD COMPARE * port=0 adr=13 act=F0BCDD88 exp=F0BCDD88
5697.50ns INFO [00005699] * RD COMPARE * port=1 adr=16 act=0F4E1F8F exp=0F4E1F8F
5698.00ns INFO [00005700] [00005700] ...tick...
5698.50ns INFO [00005700] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5698.50ns INFO [00005700] Port=0 WR @01=BEBB69D7
5698.50ns INFO [00005700] Port=0 RD @06
5699.50ns INFO [00005701] Port=0 WR @13=E5948AEF
5699.50ns INFO [00005701] Port=0 RD @1A
5699.50ns INFO [00005701] Port=1 RD @19
5700.50ns INFO [00005702] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5700.50ns INFO [00005702] Port=0 RD @05
5700.50ns INFO [00005702] Port=1 RD @08
5701.50ns INFO [00005703] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5701.50ns INFO [00005703] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5701.50ns INFO [00005703] Port=0 WR @13=CE753BBA
5701.50ns INFO [00005703] Port=0 RD @1F
5702.50ns INFO [00005704] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF
5702.50ns INFO [00005704] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686
5702.50ns INFO [00005704] Port=1 RD @1F
5703.50ns INFO [00005705] * RD COMPARE * port=0 adr=1F act=182BCEB0 exp=182BCEB0
5703.50ns INFO [00005705] Port=1 RD @1D
5704.50ns INFO [00005706] * RD COMPARE * port=1 adr=1F act=182BCEB0 exp=182BCEB0
5704.50ns INFO [00005706] Port=0 WR @10=B07CE1CA
5704.50ns INFO [00005706] Port=0 RD @15
5704.50ns INFO [00005706] Port=1 RD @03
5705.50ns INFO [00005707] * RD COMPARE * port=1 adr=1D act=DBB24E9D exp=DBB24E9D
5706.50ns INFO [00005708] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88
5706.50ns INFO [00005708] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC
5706.50ns INFO [00005708] Port=1 RD @19
5707.50ns INFO [00005709] Port=0 RD @14
5708.50ns INFO [00005710] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5708.50ns INFO [00005710] Port=0 WR @1C=7DAA3C9F
5708.50ns INFO [00005710] Port=0 RD @10
5708.50ns INFO [00005710] Port=1 RD @17
5709.50ns INFO [00005711] * RD COMPARE * port=0 adr=14 act=F9C8BF9B exp=F9C8BF9B
5709.50ns INFO [00005711] Port=0 WR @01=9D66AB45
5709.50ns INFO [00005711] Port=0 RD @15
5710.50ns INFO [00005712] * RD COMPARE * port=0 adr=10 act=B07CE1CA exp=B07CE1CA
5710.50ns INFO [00005712] * RD COMPARE * port=1 adr=17 act=E3324224 exp=E3324224
5710.50ns INFO [00005712] Port=0 WR @1F=3947A34B
5710.50ns INFO [00005712] Port=1 RD @14
5711.50ns INFO [00005713] * RD COMPARE * port=0 adr=15 act=B5D84A88 exp=B5D84A88
5711.50ns INFO [00005713] Port=0 WR @07=7D6D2031
5711.50ns INFO [00005713] Port=0 RD @13
5711.50ns INFO [00005713] Port=1 RD @1F
5712.50ns INFO [00005714] * RD COMPARE * port=1 adr=14 act=F9C8BF9B exp=F9C8BF9B
5712.50ns INFO [00005714] Port=0 RD @0F
5713.50ns INFO [00005715] * RD COMPARE * port=0 adr=13 act=CE753BBA exp=CE753BBA
5713.50ns INFO [00005715] * RD COMPARE * port=1 adr=1F act=3947A34B exp=3947A34B
5713.50ns INFO [00005715] Port=0 WR @11=F6D96055
5713.50ns INFO [00005715] Port=1 RD @1F
5714.50ns INFO [00005716] * RD COMPARE * port=0 adr=0F act=20474481 exp=20474481
5714.50ns INFO [00005716] Port=1 RD @1C
5715.50ns INFO [00005717] * RD COMPARE * port=1 adr=1F act=3947A34B exp=3947A34B
5716.50ns INFO [00005718] * RD COMPARE * port=1 adr=1C act=7DAA3C9F exp=7DAA3C9F
5716.50ns INFO [00005718] Port=0 RD @11
5718.50ns INFO [00005720] * RD COMPARE * port=0 adr=11 act=F6D96055 exp=F6D96055
5718.50ns INFO [00005720] Port=1 RD @10
5719.50ns INFO [00005721] Port=0 RD @00
5720.50ns INFO [00005722] * RD COMPARE * port=1 adr=10 act=B07CE1CA exp=B07CE1CA
5720.50ns INFO [00005722] Port=0 WR @1C=9B8510FC
5720.50ns INFO [00005722] Port=1 RD @03
5721.50ns INFO [00005723] * RD COMPARE * port=0 adr=00 act=05345864 exp=05345864
5721.50ns INFO [00005723] Port=0 WR @07=46BBD368
5721.50ns INFO [00005723] Port=1 RD @03
5722.50ns INFO [00005724] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC
5722.50ns INFO [00005724] Port=0 RD @11
5722.50ns INFO [00005724] Port=1 RD @14
5723.50ns INFO [00005725] * RD COMPARE * port=1 adr=03 act=2F3E8BDC exp=2F3E8BDC
5723.50ns INFO [00005725] Port=0 RD @1A
5723.50ns INFO [00005725] Port=1 RD @05
5724.50ns INFO [00005726] * RD COMPARE * port=0 adr=11 act=F6D96055 exp=F6D96055
5724.50ns INFO [00005726] * RD COMPARE * port=1 adr=14 act=F9C8BF9B exp=F9C8BF9B
5724.50ns INFO [00005726] Port=0 RD @10
5725.50ns INFO [00005727] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5725.50ns INFO [00005727] * RD COMPARE * port=1 adr=05 act=9C6F3AAF exp=9C6F3AAF
5726.50ns INFO [00005728] * RD COMPARE * port=0 adr=10 act=B07CE1CA exp=B07CE1CA
5727.50ns INFO [00005729] Port=1 RD @19
5728.50ns INFO [00005730] Port=0 WR @14=7D6B3701
5728.50ns INFO [00005730] Port=1 RD @1C
5729.50ns INFO [00005731] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5729.50ns INFO [00005731] Port=0 WR @1B=49945BE1
5730.50ns INFO [00005732] * RD COMPARE * port=1 adr=1C act=9B8510FC exp=9B8510FC
5730.50ns INFO [00005732] Port=1 RD @08
5731.50ns INFO [00005733] Port=0 WR @15=C10740EA
5731.50ns INFO [00005733] Port=1 RD @1C
5732.50ns INFO [00005734] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686
5732.50ns INFO [00005734] Port=0 WR @0B=B09E6D78
5732.50ns INFO [00005734] Port=0 RD @0E
5733.50ns INFO [00005735] * RD COMPARE * port=1 adr=1C act=9B8510FC exp=9B8510FC
5733.50ns INFO [00005735] Port=0 WR @15=43B6552C
5734.50ns INFO [00005736] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5734.50ns INFO [00005736] Port=0 WR @16=5AA81673
5734.50ns INFO [00005736] Port=1 RD @09
5735.50ns INFO [00005737] Port=0 RD @18
5736.50ns INFO [00005738] * RD COMPARE * port=1 adr=09 act=DC85BD2B exp=DC85BD2B
5736.50ns INFO [00005738] Port=1 RD @0B
5737.50ns INFO [00005739] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5737.50ns INFO [00005739] Port=0 WR @1F=688BFC55
5737.50ns INFO [00005739] Port=0 RD @03
5738.50ns INFO [00005740] * RD COMPARE * port=1 adr=0B act=B09E6D78 exp=B09E6D78
5739.50ns INFO [00005741] * RD COMPARE * port=0 adr=03 act=2F3E8BDC exp=2F3E8BDC
5741.50ns INFO [00005743] Port=0 WR @03=7518F9FB
5741.50ns INFO [00005743] Port=0 RD @07
5741.50ns INFO [00005743] Port=1 RD @13
5742.50ns INFO [00005744] Port=0 WR @16=00EB6718
5742.50ns INFO [00005744] Port=0 RD @04
5742.50ns INFO [00005744] Port=1 RD @18
5743.50ns INFO [00005745] * RD COMPARE * port=0 adr=07 act=46BBD368 exp=46BBD368
5743.50ns INFO [00005745] * RD COMPARE * port=1 adr=13 act=CE753BBA exp=CE753BBA
5743.50ns INFO [00005745] Port=0 RD @1F
5744.50ns INFO [00005746] * RD COMPARE * port=0 adr=04 act=01B308D6 exp=01B308D6
5744.50ns INFO [00005746] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5744.50ns INFO [00005746] Port=0 WR @1C=B4516225
5744.50ns INFO [00005746] Port=0 RD @0D
5745.50ns INFO [00005747] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55
5745.50ns INFO [00005747] Port=1 RD @1C
5746.50ns INFO [00005748] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D
5747.50ns INFO [00005749] * RD COMPARE * port=1 adr=1C act=B4516225 exp=B4516225
5748.50ns INFO [00005750] Port=0 RD @1A
5749.50ns INFO [00005751] Port=1 RD @01
5750.50ns INFO [00005752] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5750.50ns INFO [00005752] Port=0 WR @01=D891C732
5750.50ns INFO [00005752] Port=0 RD @0E
5750.50ns INFO [00005752] Port=1 RD @16
5751.50ns INFO [00005753] * RD COMPARE * port=1 adr=01 act=9D66AB45 exp=9D66AB45
5751.50ns INFO [00005753] Port=0 WR @03=3846EA24
5751.50ns INFO [00005753] Port=1 RD @19
5752.50ns INFO [00005754] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5752.50ns INFO [00005754] * RD COMPARE * port=1 adr=16 act=00EB6718 exp=00EB6718
5752.50ns INFO [00005754] Port=0 WR @01=BD543A2C
5752.50ns INFO [00005754] Port=0 RD @18
5752.50ns INFO [00005754] Port=1 RD @10
5753.50ns INFO [00005755] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5753.50ns INFO [00005755] Port=1 RD @0E
5754.50ns INFO [00005756] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5754.50ns INFO [00005756] * RD COMPARE * port=1 adr=10 act=B07CE1CA exp=B07CE1CA
5754.50ns INFO [00005756] Port=0 WR @12=38189AD3
5755.50ns INFO [00005757] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112
5756.50ns INFO [00005758] Port=0 WR @15=561F12EB
5758.50ns INFO [00005760] Port=0 WR @11=5F271149
5758.50ns INFO [00005760] Port=0 RD @06
5759.50ns INFO [00005761] Port=0 WR @1C=800C55CD
5759.50ns INFO [00005761] Port=1 RD @1B
5760.50ns INFO [00005762] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5760.50ns INFO [00005762] Port=1 RD @0C
5761.50ns INFO [00005763] * RD COMPARE * port=1 adr=1B act=49945BE1 exp=49945BE1
5762.50ns INFO [00005764] * RD COMPARE * port=1 adr=0C act=DA9C6427 exp=DA9C6427
5762.50ns INFO [00005764] Port=0 WR @12=A374B199
5762.50ns INFO [00005764] Port=1 RD @15
5763.50ns INFO [00005765] Port=0 RD @1F
5764.50ns INFO [00005766] * RD COMPARE * port=1 adr=15 act=561F12EB exp=561F12EB
5764.50ns INFO [00005766] Port=0 WR @09=923CB9F2
5764.50ns INFO [00005766] Port=0 RD @1C
5765.50ns INFO [00005767] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55
5765.50ns INFO [00005767] Port=0 RD @1F
5766.50ns INFO [00005768] * RD COMPARE * port=0 adr=1C act=800C55CD exp=800C55CD
5766.50ns INFO [00005768] Port=0 WR @0B=083DC4AB
5766.50ns INFO [00005768] Port=1 RD @1D
5767.50ns INFO [00005769] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55
5767.50ns INFO [00005769] Port=0 WR @02=B5DC4A4D
5768.50ns INFO [00005770] * RD COMPARE * port=1 adr=1D act=DBB24E9D exp=DBB24E9D
5768.50ns INFO [00005770] Port=0 WR @1C=07378AFF
5768.50ns INFO [00005770] Port=0 RD @15
5769.50ns INFO [00005771] Port=0 WR @1B=4C8CEE95
5770.50ns INFO [00005772] * RD COMPARE * port=0 adr=15 act=561F12EB exp=561F12EB
5770.50ns INFO [00005772] Port=0 WR @11=D4F50E07
5771.50ns INFO [00005773] Port=0 WR @13=1BC6396B
5771.50ns INFO [00005773] Port=1 RD @19
5772.50ns INFO [00005774] Port=1 RD @00
5773.50ns INFO [00005775] * RD COMPARE * port=1 adr=19 act=24C11182 exp=24C11182
5774.50ns INFO [00005776] * RD COMPARE * port=1 adr=00 act=05345864 exp=05345864
5774.50ns INFO [00005776] Port=0 WR @0F=E409752D
5775.50ns INFO [00005777] Port=0 RD @1F
5777.50ns INFO [00005779] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55
5778.50ns INFO [00005780] Port=0 WR @0C=BAB4D77D
5778.50ns INFO [00005780] Port=1 RD @08
5780.50ns INFO [00005782] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686
5781.50ns INFO [00005783] Port=0 WR @11=9AE9E49A
5781.50ns INFO [00005783] Port=1 RD @07
5783.50ns INFO [00005785] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368
5786.50ns INFO [00005788] Port=0 RD @08
5787.50ns INFO [00005789] Port=1 RD @04
5788.50ns INFO [00005790] * RD COMPARE * port=0 adr=08 act=CF1F4686 exp=CF1F4686
5788.50ns INFO [00005790] Port=0 RD @0F
5788.50ns INFO [00005790] Port=1 RD @08
5789.50ns INFO [00005791] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6
5790.50ns INFO [00005792] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D
5790.50ns INFO [00005792] * RD COMPARE * port=1 adr=08 act=CF1F4686 exp=CF1F4686
5791.50ns INFO [00005793] Port=0 RD @05
5792.50ns INFO [00005794] Port=0 WR @09=75646939
5793.50ns INFO [00005795] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF
5793.50ns INFO [00005795] Port=0 RD @06
5793.50ns INFO [00005795] Port=1 RD @0C
5795.50ns INFO [00005797] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5795.50ns INFO [00005797] * RD COMPARE * port=1 adr=0C act=BAB4D77D exp=BAB4D77D
5795.50ns INFO [00005797] Port=0 WR @1C=F1E23F9E
5795.50ns INFO [00005797] Port=0 RD @11
5796.50ns INFO [00005798] Port=0 WR @15=01D8CA26
5796.50ns INFO [00005798] Port=1 RD @13
5797.50ns INFO [00005799] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5797.50ns INFO [00005799] Port=0 WR @10=B1287B61
5797.50ns INFO [00005799] Port=1 RD @11
5798.00ns INFO [00005800] [00005800] ...tick...
5798.50ns INFO [00005800] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B
5798.50ns INFO [00005800] Port=0 WR @08=69871736
5798.50ns INFO [00005800] Port=1 RD @04
5799.50ns INFO [00005801] * RD COMPARE * port=1 adr=11 act=9AE9E49A exp=9AE9E49A
5799.50ns INFO [00005801] Port=0 RD @05
5800.50ns INFO [00005802] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6
5800.50ns INFO [00005802] Port=0 WR @08=7A9ED4E1
5800.50ns INFO [00005802] Port=0 RD @0B
5801.50ns INFO [00005803] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF
5801.50ns INFO [00005803] Port=0 RD @1E
5802.50ns INFO [00005804] * RD COMPARE * port=0 adr=0B act=083DC4AB exp=083DC4AB
5802.50ns INFO [00005804] Port=0 WR @00=EF910152
5802.50ns INFO [00005804] Port=0 RD @0D
5802.50ns INFO [00005804] Port=1 RD @1C
5803.50ns INFO [00005805] * RD COMPARE * port=0 adr=1E act=2BCBD73E exp=2BCBD73E
5804.50ns INFO [00005806] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D
5804.50ns INFO [00005806] * RD COMPARE * port=1 adr=1C act=F1E23F9E exp=F1E23F9E
5805.50ns INFO [00005807] Port=0 WR @09=0D157DA1
5805.50ns INFO [00005807] Port=0 RD @10
5807.50ns INFO [00005809] * RD COMPARE * port=0 adr=10 act=B1287B61 exp=B1287B61
5807.50ns INFO [00005809] Port=0 WR @14=A469CB56
5807.50ns INFO [00005809] Port=0 RD @0A
5807.50ns INFO [00005809] Port=1 RD @12
5809.50ns INFO [00005811] * RD COMPARE * port=0 adr=0A act=8809B9E9 exp=8809B9E9
5809.50ns INFO [00005811] * RD COMPARE * port=1 adr=12 act=A374B199 exp=A374B199
5810.50ns INFO [00005812] Port=1 RD @07
5811.50ns INFO [00005813] Port=0 WR @00=6FF8876C
5811.50ns INFO [00005813] Port=0 RD @1F
5811.50ns INFO [00005813] Port=1 RD @02
5812.50ns INFO [00005814] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368
5812.50ns INFO [00005814] Port=1 RD @15
5813.50ns INFO [00005815] * RD COMPARE * port=0 adr=1F act=688BFC55 exp=688BFC55
5813.50ns INFO [00005815] * RD COMPARE * port=1 adr=02 act=B5DC4A4D exp=B5DC4A4D
5813.50ns INFO [00005815] Port=0 RD @05
5814.50ns INFO [00005816] * RD COMPARE * port=1 adr=15 act=01D8CA26 exp=01D8CA26
5814.50ns INFO [00005816] Port=0 WR @1E=96EF283B
5814.50ns INFO [00005816] Port=0 RD @08
5815.50ns INFO [00005817] * RD COMPARE * port=0 adr=05 act=9C6F3AAF exp=9C6F3AAF
5815.50ns INFO [00005817] Port=0 RD @0D
5816.50ns INFO [00005818] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5816.50ns INFO [00005818] Port=0 WR @16=D639226A
5816.50ns INFO [00005818] Port=0 RD @0F
5817.50ns INFO [00005819] * RD COMPARE * port=0 adr=0D act=574CEF3D exp=574CEF3D
5817.50ns INFO [00005819] Port=0 WR @1C=B40E7CEB
5817.50ns INFO [00005819] Port=0 RD @0E
5817.50ns INFO [00005819] Port=1 RD @0F
5818.50ns INFO [00005820] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D
5818.50ns INFO [00005820] Port=0 WR @00=52860905
5818.50ns INFO [00005820] Port=0 RD @0E
5818.50ns INFO [00005820] Port=1 RD @1F
5819.50ns INFO [00005821] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5819.50ns INFO [00005821] * RD COMPARE * port=1 adr=0F act=E409752D exp=E409752D
5819.50ns INFO [00005821] Port=1 RD @18
5820.50ns INFO [00005822] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5820.50ns INFO [00005822] * RD COMPARE * port=1 adr=1F act=688BFC55 exp=688BFC55
5820.50ns INFO [00005822] Port=1 RD @0E
5821.50ns INFO [00005823] * RD COMPARE * port=1 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5822.50ns INFO [00005824] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112
5822.50ns INFO [00005824] Port=1 RD @1B
5823.50ns INFO [00005825] Port=0 WR @14=98E022E8
5823.50ns INFO [00005825] Port=1 RD @09
5824.50ns INFO [00005826] * RD COMPARE * port=1 adr=1B act=4C8CEE95 exp=4C8CEE95
5824.50ns INFO [00005826] Port=0 WR @01=FE314F87
5825.50ns INFO [00005827] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1
5825.50ns INFO [00005827] Port=0 WR @0C=9DF0CBDF
5825.50ns INFO [00005827] Port=0 RD @19
5827.50ns INFO [00005829] * RD COMPARE * port=0 adr=19 act=24C11182 exp=24C11182
5828.50ns INFO [00005830] Port=0 RD @08
5828.50ns INFO [00005830] Port=1 RD @0F
5829.50ns INFO [00005831] Port=0 WR @10=ABFF3465
5829.50ns INFO [00005831] Port=1 RD @0B
5830.50ns INFO [00005832] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5830.50ns INFO [00005832] * RD COMPARE * port=1 adr=0F act=E409752D exp=E409752D
5831.50ns INFO [00005833] * RD COMPARE * port=1 adr=0B act=083DC4AB exp=083DC4AB
5831.50ns INFO [00005833] Port=0 RD @0E
5832.50ns INFO [00005834] Port=0 WR @1C=701D8F70
5833.50ns INFO [00005835] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5833.50ns INFO [00005835] Port=0 WR @02=2A4C6475
5834.50ns INFO [00005836] Port=0 RD @08
5834.50ns INFO [00005836] Port=1 RD @02
5835.50ns INFO [00005837] Port=0 WR @1D=4A3BEB5B
5835.50ns INFO [00005837] Port=1 RD @0A
5836.50ns INFO [00005838] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5836.50ns INFO [00005838] * RD COMPARE * port=1 adr=02 act=2A4C6475 exp=2A4C6475
5836.50ns INFO [00005838] Port=0 WR @0D=54365409
5836.50ns INFO [00005838] Port=0 RD @15
5837.50ns INFO [00005839] * RD COMPARE * port=1 adr=0A act=8809B9E9 exp=8809B9E9
5838.50ns INFO [00005840] * RD COMPARE * port=0 adr=15 act=01D8CA26 exp=01D8CA26
5838.50ns INFO [00005840] Port=0 RD @18
5838.50ns INFO [00005840] Port=1 RD @0B
5839.50ns INFO [00005841] Port=1 RD @04
5840.50ns INFO [00005842] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5840.50ns INFO [00005842] * RD COMPARE * port=1 adr=0B act=083DC4AB exp=083DC4AB
5840.50ns INFO [00005842] Port=0 WR @03=8AD58CD8
5840.50ns INFO [00005842] Port=0 RD @0E
5840.50ns INFO [00005842] Port=1 RD @1E
5841.50ns INFO [00005843] * RD COMPARE * port=1 adr=04 act=01B308D6 exp=01B308D6
5841.50ns INFO [00005843] Port=0 WR @19=41A7B643
5841.50ns INFO [00005843] Port=1 RD @07
5842.50ns INFO [00005844] * RD COMPARE * port=0 adr=0E act=1ECC7112 exp=1ECC7112
5842.50ns INFO [00005844] * RD COMPARE * port=1 adr=1E act=96EF283B exp=96EF283B
5842.50ns INFO [00005844] Port=0 WR @05=1A05FC09
5842.50ns INFO [00005844] Port=0 RD @1A
5843.50ns INFO [00005845] * RD COMPARE * port=1 adr=07 act=46BBD368 exp=46BBD368
5843.50ns INFO [00005845] Port=0 WR @03=0EDF3D3E
5844.50ns INFO [00005846] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5844.50ns INFO [00005846] Port=1 RD @0E
5845.50ns INFO [00005847] Port=0 RD @08
5845.50ns INFO [00005847] Port=1 RD @1C
5846.50ns INFO [00005848] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112
5846.50ns INFO [00005848] Port=0 RD @0B
5847.50ns INFO [00005849] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5847.50ns INFO [00005849] * RD COMPARE * port=1 adr=1C act=701D8F70 exp=701D8F70
5847.50ns INFO [00005849] Port=1 RD @10
5848.50ns INFO [00005850] * RD COMPARE * port=0 adr=0B act=083DC4AB exp=083DC4AB
5848.50ns INFO [00005850] Port=0 RD @1E
5848.50ns INFO [00005850] Port=1 RD @12
5849.50ns INFO [00005851] * RD COMPARE * port=1 adr=10 act=ABFF3465 exp=ABFF3465
5849.50ns INFO [00005851] Port=0 WR @04=49BA032C
5849.50ns INFO [00005851] Port=0 RD @06
5849.50ns INFO [00005851] Port=1 RD @1D
5850.50ns INFO [00005852] * RD COMPARE * port=0 adr=1E act=96EF283B exp=96EF283B
5850.50ns INFO [00005852] * RD COMPARE * port=1 adr=12 act=A374B199 exp=A374B199
5850.50ns INFO [00005852] Port=0 WR @07=7DD963A4
5851.50ns INFO [00005853] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5851.50ns INFO [00005853] * RD COMPARE * port=1 adr=1D act=4A3BEB5B exp=4A3BEB5B
5851.50ns INFO [00005853] Port=0 WR @0B=E6C03F79
5851.50ns INFO [00005853] Port=1 RD @07
5853.50ns INFO [00005855] * RD COMPARE * port=1 adr=07 act=7DD963A4 exp=7DD963A4
5853.50ns INFO [00005855] Port=0 RD @05
5853.50ns INFO [00005855] Port=1 RD @13
5854.50ns INFO [00005856] Port=0 WR @00=9CC86A96
5855.50ns INFO [00005857] * RD COMPARE * port=0 adr=05 act=1A05FC09 exp=1A05FC09
5855.50ns INFO [00005857] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B
5857.50ns INFO [00005859] Port=1 RD @05
5858.50ns INFO [00005860] Port=0 WR @0C=87117D47
5858.50ns INFO [00005860] Port=0 RD @01
5858.50ns INFO [00005860] Port=1 RD @0E
5859.50ns INFO [00005861] * RD COMPARE * port=1 adr=05 act=1A05FC09 exp=1A05FC09
5860.50ns INFO [00005862] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87
5860.50ns INFO [00005862] * RD COMPARE * port=1 adr=0E act=1ECC7112 exp=1ECC7112
5860.50ns INFO [00005862] Port=0 RD @08
5862.50ns INFO [00005864] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5862.50ns INFO [00005864] Port=0 WR @02=19F042DD
5862.50ns INFO [00005864] Port=0 RD @0C
5862.50ns INFO [00005864] Port=1 RD @06
5864.50ns INFO [00005866] * RD COMPARE * port=0 adr=0C act=87117D47 exp=87117D47
5864.50ns INFO [00005866] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4
5866.50ns INFO [00005868] Port=0 RD @04
5867.50ns INFO [00005869] Port=0 WR @1E=A1A81E19
5868.50ns INFO [00005870] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
5869.50ns INFO [00005871] Port=0 WR @0E=02134EB8
5869.50ns INFO [00005871] Port=0 RD @19
5870.50ns INFO [00005872] Port=0 WR @1F=5325B10B
5871.50ns INFO [00005873] * RD COMPARE * port=0 adr=19 act=41A7B643 exp=41A7B643
5871.50ns INFO [00005873] Port=0 WR @07=861C4B07
5871.50ns INFO [00005873] Port=0 RD @19
5872.50ns INFO [00005874] Port=0 RD @00
5872.50ns INFO [00005874] Port=1 RD @04
5873.50ns INFO [00005875] * RD COMPARE * port=0 adr=19 act=41A7B643 exp=41A7B643
5873.50ns INFO [00005875] Port=0 RD @0F
5874.50ns INFO [00005876] * RD COMPARE * port=0 adr=00 act=9CC86A96 exp=9CC86A96
5874.50ns INFO [00005876] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C
5875.50ns INFO [00005877] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D
5875.50ns INFO [00005877] Port=0 WR @12=07BD8226
5876.50ns INFO [00005878] Port=1 RD @0D
5877.50ns INFO [00005879] Port=0 WR @1B=A7F4CD3E
5878.50ns INFO [00005880] * RD COMPARE * port=1 adr=0D act=54365409 exp=54365409
5878.50ns INFO [00005880] Port=0 WR @0D=1E82BED4
5878.50ns INFO [00005880] Port=0 RD @1A
5879.50ns INFO [00005881] Port=0 RD @03
5880.50ns INFO [00005882] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
5880.50ns INFO [00005882] Port=0 RD @11
5880.50ns INFO [00005882] Port=1 RD @0C
5881.50ns INFO [00005883] * RD COMPARE * port=0 adr=03 act=0EDF3D3E exp=0EDF3D3E
5881.50ns INFO [00005883] Port=0 WR @10=041AD8AE
5881.50ns INFO [00005883] Port=0 RD @18
5881.50ns INFO [00005883] Port=1 RD @14
5882.50ns INFO [00005884] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5882.50ns INFO [00005884] * RD COMPARE * port=1 adr=0C act=87117D47 exp=87117D47
5882.50ns INFO [00005884] Port=0 WR @07=F098B69D
5882.50ns INFO [00005884] Port=0 RD @06
5883.50ns INFO [00005885] * RD COMPARE * port=0 adr=18 act=1F8CB1D4 exp=1F8CB1D4
5883.50ns INFO [00005885] * RD COMPARE * port=1 adr=14 act=98E022E8 exp=98E022E8
5883.50ns INFO [00005885] Port=1 RD @06
5884.50ns INFO [00005886] * RD COMPARE * port=0 adr=06 act=B56C53E4 exp=B56C53E4
5884.50ns INFO [00005886] Port=0 WR @18=10E369F6
5884.50ns INFO [00005886] Port=0 RD @08
5884.50ns INFO [00005886] Port=1 RD @0E
5885.50ns INFO [00005887] * RD COMPARE * port=1 adr=06 act=B56C53E4 exp=B56C53E4
5885.50ns INFO [00005887] Port=0 WR @07=CC46885F
5885.50ns INFO [00005887] Port=1 RD @0B
5886.50ns INFO [00005888] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5886.50ns INFO [00005888] * RD COMPARE * port=1 adr=0E act=02134EB8 exp=02134EB8
5886.50ns INFO [00005888] Port=1 RD @00
5887.50ns INFO [00005889] * RD COMPARE * port=1 adr=0B act=E6C03F79 exp=E6C03F79
5887.50ns INFO [00005889] Port=0 WR @16=8229AF39
5887.50ns INFO [00005889] Port=0 RD @11
5888.50ns INFO [00005890] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96
5888.50ns INFO [00005890] Port=0 RD @08
5889.50ns INFO [00005891] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5889.50ns INFO [00005891] Port=0 WR @1C=74FEDB96
5890.50ns INFO [00005892] * RD COMPARE * port=0 adr=08 act=7A9ED4E1 exp=7A9ED4E1
5890.50ns INFO [00005892] Port=0 WR @0C=972B483F
5890.50ns INFO [00005892] Port=0 RD @11
5892.50ns INFO [00005894] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5892.50ns INFO [00005894] Port=1 RD @0C
5893.50ns INFO [00005895] Port=0 WR @1F=0C575E05
5894.50ns INFO [00005896] * RD COMPARE * port=1 adr=0C act=972B483F exp=972B483F
5894.50ns INFO [00005896] Port=1 RD @09
5895.50ns INFO [00005897] Port=0 WR @17=31564360
5895.50ns INFO [00005897] Port=0 RD @1E
5895.50ns INFO [00005897] Port=1 RD @09
5896.50ns INFO [00005898] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1
5896.50ns INFO [00005898] Port=0 WR @10=814851AB
5896.50ns INFO [00005898] Port=0 RD @13
5897.50ns INFO [00005899] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19
5897.50ns INFO [00005899] * RD COMPARE * port=1 adr=09 act=0D157DA1 exp=0D157DA1
5898.00ns INFO [00005900] [00005900] ...tick...
5898.50ns INFO [00005900] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B
5898.50ns INFO [00005900] Port=0 WR @06=5F212BA2
5898.50ns INFO [00005900] Port=1 RD @03
5899.50ns INFO [00005901] Port=0 RD @04
5899.50ns INFO [00005901] Port=1 RD @15
5900.50ns INFO [00005902] * RD COMPARE * port=1 adr=03 act=0EDF3D3E exp=0EDF3D3E
5901.50ns INFO [00005903] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
5901.50ns INFO [00005903] * RD COMPARE * port=1 adr=15 act=01D8CA26 exp=01D8CA26
5901.50ns INFO [00005903] Port=0 RD @0E
5902.50ns INFO [00005904] Port=0 RD @11
5903.50ns INFO [00005905] * RD COMPARE * port=0 adr=0E act=02134EB8 exp=02134EB8
5903.50ns INFO [00005905] Port=0 WR @07=298ABC53
5903.50ns INFO [00005905] Port=0 RD @11
5904.50ns INFO [00005906] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5904.50ns INFO [00005906] Port=0 RD @02
5905.50ns INFO [00005907] * RD COMPARE * port=0 adr=11 act=9AE9E49A exp=9AE9E49A
5906.50ns INFO [00005908] * RD COMPARE * port=0 adr=02 act=19F042DD exp=19F042DD
5906.50ns INFO [00005908] Port=0 RD @05
5908.50ns INFO [00005910] * RD COMPARE * port=0 adr=05 act=1A05FC09 exp=1A05FC09
5908.50ns INFO [00005910] Port=0 WR @03=2E4432D7
5910.50ns INFO [00005912] Port=0 RD @0D
5911.50ns INFO [00005913] Port=0 WR @0D=8EBD323A
5911.50ns INFO [00005913] Port=1 RD @03
5912.50ns INFO [00005914] * RD COMPARE * port=0 adr=0D act=1E82BED4 exp=1E82BED4
5912.50ns INFO [00005914] Port=1 RD @01
5913.50ns INFO [00005915] * RD COMPARE * port=1 adr=03 act=2E4432D7 exp=2E4432D7
5914.50ns INFO [00005916] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5914.50ns INFO [00005916] Port=1 RD @07
5916.50ns INFO [00005918] * RD COMPARE * port=1 adr=07 act=298ABC53 exp=298ABC53
5916.50ns INFO [00005918] Port=0 RD @0B
5916.50ns INFO [00005918] Port=1 RD @01
5917.50ns INFO [00005919] Port=0 WR @19=2CBCA633
5917.50ns INFO [00005919] Port=0 RD @14
5917.50ns INFO [00005919] Port=1 RD @10
5918.50ns INFO [00005920] * RD COMPARE * port=0 adr=0B act=E6C03F79 exp=E6C03F79
5918.50ns INFO [00005920] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5919.50ns INFO [00005921] * RD COMPARE * port=0 adr=14 act=98E022E8 exp=98E022E8
5919.50ns INFO [00005921] * RD COMPARE * port=1 adr=10 act=814851AB exp=814851AB
5919.50ns INFO [00005921] Port=1 RD @00
5921.50ns INFO [00005923] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96
5921.50ns INFO [00005923] Port=0 WR @17=C9B50CF8
5921.50ns INFO [00005923] Port=0 RD @1D
5922.50ns INFO [00005924] Port=1 RD @02
5923.50ns INFO [00005925] * RD COMPARE * port=0 adr=1D act=4A3BEB5B exp=4A3BEB5B
5924.50ns INFO [00005926] * RD COMPARE * port=1 adr=02 act=19F042DD exp=19F042DD
5924.50ns INFO [00005926] Port=0 WR @03=DD72F82E
5924.50ns INFO [00005926] Port=0 RD @0F
5924.50ns INFO [00005926] Port=1 RD @01
5926.50ns INFO [00005928] * RD COMPARE * port=0 adr=0F act=E409752D exp=E409752D
5926.50ns INFO [00005928] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5926.50ns INFO [00005928] Port=0 RD @07
5926.50ns INFO [00005928] Port=1 RD @1A
5928.50ns INFO [00005930] * RD COMPARE * port=0 adr=07 act=298ABC53 exp=298ABC53
5928.50ns INFO [00005930] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3
5929.50ns INFO [00005931] Port=1 RD @17
5930.50ns INFO [00005932] Port=0 RD @19
5930.50ns INFO [00005932] Port=1 RD @13
5931.50ns INFO [00005933] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8
5931.50ns INFO [00005933] Port=0 WR @0C=7134D5B5
5931.50ns INFO [00005933] Port=0 RD @02
5931.50ns INFO [00005933] Port=1 RD @03
5932.50ns INFO [00005934] * RD COMPARE * port=0 adr=19 act=2CBCA633 exp=2CBCA633
5932.50ns INFO [00005934] * RD COMPARE * port=1 adr=13 act=1BC6396B exp=1BC6396B
5932.50ns INFO [00005934] Port=0 WR @07=3DCE1A0C
5932.50ns INFO [00005934] Port=0 RD @0C
5932.50ns INFO [00005934] Port=1 RD @05
5933.50ns INFO [00005935] * RD COMPARE * port=0 adr=02 act=19F042DD exp=19F042DD
5933.50ns INFO [00005935] * RD COMPARE * port=1 adr=03 act=DD72F82E exp=DD72F82E
5933.50ns INFO [00005935] Port=0 WR @06=D8001E20
5934.50ns INFO [00005936] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5
5934.50ns INFO [00005936] * RD COMPARE * port=1 adr=05 act=1A05FC09 exp=1A05FC09
5934.50ns INFO [00005936] Port=1 RD @03
5935.50ns INFO [00005937] Port=0 WR @0B=FA6CB3C7
5935.50ns INFO [00005937] Port=1 RD @00
5936.50ns INFO [00005938] * RD COMPARE * port=1 adr=03 act=DD72F82E exp=DD72F82E
5936.50ns INFO [00005938] Port=0 RD @03
5936.50ns INFO [00005938] Port=1 RD @0D
5937.50ns INFO [00005939] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96
5938.50ns INFO [00005940] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
5938.50ns INFO [00005940] * RD COMPARE * port=1 adr=0D act=8EBD323A exp=8EBD323A
5938.50ns INFO [00005940] Port=0 RD @03
5938.50ns INFO [00005940] Port=1 RD @07
5939.50ns INFO [00005941] Port=0 WR @1B=5FEBDC0C
5939.50ns INFO [00005941] Port=0 RD @13
5939.50ns INFO [00005941] Port=1 RD @19
5940.50ns INFO [00005942] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
5940.50ns INFO [00005942] * RD COMPARE * port=1 adr=07 act=3DCE1A0C exp=3DCE1A0C
5940.50ns INFO [00005942] Port=0 WR @08=F1EAA825
5941.50ns INFO [00005943] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B
5941.50ns INFO [00005943] * RD COMPARE * port=1 adr=19 act=2CBCA633 exp=2CBCA633
5942.50ns INFO [00005944] Port=0 WR @14=4FD0D582
5942.50ns INFO [00005944] Port=1 RD @08
5943.50ns INFO [00005945] Port=0 WR @05=73EC0A38
5943.50ns INFO [00005945] Port=0 RD @1B
5944.50ns INFO [00005946] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825
5945.50ns INFO [00005947] * RD COMPARE * port=0 adr=1B act=5FEBDC0C exp=5FEBDC0C
5945.50ns INFO [00005947] Port=0 RD @01
5946.50ns INFO [00005948] Port=0 WR @0A=54CA9FC8
5946.50ns INFO [00005948] Port=0 RD @16
5946.50ns INFO [00005948] Port=1 RD @1C
5947.50ns INFO [00005949] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87
5947.50ns INFO [00005949] Port=0 RD @15
5948.50ns INFO [00005950] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
5948.50ns INFO [00005950] * RD COMPARE * port=1 adr=1C act=74FEDB96 exp=74FEDB96
5948.50ns INFO [00005950] Port=0 RD @13
5949.50ns INFO [00005951] * RD COMPARE * port=0 adr=15 act=01D8CA26 exp=01D8CA26
5949.50ns INFO [00005951] Port=0 RD @1B
5949.50ns INFO [00005951] Port=1 RD @18
5950.50ns INFO [00005952] * RD COMPARE * port=0 adr=13 act=1BC6396B exp=1BC6396B
5950.50ns INFO [00005952] Port=0 WR @1C=C3160C25
5950.50ns INFO [00005952] Port=0 RD @1E
5951.50ns INFO [00005953] * RD COMPARE * port=0 adr=1B act=5FEBDC0C exp=5FEBDC0C
5951.50ns INFO [00005953] * RD COMPARE * port=1 adr=18 act=10E369F6 exp=10E369F6
5952.50ns INFO [00005954] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19
5952.50ns INFO [00005954] Port=0 RD @04
5952.50ns INFO [00005954] Port=1 RD @0B
5954.50ns INFO [00005956] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
5954.50ns INFO [00005956] * RD COMPARE * port=1 adr=0B act=FA6CB3C7 exp=FA6CB3C7
5954.50ns INFO [00005956] Port=0 RD @0C
5956.50ns INFO [00005958] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5
5956.50ns INFO [00005958] Port=0 WR @19=77E5F63C
5956.50ns INFO [00005958] Port=1 RD @0B
5957.50ns INFO [00005959] Port=0 WR @11=EF59B860
5957.50ns INFO [00005959] Port=0 RD @16
5957.50ns INFO [00005959] Port=1 RD @04
5958.50ns INFO [00005960] * RD COMPARE * port=1 adr=0B act=FA6CB3C7 exp=FA6CB3C7
5958.50ns INFO [00005960] Port=0 RD @01
5958.50ns INFO [00005960] Port=1 RD @1C
5959.50ns INFO [00005961] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
5959.50ns INFO [00005961] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C
5959.50ns INFO [00005961] Port=1 RD @1F
5960.50ns INFO [00005962] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87
5960.50ns INFO [00005962] * RD COMPARE * port=1 adr=1C act=C3160C25 exp=C3160C25
5960.50ns INFO [00005962] Port=0 RD @1E
5961.50ns INFO [00005963] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05
5961.50ns INFO [00005963] Port=0 RD @03
5961.50ns INFO [00005963] Port=1 RD @12
5962.50ns INFO [00005964] * RD COMPARE * port=0 adr=1E act=A1A81E19 exp=A1A81E19
5963.50ns INFO [00005965] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
5963.50ns INFO [00005965] * RD COMPARE * port=1 adr=12 act=07BD8226 exp=07BD8226
5965.50ns INFO [00005967] Port=0 RD @09
5967.50ns INFO [00005969] * RD COMPARE * port=0 adr=09 act=0D157DA1 exp=0D157DA1
5967.50ns INFO [00005969] Port=1 RD @16
5968.50ns INFO [00005970] Port=0 WR @15=81C8C6C8
5969.50ns INFO [00005971] * RD COMPARE * port=1 adr=16 act=8229AF39 exp=8229AF39
5969.50ns INFO [00005971] Port=0 WR @0F=80031F78
5969.50ns INFO [00005971] Port=0 RD @1D
5970.50ns INFO [00005972] Port=0 WR @19=889897A8
5971.50ns INFO [00005973] * RD COMPARE * port=0 adr=1D act=4A3BEB5B exp=4A3BEB5B
5971.50ns INFO [00005973] Port=0 WR @1E=D212BE0E
5971.50ns INFO [00005973] Port=0 RD @07
5972.50ns INFO [00005974] Port=0 RD @08
5972.50ns INFO [00005974] Port=1 RD @17
5973.50ns INFO [00005975] * RD COMPARE * port=0 adr=07 act=3DCE1A0C exp=3DCE1A0C
5973.50ns INFO [00005975] Port=0 WR @11=E8B2AA17
5974.50ns INFO [00005976] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825
5974.50ns INFO [00005976] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8
5974.50ns INFO [00005976] Port=0 WR @02=8B003340
5974.50ns INFO [00005976] Port=1 RD @14
5975.50ns INFO [00005977] Port=0 RD @03
5976.50ns INFO [00005978] * RD COMPARE * port=1 adr=14 act=4FD0D582 exp=4FD0D582
5976.50ns INFO [00005978] Port=0 RD @0E
5976.50ns INFO [00005978] Port=1 RD @05
5977.50ns INFO [00005979] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
5977.50ns INFO [00005979] Port=0 WR @10=D5FC9564
5977.50ns INFO [00005979] Port=1 RD @01
5978.50ns INFO [00005980] * RD COMPARE * port=0 adr=0E act=02134EB8 exp=02134EB8
5978.50ns INFO [00005980] * RD COMPARE * port=1 adr=05 act=73EC0A38 exp=73EC0A38
5978.50ns INFO [00005980] Port=0 WR @1D=71667BF5
5978.50ns INFO [00005980] Port=0 RD @1C
5978.50ns INFO [00005980] Port=1 RD @10
5979.50ns INFO [00005981] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5979.50ns INFO [00005981] Port=0 WR @1C=C67BA65A
5979.50ns INFO [00005981] Port=0 RD @0C
5980.50ns INFO [00005982] * RD COMPARE * port=0 adr=1C act=C3160C25 exp=C3160C25
5980.50ns INFO [00005982] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564
5980.50ns INFO [00005982] Port=0 WR @13=97450AE6
5981.50ns INFO [00005983] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5
5981.50ns INFO [00005983] Port=0 RD @17
5982.50ns INFO [00005984] Port=0 RD @13
5982.50ns INFO [00005984] Port=1 RD @01
5983.50ns INFO [00005985] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
5983.50ns INFO [00005985] Port=0 WR @0E=8EA2F2D5
5983.50ns INFO [00005985] Port=0 RD @08
5984.50ns INFO [00005986] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6
5984.50ns INFO [00005986] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5984.50ns INFO [00005986] Port=0 RD @0A
5985.50ns INFO [00005987] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825
5985.50ns INFO [00005987] Port=0 WR @0D=9FD16918
5985.50ns INFO [00005987] Port=0 RD @1F
5986.50ns INFO [00005988] * RD COMPARE * port=0 adr=0A act=54CA9FC8 exp=54CA9FC8
5986.50ns INFO [00005988] Port=1 RD @01
5987.50ns INFO [00005989] * RD COMPARE * port=0 adr=1F act=0C575E05 exp=0C575E05
5987.50ns INFO [00005989] Port=1 RD @0C
5988.50ns INFO [00005990] * RD COMPARE * port=1 adr=01 act=FE314F87 exp=FE314F87
5988.50ns INFO [00005990] Port=0 WR @14=921111D7
5988.50ns INFO [00005990] Port=1 RD @10
5989.50ns INFO [00005991] * RD COMPARE * port=1 adr=0C act=7134D5B5 exp=7134D5B5
5989.50ns INFO [00005991] Port=0 RD @1D
5990.50ns INFO [00005992] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564
5991.50ns INFO [00005993] * RD COMPARE * port=0 adr=1D act=71667BF5 exp=71667BF5
5991.50ns INFO [00005993] Port=1 RD @19
5992.50ns INFO [00005994] Port=0 RD @1C
5993.50ns INFO [00005995] * RD COMPARE * port=1 adr=19 act=889897A8 exp=889897A8
5993.50ns INFO [00005995] Port=0 RD @09
5993.50ns INFO [00005995] Port=1 RD @06
5994.50ns INFO [00005996] * RD COMPARE * port=0 adr=1C act=C67BA65A exp=C67BA65A
5994.50ns INFO [00005996] Port=0 RD @06
5994.50ns INFO [00005996] Port=1 RD @1A
5995.50ns INFO [00005997] * RD COMPARE * port=0 adr=09 act=0D157DA1 exp=0D157DA1
5995.50ns INFO [00005997] * RD COMPARE * port=1 adr=06 act=D8001E20 exp=D8001E20
5995.50ns INFO [00005997] Port=1 RD @0E
5996.50ns INFO [00005998] * RD COMPARE * port=0 adr=06 act=D8001E20 exp=D8001E20
5996.50ns INFO [00005998] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3
5996.50ns INFO [00005998] Port=0 WR @09=828F5876
5997.50ns INFO [00005999] * RD COMPARE * port=1 adr=0E act=8EA2F2D5 exp=8EA2F2D5
5997.50ns INFO [00005999] Port=0 WR @07=A78C2E51
5998.00ns INFO [00006000] [00006000] ...tick...
5998.50ns INFO [00006000] Port=1 RD @05
6000.50ns INFO [00006002] * RD COMPARE * port=1 adr=05 act=73EC0A38 exp=73EC0A38
6000.50ns INFO [00006002] Port=0 WR @0B=F836350E
6000.50ns INFO [00006002] Port=0 RD @08
6002.50ns INFO [00006004] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825
6002.50ns INFO [00006004] Port=1 RD @0A
6003.50ns INFO [00006005] Port=0 WR @0E=5A28B3A8
6004.50ns INFO [00006006] * RD COMPARE * port=1 adr=0A act=54CA9FC8 exp=54CA9FC8
6004.50ns INFO [00006006] Port=0 RD @0C
6004.50ns INFO [00006006] Port=1 RD @0E
6006.50ns INFO [00006008] * RD COMPARE * port=0 adr=0C act=7134D5B5 exp=7134D5B5
6006.50ns INFO [00006008] * RD COMPARE * port=1 adr=0E act=5A28B3A8 exp=5A28B3A8
6006.50ns INFO [00006008] Port=0 RD @03
6007.50ns INFO [00006009] Port=0 WR @1B=34A71DCB
6007.50ns INFO [00006009] Port=0 RD @12
6008.50ns INFO [00006010] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
6009.50ns INFO [00006011] * RD COMPARE * port=0 adr=12 act=07BD8226 exp=07BD8226
6010.50ns INFO [00006012] Port=0 WR @19=F26972D4
6011.50ns INFO [00006013] Port=0 WR @0A=C9D1DC03
6012.50ns INFO [00006014] Port=0 RD @19
6013.50ns INFO [00006015] Port=0 RD @08
6013.50ns INFO [00006015] Port=1 RD @0A
6014.50ns INFO [00006016] * RD COMPARE * port=0 adr=19 act=F26972D4 exp=F26972D4
6014.50ns INFO [00006016] Port=0 WR @0B=40857E27
6015.50ns INFO [00006017] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825
6015.50ns INFO [00006017] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03
6017.50ns INFO [00006019] Port=0 WR @1B=08EAA2DC
6017.50ns INFO [00006019] Port=0 RD @01
6017.50ns INFO [00006019] Port=1 RD @1F
6018.50ns INFO [00006020] Port=0 WR @19=4CC4CCC8
6018.50ns INFO [00006020] Port=1 RD @10
6019.50ns INFO [00006021] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87
6019.50ns INFO [00006021] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05
6019.50ns INFO [00006021] Port=0 WR @0F=E90B07F0
6019.50ns INFO [00006021] Port=0 RD @04
6019.50ns INFO [00006021] Port=1 RD @1A
6020.50ns INFO [00006022] * RD COMPARE * port=1 adr=10 act=D5FC9564 exp=D5FC9564
6020.50ns INFO [00006022] Port=0 WR @06=5DA2F81A
6020.50ns INFO [00006022] Port=1 RD @1D
6021.50ns INFO [00006023] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6021.50ns INFO [00006023] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3
6021.50ns INFO [00006023] Port=0 RD @04
6021.50ns INFO [00006023] Port=1 RD @12
6022.50ns INFO [00006024] * RD COMPARE * port=1 adr=1D act=71667BF5 exp=71667BF5
6023.50ns INFO [00006025] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6023.50ns INFO [00006025] * RD COMPARE * port=1 adr=12 act=07BD8226 exp=07BD8226
6023.50ns INFO [00006025] Port=0 WR @0F=60F520B7
6023.50ns INFO [00006025] Port=0 RD @14
6023.50ns INFO [00006025] Port=1 RD @1D
6024.50ns INFO [00006026] Port=1 RD @1A
6025.50ns INFO [00006027] * RD COMPARE * port=0 adr=14 act=921111D7 exp=921111D7
6025.50ns INFO [00006027] * RD COMPARE * port=1 adr=1D act=71667BF5 exp=71667BF5
6025.50ns INFO [00006027] Port=0 WR @14=F5204EDC
6025.50ns INFO [00006027] Port=0 RD @03
6026.50ns INFO [00006028] * RD COMPARE * port=1 adr=1A act=375D17B3 exp=375D17B3
6027.50ns INFO [00006029] * RD COMPARE * port=0 adr=03 act=DD72F82E exp=DD72F82E
6027.50ns INFO [00006029] Port=0 RD @16
6028.50ns INFO [00006030] Port=1 RD @1B
6029.50ns INFO [00006031] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
6029.50ns INFO [00006031] Port=0 WR @1D=BD207217
6030.50ns INFO [00006032] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC
6030.50ns INFO [00006032] Port=0 WR @0F=25ADC75B
6030.50ns INFO [00006032] Port=1 RD @14
6032.50ns INFO [00006034] * RD COMPARE * port=1 adr=14 act=F5204EDC exp=F5204EDC
6032.50ns INFO [00006034] Port=0 WR @03=76D4EDAE
6032.50ns INFO [00006034] Port=1 RD @09
6033.50ns INFO [00006035] Port=0 RD @0D
6034.50ns INFO [00006036] * RD COMPARE * port=1 adr=09 act=828F5876 exp=828F5876
6034.50ns INFO [00006036] Port=0 RD @11
6034.50ns INFO [00006036] Port=1 RD @02
6035.50ns INFO [00006037] * RD COMPARE * port=0 adr=0D act=9FD16918 exp=9FD16918
6035.50ns INFO [00006037] Port=1 RD @15
6036.50ns INFO [00006038] * RD COMPARE * port=0 adr=11 act=E8B2AA17 exp=E8B2AA17
6036.50ns INFO [00006038] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340
6036.50ns INFO [00006038] Port=0 RD @07
6037.50ns INFO [00006039] * RD COMPARE * port=1 adr=15 act=81C8C6C8 exp=81C8C6C8
6038.50ns INFO [00006040] * RD COMPARE * port=0 adr=07 act=A78C2E51 exp=A78C2E51
6040.50ns INFO [00006042] Port=0 RD @10
6040.50ns INFO [00006042] Port=1 RD @1F
6041.50ns INFO [00006043] Port=0 WR @05=CC394F4F
6041.50ns INFO [00006043] Port=0 RD @08
6042.50ns INFO [00006044] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564
6042.50ns INFO [00006044] * RD COMPARE * port=1 adr=1F act=0C575E05 exp=0C575E05
6042.50ns INFO [00006044] Port=1 RD @08
6043.50ns INFO [00006045] * RD COMPARE * port=0 adr=08 act=F1EAA825 exp=F1EAA825
6044.50ns INFO [00006046] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825
6044.50ns INFO [00006046] Port=0 WR @19=69C3D215
6044.50ns INFO [00006046] Port=0 RD @01
6044.50ns INFO [00006046] Port=1 RD @0D
6045.50ns INFO [00006047] Port=1 RD @15
6046.50ns INFO [00006048] * RD COMPARE * port=0 adr=01 act=FE314F87 exp=FE314F87
6046.50ns INFO [00006048] * RD COMPARE * port=1 adr=0D act=9FD16918 exp=9FD16918
6047.50ns INFO [00006049] * RD COMPARE * port=1 adr=15 act=81C8C6C8 exp=81C8C6C8
6047.50ns INFO [00006049] Port=0 WR @07=75F7F35D
6047.50ns INFO [00006049] Port=0 RD @19
6047.50ns INFO [00006049] Port=1 RD @1C
6048.50ns INFO [00006050] Port=0 WR @0D=8CFE078F
6048.50ns INFO [00006050] Port=1 RD @1B
6049.50ns INFO [00006051] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215
6049.50ns INFO [00006051] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A
6049.50ns INFO [00006051] Port=0 RD @13
6049.50ns INFO [00006051] Port=1 RD @00
6050.50ns INFO [00006052] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC
6050.50ns INFO [00006052] Port=1 RD @0A
6051.50ns INFO [00006053] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6
6051.50ns INFO [00006053] * RD COMPARE * port=1 adr=00 act=9CC86A96 exp=9CC86A96
6051.50ns INFO [00006053] Port=0 WR @0B=815C980A
6052.50ns INFO [00006054] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03
6052.50ns INFO [00006054] Port=0 RD @19
6052.50ns INFO [00006054] Port=1 RD @02
6053.50ns INFO [00006055] Port=0 WR @12=005A9ACC
6053.50ns INFO [00006055] Port=1 RD @02
6054.50ns INFO [00006056] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215
6054.50ns INFO [00006056] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340
6054.50ns INFO [00006056] Port=0 WR @0F=E2F06FB0
6054.50ns INFO [00006056] Port=1 RD @04
6055.50ns INFO [00006057] * RD COMPARE * port=1 adr=02 act=8B003340 exp=8B003340
6056.50ns INFO [00006058] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C
6056.50ns INFO [00006058] Port=1 RD @0C
6057.50ns INFO [00006059] Port=0 WR @0C=91CE6B72
6057.50ns INFO [00006059] Port=0 RD @1C
6058.50ns INFO [00006060] * RD COMPARE * port=1 adr=0C act=7134D5B5 exp=7134D5B5
6058.50ns INFO [00006060] Port=1 RD @0A
6059.50ns INFO [00006061] * RD COMPARE * port=0 adr=1C act=C67BA65A exp=C67BA65A
6059.50ns INFO [00006061] Port=0 RD @04
6060.50ns INFO [00006062] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03
6060.50ns INFO [00006062] Port=0 WR @1F=B0C9576E
6060.50ns INFO [00006062] Port=1 RD @0C
6061.50ns INFO [00006063] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6061.50ns INFO [00006063] Port=1 RD @07
6062.50ns INFO [00006064] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72
6062.50ns INFO [00006064] Port=0 RD @10
6062.50ns INFO [00006064] Port=1 RD @0B
6063.50ns INFO [00006065] * RD COMPARE * port=1 adr=07 act=75F7F35D exp=75F7F35D
6063.50ns INFO [00006065] Port=1 RD @12
6064.50ns INFO [00006066] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564
6064.50ns INFO [00006066] * RD COMPARE * port=1 adr=0B act=815C980A exp=815C980A
6065.50ns INFO [00006067] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC
6066.50ns INFO [00006068] Port=0 RD @0B
6066.50ns INFO [00006068] Port=1 RD @1D
6067.50ns INFO [00006069] Port=0 RD @0A
6068.50ns INFO [00006070] * RD COMPARE * port=0 adr=0B act=815C980A exp=815C980A
6068.50ns INFO [00006070] * RD COMPARE * port=1 adr=1D act=BD207217 exp=BD207217
6068.50ns INFO [00006070] Port=0 WR @01=1B998866
6069.50ns INFO [00006071] * RD COMPARE * port=0 adr=0A act=C9D1DC03 exp=C9D1DC03
6070.50ns INFO [00006072] Port=0 RD @16
6071.50ns INFO [00006073] Port=0 WR @15=732D5664
6071.50ns INFO [00006073] Port=0 RD @1D
6072.50ns INFO [00006074] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
6072.50ns INFO [00006074] Port=0 WR @0E=B52644BC
6073.50ns INFO [00006075] * RD COMPARE * port=0 adr=1D act=BD207217 exp=BD207217
6073.50ns INFO [00006075] Port=1 RD @0C
6074.50ns INFO [00006076] Port=0 RD @10
6074.50ns INFO [00006076] Port=1 RD @11
6075.50ns INFO [00006077] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72
6075.50ns INFO [00006077] Port=0 WR @05=BB6AC4A5
6075.50ns INFO [00006077] Port=1 RD @1C
6076.50ns INFO [00006078] * RD COMPARE * port=0 adr=10 act=D5FC9564 exp=D5FC9564
6076.50ns INFO [00006078] * RD COMPARE * port=1 adr=11 act=E8B2AA17 exp=E8B2AA17
6076.50ns INFO [00006078] Port=0 WR @14=1DAB7332
6076.50ns INFO [00006078] Port=1 RD @08
6077.50ns INFO [00006079] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A
6078.50ns INFO [00006080] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825
6078.50ns INFO [00006080] Port=0 RD @0F
6079.50ns INFO [00006081] Port=0 WR @1D=3FB46B80
6079.50ns INFO [00006081] Port=0 RD @17
6079.50ns INFO [00006081] Port=1 RD @13
6080.50ns INFO [00006082] * RD COMPARE * port=0 adr=0F act=E2F06FB0 exp=E2F06FB0
6080.50ns INFO [00006082] Port=0 RD @0C
6080.50ns INFO [00006082] Port=1 RD @0C
6081.50ns INFO [00006083] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6081.50ns INFO [00006083] * RD COMPARE * port=1 adr=13 act=97450AE6 exp=97450AE6
6081.50ns INFO [00006083] Port=0 RD @06
6082.50ns INFO [00006084] * RD COMPARE * port=0 adr=0C act=91CE6B72 exp=91CE6B72
6082.50ns INFO [00006084] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72
6082.50ns INFO [00006084] Port=0 RD @03
6083.50ns INFO [00006085] * RD COMPARE * port=0 adr=06 act=5DA2F81A exp=5DA2F81A
6083.50ns INFO [00006085] Port=0 WR @0E=0C6001DD
6083.50ns INFO [00006085] Port=0 RD @04
6084.50ns INFO [00006086] * RD COMPARE * port=0 adr=03 act=76D4EDAE exp=76D4EDAE
6084.50ns INFO [00006086] Port=0 RD @16
6085.50ns INFO [00006087] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6085.50ns INFO [00006087] Port=0 WR @15=9298CA70
6085.50ns INFO [00006087] Port=1 RD @1C
6086.50ns INFO [00006088] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
6087.50ns INFO [00006089] * RD COMPARE * port=1 adr=1C act=C67BA65A exp=C67BA65A
6088.50ns INFO [00006090] Port=0 WR @1C=578B8F56
6088.50ns INFO [00006090] Port=1 RD @1D
6089.50ns INFO [00006091] Port=0 WR @1F=B85DB9C0
6089.50ns INFO [00006091] Port=0 RD @1A
6090.50ns INFO [00006092] * RD COMPARE * port=1 adr=1D act=3FB46B80 exp=3FB46B80
6091.50ns INFO [00006093] * RD COMPARE * port=0 adr=1A act=375D17B3 exp=375D17B3
6092.50ns INFO [00006094] Port=0 WR @1E=070B7FED
6092.50ns INFO [00006094] Port=0 RD @04
6093.50ns INFO [00006095] Port=1 RD @1F
6094.50ns INFO [00006096] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6094.50ns INFO [00006096] Port=0 WR @15=01AAB79C
6094.50ns INFO [00006096] Port=1 RD @19
6095.50ns INFO [00006097] * RD COMPARE * port=1 adr=1F act=B85DB9C0 exp=B85DB9C0
6095.50ns INFO [00006097] Port=0 WR @1D=629A5C92
6096.50ns INFO [00006098] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215
6096.50ns INFO [00006098] Port=0 RD @02
6097.50ns INFO [00006099] Port=0 WR @00=670179E0
6097.50ns INFO [00006099] Port=1 RD @08
6098.00ns INFO [00006100] [00006100] ...tick...
6098.50ns INFO [00006100] * RD COMPARE * port=0 adr=02 act=8B003340 exp=8B003340
6099.50ns INFO [00006101] * RD COMPARE * port=1 adr=08 act=F1EAA825 exp=F1EAA825
6099.50ns INFO [00006101] Port=1 RD @18
6100.50ns INFO [00006102] Port=1 RD @19
6101.50ns INFO [00006103] * RD COMPARE * port=1 adr=18 act=10E369F6 exp=10E369F6
6101.50ns INFO [00006103] Port=0 RD @17
6101.50ns INFO [00006103] Port=1 RD @17
6102.50ns INFO [00006104] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215
6102.50ns INFO [00006104] Port=0 RD @18
6103.50ns INFO [00006105] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6103.50ns INFO [00006105] * RD COMPARE * port=1 adr=17 act=C9B50CF8 exp=C9B50CF8
6104.50ns INFO [00006106] * RD COMPARE * port=0 adr=18 act=10E369F6 exp=10E369F6
6104.50ns INFO [00006106] Port=0 RD @0A
6106.50ns INFO [00006108] * RD COMPARE * port=0 adr=0A act=C9D1DC03 exp=C9D1DC03
6106.50ns INFO [00006108] Port=0 RD @18
6107.50ns INFO [00006109] Port=0 WR @0B=65E626DE
6107.50ns INFO [00006109] Port=0 RD @00
6108.50ns INFO [00006110] * RD COMPARE * port=0 adr=18 act=10E369F6 exp=10E369F6
6108.50ns INFO [00006110] Port=0 WR @02=CC473C50
6108.50ns INFO [00006110] Port=0 RD @14
6108.50ns INFO [00006110] Port=1 RD @04
6109.50ns INFO [00006111] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0
6109.50ns INFO [00006111] Port=0 WR @0D=DEB51A71
6110.50ns INFO [00006112] * RD COMPARE * port=0 adr=14 act=1DAB7332 exp=1DAB7332
6110.50ns INFO [00006112] * RD COMPARE * port=1 adr=04 act=49BA032C exp=49BA032C
6110.50ns INFO [00006112] Port=0 WR @1A=DDAC7637
6111.50ns INFO [00006113] Port=0 RD @13
6112.50ns INFO [00006114] Port=0 WR @18=5B476EFF
6112.50ns INFO [00006114] Port=0 RD @01
6113.50ns INFO [00006115] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6
6113.50ns INFO [00006115] Port=0 WR @0B=D3B9363B
6113.50ns INFO [00006115] Port=0 RD @17
6114.50ns INFO [00006116] * RD COMPARE * port=0 adr=01 act=1B998866 exp=1B998866
6115.50ns INFO [00006117] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6115.50ns INFO [00006117] Port=1 RD @12
6117.50ns INFO [00006119] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC
6117.50ns INFO [00006119] Port=0 RD @12
6117.50ns INFO [00006119] Port=1 RD @0A
6118.50ns INFO [00006120] Port=0 RD @1D
6119.50ns INFO [00006121] * RD COMPARE * port=0 adr=12 act=005A9ACC exp=005A9ACC
6119.50ns INFO [00006121] * RD COMPARE * port=1 adr=0A act=C9D1DC03 exp=C9D1DC03
6119.50ns INFO [00006121] Port=1 RD @12
6120.50ns INFO [00006122] * RD COMPARE * port=0 adr=1D act=629A5C92 exp=629A5C92
6120.50ns INFO [00006122] Port=1 RD @0C
6121.50ns INFO [00006123] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC
6122.50ns INFO [00006124] * RD COMPARE * port=1 adr=0C act=91CE6B72 exp=91CE6B72
6122.50ns INFO [00006124] Port=0 WR @01=D8F8BB9A
6123.50ns INFO [00006125] Port=0 WR @0A=61F161A7
6123.50ns INFO [00006125] Port=0 RD @1E
6125.50ns INFO [00006127] * RD COMPARE * port=0 adr=1E act=070B7FED exp=070B7FED
6125.50ns INFO [00006127] Port=0 WR @10=37E75300
6125.50ns INFO [00006127] Port=0 RD @03
6126.50ns INFO [00006128] Port=0 WR @03=3A108B0E
6126.50ns INFO [00006128] Port=1 RD @1B
6127.50ns INFO [00006129] * RD COMPARE * port=0 adr=03 act=76D4EDAE exp=76D4EDAE
6127.50ns INFO [00006129] Port=0 WR @08=C0C7F134
6128.50ns INFO [00006130] * RD COMPARE * port=1 adr=1B act=08EAA2DC exp=08EAA2DC
6128.50ns INFO [00006130] Port=0 WR @09=FC5690D5
6128.50ns INFO [00006130] Port=1 RD @0E
6129.50ns INFO [00006131] Port=0 RD @0B
6129.50ns INFO [00006131] Port=1 RD @1E
6130.50ns INFO [00006132] * RD COMPARE * port=1 adr=0E act=0C6001DD exp=0C6001DD
6130.50ns INFO [00006132] Port=1 RD @0D
6131.50ns INFO [00006133] * RD COMPARE * port=0 adr=0B act=D3B9363B exp=D3B9363B
6131.50ns INFO [00006133] * RD COMPARE * port=1 adr=1E act=070B7FED exp=070B7FED
6131.50ns INFO [00006133] Port=0 WR @0A=B574253E
6131.50ns INFO [00006133] Port=0 RD @1F
6132.50ns INFO [00006134] * RD COMPARE * port=1 adr=0D act=DEB51A71 exp=DEB51A71
6132.50ns INFO [00006134] Port=0 RD @13
6133.50ns INFO [00006135] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0
6133.50ns INFO [00006135] Port=0 WR @11=B11C04D7
6134.50ns INFO [00006136] * RD COMPARE * port=0 adr=13 act=97450AE6 exp=97450AE6
6134.50ns INFO [00006136] Port=0 WR @0D=6835ED45
6134.50ns INFO [00006136] Port=0 RD @1F
6135.50ns INFO [00006137] Port=0 WR @0B=B1D064C2
6136.50ns INFO [00006138] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0
6136.50ns INFO [00006138] Port=0 WR @11=AA6404A4
6136.50ns INFO [00006138] Port=0 RD @1F
6137.50ns INFO [00006139] Port=0 RD @1F
6138.50ns INFO [00006140] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0
6138.50ns INFO [00006140] Port=0 WR @1D=2739723A
6138.50ns INFO [00006140] Port=1 RD @01
6139.50ns INFO [00006141] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0
6139.50ns INFO [00006141] Port=0 WR @11=D69656DC
6139.50ns INFO [00006141] Port=0 RD @19
6140.50ns INFO [00006142] * RD COMPARE * port=1 adr=01 act=D8F8BB9A exp=D8F8BB9A
6140.50ns INFO [00006142] Port=0 WR @0E=548C30BD
6141.50ns INFO [00006143] * RD COMPARE * port=0 adr=19 act=69C3D215 exp=69C3D215
6141.50ns INFO [00006143] Port=1 RD @19
6142.50ns INFO [00006144] Port=1 RD @08
6143.50ns INFO [00006145] * RD COMPARE * port=1 adr=19 act=69C3D215 exp=69C3D215
6144.50ns INFO [00006146] * RD COMPARE * port=1 adr=08 act=C0C7F134 exp=C0C7F134
6146.50ns INFO [00006148] Port=0 WR @11=96441567
6148.50ns INFO [00006150] Port=0 WR @11=72B66997
6153.50ns INFO [00006155] Port=0 WR @13=7C3606A0
6154.50ns INFO [00006156] Port=1 RD @0B
6155.50ns INFO [00006157] Port=0 WR @11=EE6C55F1
6155.50ns INFO [00006157] Port=0 RD @04
6155.50ns INFO [00006157] Port=1 RD @1D
6156.50ns INFO [00006158] * RD COMPARE * port=1 adr=0B act=B1D064C2 exp=B1D064C2
6156.50ns INFO [00006158] Port=0 WR @0C=47D15E19
6156.50ns INFO [00006158] Port=1 RD @08
6157.50ns INFO [00006159] * RD COMPARE * port=0 adr=04 act=49BA032C exp=49BA032C
6157.50ns INFO [00006159] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A
6157.50ns INFO [00006159] Port=0 WR @0E=CCE2A98F
6157.50ns INFO [00006159] Port=1 RD @00
6158.50ns INFO [00006160] * RD COMPARE * port=1 adr=08 act=C0C7F134 exp=C0C7F134
6158.50ns INFO [00006160] Port=0 RD @01
6159.50ns INFO [00006161] * RD COMPARE * port=1 adr=00 act=670179E0 exp=670179E0
6160.50ns INFO [00006162] * RD COMPARE * port=0 adr=01 act=D8F8BB9A exp=D8F8BB9A
6160.50ns INFO [00006162] Port=1 RD @0C
6161.50ns INFO [00006163] Port=0 RD @0F
6162.50ns INFO [00006164] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19
6162.50ns INFO [00006164] Port=0 RD @15
6163.50ns INFO [00006165] * RD COMPARE * port=0 adr=0F act=E2F06FB0 exp=E2F06FB0
6163.50ns INFO [00006165] Port=0 RD @03
6163.50ns INFO [00006165] Port=1 RD @03
6164.50ns INFO [00006166] * RD COMPARE * port=0 adr=15 act=01AAB79C exp=01AAB79C
6164.50ns INFO [00006166] Port=0 WR @15=0467985A
6164.50ns INFO [00006166] Port=0 RD @14
6165.50ns INFO [00006167] * RD COMPARE * port=0 adr=03 act=3A108B0E exp=3A108B0E
6165.50ns INFO [00006167] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E
6165.50ns INFO [00006167] Port=0 RD @0D
6166.50ns INFO [00006168] * RD COMPARE * port=0 adr=14 act=1DAB7332 exp=1DAB7332
6166.50ns INFO [00006168] Port=0 WR @19=4611E770
6166.50ns INFO [00006168] Port=0 RD @17
6167.50ns INFO [00006169] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6167.50ns INFO [00006169] Port=0 WR @06=55D6CC05
6167.50ns INFO [00006169] Port=0 RD @18
6167.50ns INFO [00006169] Port=1 RD @16
6168.50ns INFO [00006170] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6168.50ns INFO [00006170] Port=0 RD @1B
6168.50ns INFO [00006170] Port=1 RD @03
6169.50ns INFO [00006171] * RD COMPARE * port=0 adr=18 act=5B476EFF exp=5B476EFF
6169.50ns INFO [00006171] * RD COMPARE * port=1 adr=16 act=8229AF39 exp=8229AF39
6169.50ns INFO [00006171] Port=1 RD @03
6170.50ns INFO [00006172] * RD COMPARE * port=0 adr=1B act=08EAA2DC exp=08EAA2DC
6170.50ns INFO [00006172] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E
6170.50ns INFO [00006172] Port=0 RD @05
6171.50ns INFO [00006173] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E
6172.50ns INFO [00006174] * RD COMPARE * port=0 adr=05 act=BB6AC4A5 exp=BB6AC4A5
6172.50ns INFO [00006174] Port=1 RD @0A
6174.50ns INFO [00006176] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E
6174.50ns INFO [00006176] Port=0 RD @0E
6175.50ns INFO [00006177] Port=0 WR @14=1EF4AF0C
6175.50ns INFO [00006177] Port=1 RD @0F
6176.50ns INFO [00006178] * RD COMPARE * port=0 adr=0E act=CCE2A98F exp=CCE2A98F
6176.50ns INFO [00006178] Port=1 RD @0E
6177.50ns INFO [00006179] * RD COMPARE * port=1 adr=0F act=E2F06FB0 exp=E2F06FB0
6177.50ns INFO [00006179] Port=1 RD @1D
6178.50ns INFO [00006180] * RD COMPARE * port=1 adr=0E act=CCE2A98F exp=CCE2A98F
6178.50ns INFO [00006180] Port=1 RD @0F
6179.50ns INFO [00006181] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A
6179.50ns INFO [00006181] Port=0 RD @17
6180.50ns INFO [00006182] * RD COMPARE * port=1 adr=0F act=E2F06FB0 exp=E2F06FB0
6181.50ns INFO [00006183] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6182.50ns INFO [00006184] Port=0 WR @04=C27BB0B6
6182.50ns INFO [00006184] Port=0 RD @00
6183.50ns INFO [00006185] Port=0 RD @16
6184.50ns INFO [00006186] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0
6184.50ns INFO [00006186] Port=0 WR @08=A0320091
6185.50ns INFO [00006187] * RD COMPARE * port=0 adr=16 act=8229AF39 exp=8229AF39
6185.50ns INFO [00006187] Port=0 WR @04=267B2C5D
6185.50ns INFO [00006187] Port=1 RD @0C
6186.50ns INFO [00006188] Port=0 RD @05
6186.50ns INFO [00006188] Port=1 RD @05
6187.50ns INFO [00006189] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19
6187.50ns INFO [00006189] Port=0 WR @10=92ED5710
6187.50ns INFO [00006189] Port=1 RD @0C
6188.50ns INFO [00006190] * RD COMPARE * port=0 adr=05 act=BB6AC4A5 exp=BB6AC4A5
6188.50ns INFO [00006190] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5
6188.50ns INFO [00006190] Port=0 WR @11=0E3B1BD6
6188.50ns INFO [00006190] Port=0 RD @1F
6189.50ns INFO [00006191] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19
6189.50ns INFO [00006191] Port=1 RD @03
6190.50ns INFO [00006192] * RD COMPARE * port=0 adr=1F act=B85DB9C0 exp=B85DB9C0
6190.50ns INFO [00006192] Port=1 RD @1A
6191.50ns INFO [00006193] * RD COMPARE * port=1 adr=03 act=3A108B0E exp=3A108B0E
6191.50ns INFO [00006193] Port=0 WR @1C=7A685C72
6191.50ns INFO [00006193] Port=0 RD @01
6191.50ns INFO [00006193] Port=1 RD @01
6192.50ns INFO [00006194] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637
6192.50ns INFO [00006194] Port=1 RD @07
6193.50ns INFO [00006195] * RD COMPARE * port=0 adr=01 act=D8F8BB9A exp=D8F8BB9A
6193.50ns INFO [00006195] * RD COMPARE * port=1 adr=01 act=D8F8BB9A exp=D8F8BB9A
6193.50ns INFO [00006195] Port=0 RD @04
6194.50ns INFO [00006196] * RD COMPARE * port=1 adr=07 act=75F7F35D exp=75F7F35D
6194.50ns INFO [00006196] Port=0 RD @0B
6195.50ns INFO [00006197] * RD COMPARE * port=0 adr=04 act=267B2C5D exp=267B2C5D
6195.50ns INFO [00006197] Port=0 WR @1B=884046BF
6195.50ns INFO [00006197] Port=1 RD @0A
6196.50ns INFO [00006198] * RD COMPARE * port=0 adr=0B act=B1D064C2 exp=B1D064C2
6197.50ns INFO [00006199] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E
6197.50ns INFO [00006199] Port=0 WR @1F=A0236B56
6197.50ns INFO [00006199] Port=0 RD @00
6198.00ns INFO [00006200] [00006200] ...tick...
6199.50ns INFO [00006201] * RD COMPARE * port=0 adr=00 act=670179E0 exp=670179E0
6199.50ns INFO [00006201] Port=0 WR @13=B9E74DA6
6200.50ns INFO [00006202] Port=0 WR @01=66FCA7EF
6201.50ns INFO [00006203] Port=0 WR @00=35D495A7
6202.50ns INFO [00006204] Port=0 RD @0D
6202.50ns INFO [00006204] Port=1 RD @06
6203.50ns INFO [00006205] Port=0 RD @06
6203.50ns INFO [00006205] Port=1 RD @0C
6204.50ns INFO [00006206] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6204.50ns INFO [00006206] * RD COMPARE * port=1 adr=06 act=55D6CC05 exp=55D6CC05
6204.50ns INFO [00006206] Port=0 RD @09
6205.50ns INFO [00006207] * RD COMPARE * port=0 adr=06 act=55D6CC05 exp=55D6CC05
6205.50ns INFO [00006207] * RD COMPARE * port=1 adr=0C act=47D15E19 exp=47D15E19
6205.50ns INFO [00006207] Port=1 RD @0D
6206.50ns INFO [00006208] * RD COMPARE * port=0 adr=09 act=FC5690D5 exp=FC5690D5
6206.50ns INFO [00006208] Port=0 WR @0F=C832A8B7
6206.50ns INFO [00006208] Port=0 RD @0E
6206.50ns INFO [00006208] Port=1 RD @02
6207.50ns INFO [00006209] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6207.50ns INFO [00006209] Port=0 WR @1E=6B78E916
6207.50ns INFO [00006209] Port=0 RD @06
6207.50ns INFO [00006209] Port=1 RD @1A
6208.50ns INFO [00006210] * RD COMPARE * port=0 adr=0E act=CCE2A98F exp=CCE2A98F
6208.50ns INFO [00006210] * RD COMPARE * port=1 adr=02 act=CC473C50 exp=CC473C50
6208.50ns INFO [00006210] Port=1 RD @0A
6209.50ns INFO [00006211] * RD COMPARE * port=0 adr=06 act=55D6CC05 exp=55D6CC05
6209.50ns INFO [00006211] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637
6209.50ns INFO [00006211] Port=0 WR @18=7CF267E0
6209.50ns INFO [00006211] Port=1 RD @02
6210.50ns INFO [00006212] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E
6210.50ns INFO [00006212] Port=0 RD @00
6210.50ns INFO [00006212] Port=1 RD @12
6211.50ns INFO [00006213] * RD COMPARE * port=1 adr=02 act=CC473C50 exp=CC473C50
6211.50ns INFO [00006213] Port=0 WR @04=04768FEB
6211.50ns INFO [00006213] Port=1 RD @1A
6212.50ns INFO [00006214] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7
6212.50ns INFO [00006214] * RD COMPARE * port=1 adr=12 act=005A9ACC exp=005A9ACC
6213.50ns INFO [00006215] * RD COMPARE * port=1 adr=1A act=DDAC7637 exp=DDAC7637
6213.50ns INFO [00006215] Port=0 RD @1D
6214.50ns INFO [00006216] Port=0 RD @18
6215.50ns INFO [00006217] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A
6215.50ns INFO [00006217] Port=0 RD @02
6216.50ns INFO [00006218] * RD COMPARE * port=0 adr=18 act=7CF267E0 exp=7CF267E0
6216.50ns INFO [00006218] Port=0 WR @10=C5DD5253
6216.50ns INFO [00006218] Port=1 RD @01
6217.50ns INFO [00006219] * RD COMPARE * port=0 adr=02 act=CC473C50 exp=CC473C50
6217.50ns INFO [00006219] Port=0 RD @14
6217.50ns INFO [00006219] Port=1 RD @15
6218.50ns INFO [00006220] * RD COMPARE * port=1 adr=01 act=66FCA7EF exp=66FCA7EF
6218.50ns INFO [00006220] Port=1 RD @05
6219.50ns INFO [00006221] * RD COMPARE * port=0 adr=14 act=1EF4AF0C exp=1EF4AF0C
6219.50ns INFO [00006221] * RD COMPARE * port=1 adr=15 act=0467985A exp=0467985A
6219.50ns INFO [00006221] Port=0 RD @0B
6220.50ns INFO [00006222] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5
6220.50ns INFO [00006222] Port=0 RD @1C
6221.50ns INFO [00006223] * RD COMPARE * port=0 adr=0B act=B1D064C2 exp=B1D064C2
6222.50ns INFO [00006224] * RD COMPARE * port=0 adr=1C act=7A685C72 exp=7A685C72
6222.50ns INFO [00006224] Port=0 WR @08=C6A4D530
6223.50ns INFO [00006225] Port=0 WR @1A=6AB229DE
6224.50ns INFO [00006226] Port=0 WR @1F=0DC78F91
6224.50ns INFO [00006226] Port=0 RD @02
6225.50ns INFO [00006227] Port=0 WR @16=29BC2EE5
6226.50ns INFO [00006228] * RD COMPARE * port=0 adr=02 act=CC473C50 exp=CC473C50
6226.50ns INFO [00006228] Port=0 WR @06=FC9BFECF
6226.50ns INFO [00006228] Port=0 RD @10
6226.50ns INFO [00006228] Port=1 RD @1C
6227.50ns INFO [00006229] Port=0 RD @18
6227.50ns INFO [00006229] Port=1 RD @18
6228.50ns INFO [00006230] * RD COMPARE * port=0 adr=10 act=C5DD5253 exp=C5DD5253
6228.50ns INFO [00006230] * RD COMPARE * port=1 adr=1C act=7A685C72 exp=7A685C72
6228.50ns INFO [00006230] Port=0 RD @11
6229.50ns INFO [00006231] * RD COMPARE * port=0 adr=18 act=7CF267E0 exp=7CF267E0
6229.50ns INFO [00006231] * RD COMPARE * port=1 adr=18 act=7CF267E0 exp=7CF267E0
6229.50ns INFO [00006231] Port=0 WR @04=24A8F089
6229.50ns INFO [00006231] Port=0 RD @00
6229.50ns INFO [00006231] Port=1 RD @11
6230.50ns INFO [00006232] * RD COMPARE * port=0 adr=11 act=0E3B1BD6 exp=0E3B1BD6
6230.50ns INFO [00006232] Port=0 WR @12=F8DA3DAB
6230.50ns INFO [00006232] Port=0 RD @1B
6231.50ns INFO [00006233] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7
6231.50ns INFO [00006233] * RD COMPARE * port=1 adr=11 act=0E3B1BD6 exp=0E3B1BD6
6231.50ns INFO [00006233] Port=0 WR @04=268C6F94
6231.50ns INFO [00006233] Port=0 RD @00
6231.50ns INFO [00006233] Port=1 RD @0D
6232.50ns INFO [00006234] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF
6233.50ns INFO [00006235] * RD COMPARE * port=0 adr=00 act=35D495A7 exp=35D495A7
6233.50ns INFO [00006235] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6233.50ns INFO [00006235] Port=0 RD @1F
6233.50ns INFO [00006235] Port=1 RD @14
6234.50ns INFO [00006236] Port=0 WR @01=D3710841
6235.50ns INFO [00006237] * RD COMPARE * port=0 adr=1F act=0DC78F91 exp=0DC78F91
6235.50ns INFO [00006237] * RD COMPARE * port=1 adr=14 act=1EF4AF0C exp=1EF4AF0C
6235.50ns INFO [00006237] Port=0 WR @00=A7C1B631
6235.50ns INFO [00006237] Port=0 RD @0F
6236.50ns INFO [00006238] Port=0 WR @07=D42C87FF
6237.50ns INFO [00006239] * RD COMPARE * port=0 adr=0F act=C832A8B7 exp=C832A8B7
6238.50ns INFO [00006240] Port=0 WR @02=C02918B7
6238.50ns INFO [00006240] Port=0 RD @03
6239.50ns INFO [00006241] Port=0 RD @0C
6239.50ns INFO [00006241] Port=1 RD @01
6240.50ns INFO [00006242] * RD COMPARE * port=0 adr=03 act=3A108B0E exp=3A108B0E
6240.50ns INFO [00006242] Port=0 WR @1C=696E746E
6241.50ns INFO [00006243] * RD COMPARE * port=0 adr=0C act=47D15E19 exp=47D15E19
6241.50ns INFO [00006243] * RD COMPARE * port=1 adr=01 act=D3710841 exp=D3710841
6241.50ns INFO [00006243] Port=0 WR @0E=4612CF74
6242.50ns INFO [00006244] Port=0 WR @00=413C2142
6242.50ns INFO [00006244] Port=1 RD @12
6244.50ns INFO [00006246] * RD COMPARE * port=1 adr=12 act=F8DA3DAB exp=F8DA3DAB
6244.50ns INFO [00006246] Port=0 WR @03=63B2EB3D
6244.50ns INFO [00006246] Port=0 RD @06
6244.50ns INFO [00006246] Port=1 RD @05
6246.50ns INFO [00006248] * RD COMPARE * port=0 adr=06 act=FC9BFECF exp=FC9BFECF
6246.50ns INFO [00006248] * RD COMPARE * port=1 adr=05 act=BB6AC4A5 exp=BB6AC4A5
6248.50ns INFO [00006250] Port=0 WR @0F=0B3F32E5
6248.50ns INFO [00006250] Port=0 RD @1C
6248.50ns INFO [00006250] Port=1 RD @06
6249.50ns INFO [00006251] Port=0 WR @0B=00AD27AA
6249.50ns INFO [00006251] Port=1 RD @10
6250.50ns INFO [00006252] * RD COMPARE * port=0 adr=1C act=696E746E exp=696E746E
6250.50ns INFO [00006252] * RD COMPARE * port=1 adr=06 act=FC9BFECF exp=FC9BFECF
6250.50ns INFO [00006252] Port=1 RD @0B
6251.50ns INFO [00006253] * RD COMPARE * port=1 adr=10 act=C5DD5253 exp=C5DD5253
6252.50ns INFO [00006254] * RD COMPARE * port=1 adr=0B act=00AD27AA exp=00AD27AA
6252.50ns INFO [00006254] Port=0 WR @15=3B08C770
6252.50ns INFO [00006254] Port=0 RD @01
6253.50ns INFO [00006255] Port=0 RD @12
6254.50ns INFO [00006256] * RD COMPARE * port=0 adr=01 act=D3710841 exp=D3710841
6254.50ns INFO [00006256] Port=0 WR @09=FB6415A3
6254.50ns INFO [00006256] Port=0 RD @0A
6254.50ns INFO [00006256] Port=1 RD @11
6255.50ns INFO [00006257] * RD COMPARE * port=0 adr=12 act=F8DA3DAB exp=F8DA3DAB
6255.50ns INFO [00006257] Port=0 WR @0E=A238E10A
6255.50ns INFO [00006257] Port=0 RD @02
6256.50ns INFO [00006258] * RD COMPARE * port=0 adr=0A act=B574253E exp=B574253E
6256.50ns INFO [00006258] * RD COMPARE * port=1 adr=11 act=0E3B1BD6 exp=0E3B1BD6
6256.50ns INFO [00006258] Port=0 WR @10=328CCC1B
6257.50ns INFO [00006259] * RD COMPARE * port=0 adr=02 act=C02918B7 exp=C02918B7
6258.50ns INFO [00006260] Port=0 WR @1E=E093E59E
6258.50ns INFO [00006260] Port=1 RD @10
6260.50ns INFO [00006262] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B
6260.50ns INFO [00006262] Port=0 WR @04=8EFE22BF
6260.50ns INFO [00006262] Port=0 RD @08
6260.50ns INFO [00006262] Port=1 RD @01
6261.50ns INFO [00006263] Port=0 WR @00=6A7C1D6A
6261.50ns INFO [00006263] Port=0 RD @08
6261.50ns INFO [00006263] Port=1 RD @0B
6262.50ns INFO [00006264] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530
6262.50ns INFO [00006264] * RD COMPARE * port=1 adr=01 act=D3710841 exp=D3710841
6262.50ns INFO [00006264] Port=0 WR @11=B458842A
6263.50ns INFO [00006265] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530
6263.50ns INFO [00006265] * RD COMPARE * port=1 adr=0B act=00AD27AA exp=00AD27AA
6264.50ns INFO [00006266] Port=0 WR @07=2695DBAA
6264.50ns INFO [00006266] Port=1 RD @04
6265.50ns INFO [00006267] Port=1 RD @1E
6266.50ns INFO [00006268] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF
6267.50ns INFO [00006269] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E
6268.50ns INFO [00006270] Port=0 WR @18=CE7658A6
6268.50ns INFO [00006270] Port=0 RD @0A
6268.50ns INFO [00006270] Port=1 RD @00
6269.50ns INFO [00006271] Port=0 WR @13=C4238810
6269.50ns INFO [00006271] Port=1 RD @0F
6270.50ns INFO [00006272] * RD COMPARE * port=0 adr=0A act=B574253E exp=B574253E
6270.50ns INFO [00006272] * RD COMPARE * port=1 adr=00 act=6A7C1D6A exp=6A7C1D6A
6271.50ns INFO [00006273] * RD COMPARE * port=1 adr=0F act=0B3F32E5 exp=0B3F32E5
6271.50ns INFO [00006273] Port=1 RD @03
6272.50ns INFO [00006274] Port=0 WR @02=4238DF35
6272.50ns INFO [00006274] Port=0 RD @1D
6273.50ns INFO [00006275] * RD COMPARE * port=1 adr=03 act=63B2EB3D exp=63B2EB3D
6273.50ns INFO [00006275] Port=0 RD @16
6273.50ns INFO [00006275] Port=1 RD @0A
6274.50ns INFO [00006276] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A
6274.50ns INFO [00006276] Port=1 RD @0E
6275.50ns INFO [00006277] * RD COMPARE * port=0 adr=16 act=29BC2EE5 exp=29BC2EE5
6275.50ns INFO [00006277] * RD COMPARE * port=1 adr=0A act=B574253E exp=B574253E
6275.50ns INFO [00006277] Port=0 WR @0A=8A8E63E0
6276.50ns INFO [00006278] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A
6276.50ns INFO [00006278] Port=0 WR @0B=B032C01D
6277.50ns INFO [00006279] Port=0 RD @1D
6278.50ns INFO [00006280] Port=0 WR @1C=734DD2E8
6278.50ns INFO [00006280] Port=0 RD @15
6279.50ns INFO [00006281] * RD COMPARE * port=0 adr=1D act=2739723A exp=2739723A
6280.50ns INFO [00006282] * RD COMPARE * port=0 adr=15 act=3B08C770 exp=3B08C770
6280.50ns INFO [00006282] Port=1 RD @10
6282.50ns INFO [00006284] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B
6283.50ns INFO [00006285] Port=0 WR @0C=12FD012E
6283.50ns INFO [00006285] Port=1 RD @02
6284.50ns INFO [00006286] Port=0 WR @05=AAE6FBFC
6284.50ns INFO [00006286] Port=1 RD @04
6285.50ns INFO [00006287] * RD COMPARE * port=1 adr=02 act=4238DF35 exp=4238DF35
6286.50ns INFO [00006288] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF
6286.50ns INFO [00006288] Port=0 WR @06=1201365C
6290.50ns INFO [00006292] Port=0 RD @04
6291.50ns INFO [00006293] Port=0 WR @02=9C6F1B95
6291.50ns INFO [00006293] Port=0 RD @04
6292.50ns INFO [00006294] * RD COMPARE * port=0 adr=04 act=8EFE22BF exp=8EFE22BF
6292.50ns INFO [00006294] Port=0 WR @14=B43EAF1D
6292.50ns INFO [00006294] Port=0 RD @0B
6292.50ns INFO [00006294] Port=1 RD @1D
6293.50ns INFO [00006295] * RD COMPARE * port=0 adr=04 act=8EFE22BF exp=8EFE22BF
6293.50ns INFO [00006295] Port=0 WR @02=5C26AC49
6293.50ns INFO [00006295] Port=1 RD @04
6294.50ns INFO [00006296] * RD COMPARE * port=0 adr=0B act=B032C01D exp=B032C01D
6294.50ns INFO [00006296] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A
6294.50ns INFO [00006296] Port=0 WR @1C=947B945A
6294.50ns INFO [00006296] Port=0 RD @19
6295.50ns INFO [00006297] * RD COMPARE * port=1 adr=04 act=8EFE22BF exp=8EFE22BF
6295.50ns INFO [00006297] Port=1 RD @1A
6296.50ns INFO [00006298] * RD COMPARE * port=0 adr=19 act=4611E770 exp=4611E770
6296.50ns INFO [00006298] Port=0 WR @15=87A3FB57
6296.50ns INFO [00006298] Port=0 RD @03
6297.50ns INFO [00006299] * RD COMPARE * port=1 adr=1A act=6AB229DE exp=6AB229DE
6297.50ns INFO [00006299] Port=0 WR @1F=5DD88243
6297.50ns INFO [00006299] Port=0 RD @14
6297.50ns INFO [00006299] Port=1 RD @1A
6298.00ns INFO [00006300] [00006300] ...tick...
6298.50ns INFO [00006300] * RD COMPARE * port=0 adr=03 act=63B2EB3D exp=63B2EB3D
6298.50ns INFO [00006300] Port=1 RD @1E
6299.50ns INFO [00006301] * RD COMPARE * port=0 adr=14 act=B43EAF1D exp=B43EAF1D
6299.50ns INFO [00006301] * RD COMPARE * port=1 adr=1A act=6AB229DE exp=6AB229DE
6300.50ns INFO [00006302] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E
6300.50ns INFO [00006302] Port=0 WR @19=60A3AB59
6300.50ns INFO [00006302] Port=0 RD @10
6301.50ns INFO [00006303] Port=0 RD @0E
6301.50ns INFO [00006303] Port=1 RD @16
6302.50ns INFO [00006304] * RD COMPARE * port=0 adr=10 act=328CCC1B exp=328CCC1B
6302.50ns INFO [00006304] Port=0 RD @06
6303.50ns INFO [00006305] * RD COMPARE * port=0 adr=0E act=A238E10A exp=A238E10A
6303.50ns INFO [00006305] * RD COMPARE * port=1 adr=16 act=29BC2EE5 exp=29BC2EE5
6303.50ns INFO [00006305] Port=0 RD @12
6303.50ns INFO [00006305] Port=1 RD @1B
6304.50ns INFO [00006306] * RD COMPARE * port=0 adr=06 act=1201365C exp=1201365C
6304.50ns INFO [00006306] Port=0 RD @15
6305.50ns INFO [00006307] * RD COMPARE * port=0 adr=12 act=F8DA3DAB exp=F8DA3DAB
6305.50ns INFO [00006307] * RD COMPARE * port=1 adr=1B act=884046BF exp=884046BF
6306.50ns INFO [00006308] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57
6306.50ns INFO [00006308] Port=0 WR @18=34F0B948
6307.50ns INFO [00006309] Port=1 RD @00
6308.50ns INFO [00006310] Port=0 RD @0C
6309.50ns INFO [00006311] * RD COMPARE * port=1 adr=00 act=6A7C1D6A exp=6A7C1D6A
6309.50ns INFO [00006311] Port=0 WR @12=6FB2C584
6309.50ns INFO [00006311] Port=0 RD @13
6310.50ns INFO [00006312] * RD COMPARE * port=0 adr=0C act=12FD012E exp=12FD012E
6310.50ns INFO [00006312] Port=1 RD @13
6311.50ns INFO [00006313] * RD COMPARE * port=0 adr=13 act=C4238810 exp=C4238810
6311.50ns INFO [00006313] Port=0 WR @1A=0B3A24B4
6311.50ns INFO [00006313] Port=0 RD @0A
6312.50ns INFO [00006314] * RD COMPARE * port=1 adr=13 act=C4238810 exp=C4238810
6313.50ns INFO [00006315] * RD COMPARE * port=0 adr=0A act=8A8E63E0 exp=8A8E63E0
6313.50ns INFO [00006315] Port=0 RD @15
6315.50ns INFO [00006317] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57
6315.50ns INFO [00006317] Port=0 RD @1B
6316.50ns INFO [00006318] Port=1 RD @0B
6317.50ns INFO [00006319] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF
6317.50ns INFO [00006319] Port=0 RD @0D
6317.50ns INFO [00006319] Port=1 RD @1E
6318.50ns INFO [00006320] * RD COMPARE * port=1 adr=0B act=B032C01D exp=B032C01D
6318.50ns INFO [00006320] Port=0 WR @07=DB23E9D3
6318.50ns INFO [00006320] Port=0 RD @17
6318.50ns INFO [00006320] Port=1 RD @1D
6319.50ns INFO [00006321] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6319.50ns INFO [00006321] * RD COMPARE * port=1 adr=1E act=E093E59E exp=E093E59E
6319.50ns INFO [00006321] Port=1 RD @09
6320.50ns INFO [00006322] * RD COMPARE * port=0 adr=17 act=C9B50CF8 exp=C9B50CF8
6320.50ns INFO [00006322] * RD COMPARE * port=1 adr=1D act=2739723A exp=2739723A
6320.50ns INFO [00006322] Port=1 RD @13
6321.50ns INFO [00006323] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3
6321.50ns INFO [00006323] Port=0 WR @02=379DE3B4
6322.50ns INFO [00006324] * RD COMPARE * port=1 adr=13 act=C4238810 exp=C4238810
6322.50ns INFO [00006324] Port=0 WR @0F=2E4C86C8
6322.50ns INFO [00006324] Port=0 RD @09
6324.50ns INFO [00006326] * RD COMPARE * port=0 adr=09 act=FB6415A3 exp=FB6415A3
6325.50ns INFO [00006327] Port=0 WR @1E=E24BC369
6325.50ns INFO [00006327] Port=0 RD @1B
6326.50ns INFO [00006328] Port=1 RD @0C
6327.50ns INFO [00006329] * RD COMPARE * port=0 adr=1B act=884046BF exp=884046BF
6327.50ns INFO [00006329] Port=0 WR @1A=972140E7
6327.50ns INFO [00006329] Port=1 RD @05
6328.50ns INFO [00006330] * RD COMPARE * port=1 adr=0C act=12FD012E exp=12FD012E
6328.50ns INFO [00006330] Port=0 WR @1B=93DB5011
6329.50ns INFO [00006331] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC
6329.50ns INFO [00006331] Port=0 WR @18=A311C769
6329.50ns INFO [00006331] Port=0 RD @0A
6331.50ns INFO [00006333] * RD COMPARE * port=0 adr=0A act=8A8E63E0 exp=8A8E63E0
6333.50ns INFO [00006335] Port=1 RD @08
6334.50ns INFO [00006336] Port=1 RD @0C
6335.50ns INFO [00006337] * RD COMPARE * port=1 adr=08 act=C6A4D530 exp=C6A4D530
6336.50ns INFO [00006338] * RD COMPARE * port=1 adr=0C act=12FD012E exp=12FD012E
6336.50ns INFO [00006338] Port=0 WR @1F=383DADBE
6336.50ns INFO [00006338] Port=0 RD @0F
6336.50ns INFO [00006338] Port=1 RD @09
6337.50ns INFO [00006339] Port=0 WR @17=C8420404
6338.50ns INFO [00006340] * RD COMPARE * port=0 adr=0F act=2E4C86C8 exp=2E4C86C8
6338.50ns INFO [00006340] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3
6339.50ns INFO [00006341] Port=0 WR @1D=B3981103
6339.50ns INFO [00006341] Port=0 RD @00
6341.50ns INFO [00006343] * RD COMPARE * port=0 adr=00 act=6A7C1D6A exp=6A7C1D6A
6341.50ns INFO [00006343] Port=0 RD @08
6341.50ns INFO [00006343] Port=1 RD @0D
6343.50ns INFO [00006345] * RD COMPARE * port=0 adr=08 act=C6A4D530 exp=C6A4D530
6343.50ns INFO [00006345] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6343.50ns INFO [00006345] Port=0 RD @17
6343.50ns INFO [00006345] Port=1 RD @18
6345.50ns INFO [00006347] * RD COMPARE * port=0 adr=17 act=C8420404 exp=C8420404
6345.50ns INFO [00006347] * RD COMPARE * port=1 adr=18 act=A311C769 exp=A311C769
6345.50ns INFO [00006347] Port=0 RD @0D
6345.50ns INFO [00006347] Port=1 RD @02
6346.50ns INFO [00006348] Port=0 RD @01
6346.50ns INFO [00006348] Port=1 RD @10
6347.50ns INFO [00006349] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6347.50ns INFO [00006349] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4
6347.50ns INFO [00006349] Port=0 WR @08=BEAE1260
6348.50ns INFO [00006350] * RD COMPARE * port=0 adr=01 act=D3710841 exp=D3710841
6348.50ns INFO [00006350] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B
6348.50ns INFO [00006350] Port=0 RD @1F
6348.50ns INFO [00006350] Port=1 RD @0E
6349.50ns INFO [00006351] Port=0 WR @03=54484E1D
6349.50ns INFO [00006351] Port=0 RD @07
6350.50ns INFO [00006352] * RD COMPARE * port=0 adr=1F act=383DADBE exp=383DADBE
6350.50ns INFO [00006352] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A
6350.50ns INFO [00006352] Port=0 RD @18
6351.50ns INFO [00006353] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3
6351.50ns INFO [00006353] Port=0 WR @13=E789F0BD
6351.50ns INFO [00006353] Port=0 RD @18
6351.50ns INFO [00006353] Port=1 RD @05
6352.50ns INFO [00006354] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769
6353.50ns INFO [00006355] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769
6353.50ns INFO [00006355] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC
6353.50ns INFO [00006355] Port=0 RD @03
6353.50ns INFO [00006355] Port=1 RD @17
6354.50ns INFO [00006356] Port=0 WR @14=D84BA276
6355.50ns INFO [00006357] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D
6355.50ns INFO [00006357] * RD COMPARE * port=1 adr=17 act=C8420404 exp=C8420404
6355.50ns INFO [00006357] Port=0 RD @00
6356.50ns INFO [00006358] Port=0 WR @0A=D794D1D7
6356.50ns INFO [00006358] Port=1 RD @06
6357.50ns INFO [00006359] * RD COMPARE * port=0 adr=00 act=6A7C1D6A exp=6A7C1D6A
6358.50ns INFO [00006360] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C
6358.50ns INFO [00006360] Port=0 RD @15
6358.50ns INFO [00006360] Port=1 RD @19
6360.50ns INFO [00006362] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57
6360.50ns INFO [00006362] * RD COMPARE * port=1 adr=19 act=60A3AB59 exp=60A3AB59
6360.50ns INFO [00006362] Port=0 WR @04=C4DBA111
6360.50ns INFO [00006362] Port=0 RD @02
6360.50ns INFO [00006362] Port=1 RD @09
6361.50ns INFO [00006363] Port=0 WR @1D=023943A8
6361.50ns INFO [00006363] Port=1 RD @15
6362.50ns INFO [00006364] * RD COMPARE * port=0 adr=02 act=379DE3B4 exp=379DE3B4
6362.50ns INFO [00006364] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3
6362.50ns INFO [00006364] Port=0 WR @0C=6591CB1B
6362.50ns INFO [00006364] Port=0 RD @12
6363.50ns INFO [00006365] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57
6364.50ns INFO [00006366] * RD COMPARE * port=0 adr=12 act=6FB2C584 exp=6FB2C584
6364.50ns INFO [00006366] Port=0 WR @04=AD1E5820
6365.50ns INFO [00006367] Port=0 WR @17=1C059FBC
6370.50ns INFO [00006372] Port=1 RD @0C
6371.50ns INFO [00006373] Port=1 RD @10
6372.50ns INFO [00006374] * RD COMPARE * port=1 adr=0C act=6591CB1B exp=6591CB1B
6373.50ns INFO [00006375] * RD COMPARE * port=1 adr=10 act=328CCC1B exp=328CCC1B
6373.50ns INFO [00006375] Port=0 WR @04=A0FA7A55
6373.50ns INFO [00006375] Port=1 RD @1B
6374.50ns INFO [00006376] Port=0 WR @1B=1812ADCB
6375.50ns INFO [00006377] * RD COMPARE * port=1 adr=1B act=93DB5011 exp=93DB5011
6375.50ns INFO [00006377] Port=0 RD @04
6376.50ns INFO [00006378] Port=0 RD @12
6377.50ns INFO [00006379] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6377.50ns INFO [00006379] Port=0 WR @13=D0646998
6378.50ns INFO [00006380] * RD COMPARE * port=0 adr=12 act=6FB2C584 exp=6FB2C584
6378.50ns INFO [00006380] Port=1 RD @1B
6380.50ns INFO [00006382] * RD COMPARE * port=1 adr=1B act=1812ADCB exp=1812ADCB
6380.50ns INFO [00006382] Port=0 RD @0D
6380.50ns INFO [00006382] Port=1 RD @06
6381.50ns INFO [00006383] Port=0 WR @0C=E5EB0909
6381.50ns INFO [00006383] Port=1 RD @1B
6382.50ns INFO [00006384] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6382.50ns INFO [00006384] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C
6383.50ns INFO [00006385] * RD COMPARE * port=1 adr=1B act=1812ADCB exp=1812ADCB
6384.50ns INFO [00006386] Port=0 WR @11=1C4A0ECE
6384.50ns INFO [00006386] Port=0 RD @15
6385.50ns INFO [00006387] Port=0 RD @13
6385.50ns INFO [00006387] Port=1 RD @08
6386.50ns INFO [00006388] * RD COMPARE * port=0 adr=15 act=87A3FB57 exp=87A3FB57
6386.50ns INFO [00006388] Port=0 RD @0B
6386.50ns INFO [00006388] Port=1 RD @0E
6387.50ns INFO [00006389] * RD COMPARE * port=0 adr=13 act=D0646998 exp=D0646998
6387.50ns INFO [00006389] * RD COMPARE * port=1 adr=08 act=BEAE1260 exp=BEAE1260
6387.50ns INFO [00006389] Port=0 RD @18
6387.50ns INFO [00006389] Port=1 RD @1C
6388.50ns INFO [00006390] * RD COMPARE * port=0 adr=0B act=B032C01D exp=B032C01D
6388.50ns INFO [00006390] * RD COMPARE * port=1 adr=0E act=A238E10A exp=A238E10A
6389.50ns INFO [00006391] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769
6389.50ns INFO [00006391] * RD COMPARE * port=1 adr=1C act=947B945A exp=947B945A
6389.50ns INFO [00006391] Port=0 WR @00=AA85BF47
6389.50ns INFO [00006391] Port=0 RD @04
6390.50ns INFO [00006392] Port=0 WR @1B=5BB8F32E
6391.50ns INFO [00006393] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6391.50ns INFO [00006393] Port=1 RD @1F
6392.50ns INFO [00006394] Port=0 WR @1F=70E9D397
6392.50ns INFO [00006394] Port=1 RD @11
6393.50ns INFO [00006395] * RD COMPARE * port=1 adr=1F act=383DADBE exp=383DADBE
6393.50ns INFO [00006395] Port=0 WR @01=DCE72B52
6393.50ns INFO [00006395] Port=1 RD @00
6394.50ns INFO [00006396] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE
6394.50ns INFO [00006396] Port=0 WR @12=A09EA82B
6395.50ns INFO [00006397] * RD COMPARE * port=1 adr=00 act=AA85BF47 exp=AA85BF47
6395.50ns INFO [00006397] Port=1 RD @12
6396.50ns INFO [00006398] Port=0 WR @00=F68FDAFF
6396.50ns INFO [00006398] Port=1 RD @1B
6397.50ns INFO [00006399] * RD COMPARE * port=1 adr=12 act=A09EA82B exp=A09EA82B
6398.00ns INFO [00006400] [00006400] ...tick...
6398.50ns INFO [00006400] * RD COMPARE * port=1 adr=1B act=5BB8F32E exp=5BB8F32E
6399.50ns INFO [00006401] Port=0 WR @1C=16BDBAF4
6399.50ns INFO [00006401] Port=0 RD @01
6399.50ns INFO [00006401] Port=1 RD @05
6400.50ns INFO [00006402] Port=0 WR @1D=B9878E54
6400.50ns INFO [00006402] Port=0 RD @05
6401.50ns INFO [00006403] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6401.50ns INFO [00006403] * RD COMPARE * port=1 adr=05 act=AAE6FBFC exp=AAE6FBFC
6401.50ns INFO [00006403] Port=0 WR @1D=53285445
6401.50ns INFO [00006403] Port=1 RD @0F
6402.50ns INFO [00006404] * RD COMPARE * port=0 adr=05 act=AAE6FBFC exp=AAE6FBFC
6402.50ns INFO [00006404] Port=0 WR @1F=A4D77E43
6402.50ns INFO [00006404] Port=0 RD @17
6402.50ns INFO [00006404] Port=1 RD @17
6403.50ns INFO [00006405] * RD COMPARE * port=1 adr=0F act=2E4C86C8 exp=2E4C86C8
6403.50ns INFO [00006405] Port=0 WR @0C=67ADFA8B
6404.50ns INFO [00006406] * RD COMPARE * port=0 adr=17 act=1C059FBC exp=1C059FBC
6404.50ns INFO [00006406] * RD COMPARE * port=1 adr=17 act=1C059FBC exp=1C059FBC
6404.50ns INFO [00006406] Port=1 RD @17
6406.50ns INFO [00006408] * RD COMPARE * port=1 adr=17 act=1C059FBC exp=1C059FBC
6406.50ns INFO [00006408] Port=1 RD @00
6407.50ns INFO [00006409] Port=0 WR @0B=4C0C1F4F
6408.50ns INFO [00006410] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF
6409.50ns INFO [00006411] Port=0 WR @0F=3E50D06F
6410.50ns INFO [00006412] Port=0 RD @0A
6410.50ns INFO [00006412] Port=1 RD @11
6411.50ns INFO [00006413] Port=0 RD @1B
6411.50ns INFO [00006413] Port=1 RD @1F
6412.50ns INFO [00006414] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7
6412.50ns INFO [00006414] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE
6413.50ns INFO [00006415] * RD COMPARE * port=0 adr=1B act=5BB8F32E exp=5BB8F32E
6413.50ns INFO [00006415] * RD COMPARE * port=1 adr=1F act=A4D77E43 exp=A4D77E43
6413.50ns INFO [00006415] Port=0 RD @03
6414.50ns INFO [00006416] Port=0 WR @10=5E9D0FFE
6415.50ns INFO [00006417] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D
6415.50ns INFO [00006417] Port=0 RD @06
6416.50ns INFO [00006418] Port=1 RD @11
6417.50ns INFO [00006419] * RD COMPARE * port=0 adr=06 act=1201365C exp=1201365C
6418.50ns INFO [00006420] * RD COMPARE * port=1 adr=11 act=1C4A0ECE exp=1C4A0ECE
6418.50ns INFO [00006420] Port=0 WR @1A=777DAAB3
6418.50ns INFO [00006420] Port=0 RD @1C
6418.50ns INFO [00006420] Port=1 RD @04
6420.50ns INFO [00006422] * RD COMPARE * port=0 adr=1C act=16BDBAF4 exp=16BDBAF4
6420.50ns INFO [00006422] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55
6421.50ns INFO [00006423] Port=0 RD @19
6421.50ns INFO [00006423] Port=1 RD @02
6422.50ns INFO [00006424] Port=0 WR @10=FE3844A7
6423.50ns INFO [00006425] * RD COMPARE * port=0 adr=19 act=60A3AB59 exp=60A3AB59
6423.50ns INFO [00006425] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4
6423.50ns INFO [00006425] Port=0 WR @17=422F0F98
6423.50ns INFO [00006425] Port=0 RD @14
6424.50ns INFO [00006426] Port=0 RD @0C
6425.50ns INFO [00006427] * RD COMPARE * port=0 adr=14 act=D84BA276 exp=D84BA276
6426.50ns INFO [00006428] * RD COMPARE * port=0 adr=0C act=67ADFA8B exp=67ADFA8B
6426.50ns INFO [00006428] Port=0 WR @19=D2C778EB
6426.50ns INFO [00006428] Port=0 RD @00
6426.50ns INFO [00006428] Port=1 RD @0A
6427.50ns INFO [00006429] Port=0 RD @1E
6428.50ns INFO [00006430] * RD COMPARE * port=0 adr=00 act=F68FDAFF exp=F68FDAFF
6428.50ns INFO [00006430] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7
6429.50ns INFO [00006431] * RD COMPARE * port=0 adr=1E act=E24BC369 exp=E24BC369
6430.50ns INFO [00006432] Port=0 WR @14=DBD80A0F
6430.50ns INFO [00006432] Port=0 RD @04
6431.50ns INFO [00006433] Port=0 RD @1D
6432.50ns INFO [00006434] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6432.50ns INFO [00006434] Port=0 WR @12=F8928EB3
6432.50ns INFO [00006434] Port=1 RD @1B
6433.50ns INFO [00006435] * RD COMPARE * port=0 adr=1D act=53285445 exp=53285445
6434.50ns INFO [00006436] * RD COMPARE * port=1 adr=1B act=5BB8F32E exp=5BB8F32E
6434.50ns INFO [00006436] Port=0 WR @16=86345183
6434.50ns INFO [00006436] Port=0 RD @1A
6435.50ns INFO [00006437] Port=0 WR @08=9C2B3D2A
6435.50ns INFO [00006437] Port=1 RD @1D
6436.50ns INFO [00006438] * RD COMPARE * port=0 adr=1A act=777DAAB3 exp=777DAAB3
6436.50ns INFO [00006438] Port=0 WR @1E=EED4BFC7
6436.50ns INFO [00006438] Port=0 RD @02
6437.50ns INFO [00006439] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445
6438.50ns INFO [00006440] * RD COMPARE * port=0 adr=02 act=379DE3B4 exp=379DE3B4
6438.50ns INFO [00006440] Port=0 WR @1C=41CC4B2E
6439.50ns INFO [00006441] Port=0 RD @0B
6440.50ns INFO [00006442] Port=0 RD @10
6440.50ns INFO [00006442] Port=1 RD @1D
6441.50ns INFO [00006443] * RD COMPARE * port=0 adr=0B act=4C0C1F4F exp=4C0C1F4F
6441.50ns INFO [00006443] Port=0 WR @12=23FC5E13
6442.50ns INFO [00006444] * RD COMPARE * port=0 adr=10 act=FE3844A7 exp=FE3844A7
6442.50ns INFO [00006444] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445
6442.50ns INFO [00006444] Port=0 RD @1D
6442.50ns INFO [00006444] Port=1 RD @0F
6443.50ns INFO [00006445] Port=0 WR @1B=332083EA
6443.50ns INFO [00006445] Port=0 RD @0F
6443.50ns INFO [00006445] Port=1 RD @17
6444.50ns INFO [00006446] * RD COMPARE * port=0 adr=1D act=53285445 exp=53285445
6444.50ns INFO [00006446] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6444.50ns INFO [00006446] Port=0 RD @0E
6444.50ns INFO [00006446] Port=1 RD @06
6445.50ns INFO [00006447] * RD COMPARE * port=0 adr=0F act=3E50D06F exp=3E50D06F
6445.50ns INFO [00006447] * RD COMPARE * port=1 adr=17 act=422F0F98 exp=422F0F98
6445.50ns INFO [00006447] Port=1 RD @0A
6446.50ns INFO [00006448] * RD COMPARE * port=0 adr=0E act=A238E10A exp=A238E10A
6446.50ns INFO [00006448] * RD COMPARE * port=1 adr=06 act=1201365C exp=1201365C
6446.50ns INFO [00006448] Port=0 WR @10=2692F9A0
6446.50ns INFO [00006448] Port=1 RD @0D
6447.50ns INFO [00006449] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7
6447.50ns INFO [00006449] Port=0 WR @11=D8BC81FF
6447.50ns INFO [00006449] Port=1 RD @18
6448.50ns INFO [00006450] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6448.50ns INFO [00006450] Port=1 RD @1D
6449.50ns INFO [00006451] * RD COMPARE * port=1 adr=18 act=A311C769 exp=A311C769
6450.50ns INFO [00006452] * RD COMPARE * port=1 adr=1D act=53285445 exp=53285445
6450.50ns INFO [00006452] Port=0 RD @03
6450.50ns INFO [00006452] Port=1 RD @02
6451.50ns INFO [00006453] Port=0 WR @02=61F787A8
6451.50ns INFO [00006453] Port=1 RD @0A
6452.50ns INFO [00006454] * RD COMPARE * port=0 adr=03 act=54484E1D exp=54484E1D
6452.50ns INFO [00006454] * RD COMPARE * port=1 adr=02 act=379DE3B4 exp=379DE3B4
6452.50ns INFO [00006454] Port=0 WR @1E=1957E151
6453.50ns INFO [00006455] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7
6453.50ns INFO [00006455] Port=0 WR @05=FDAF6618
6453.50ns INFO [00006455] Port=1 RD @19
6455.50ns INFO [00006457] * RD COMPARE * port=1 adr=19 act=D2C778EB exp=D2C778EB
6455.50ns INFO [00006457] Port=0 RD @12
6456.50ns INFO [00006458] Port=0 WR @11=AD0FB073
6456.50ns INFO [00006458] Port=0 RD @0A
6456.50ns INFO [00006458] Port=1 RD @0F
6457.50ns INFO [00006459] * RD COMPARE * port=0 adr=12 act=23FC5E13 exp=23FC5E13
6457.50ns INFO [00006459] Port=0 RD @1C
6457.50ns INFO [00006459] Port=1 RD @02
6458.50ns INFO [00006460] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7
6458.50ns INFO [00006460] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6458.50ns INFO [00006460] Port=0 WR @0E=0D20D7FD
6458.50ns INFO [00006460] Port=1 RD @0F
6459.50ns INFO [00006461] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E
6459.50ns INFO [00006461] * RD COMPARE * port=1 adr=02 act=61F787A8 exp=61F787A8
6459.50ns INFO [00006461] Port=0 WR @05=FFC63C81
6459.50ns INFO [00006461] Port=0 RD @07
6460.50ns INFO [00006462] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6461.50ns INFO [00006463] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3
6461.50ns INFO [00006463] Port=0 RD @09
6462.50ns INFO [00006464] Port=0 WR @12=BB40A548
6462.50ns INFO [00006464] Port=1 RD @1C
6463.50ns INFO [00006465] * RD COMPARE * port=0 adr=09 act=FB6415A3 exp=FB6415A3
6463.50ns INFO [00006465] Port=0 RD @1F
6464.50ns INFO [00006466] * RD COMPARE * port=1 adr=1C act=41CC4B2E exp=41CC4B2E
6464.50ns INFO [00006466] Port=0 WR @03=DBD3A4B1
6464.50ns INFO [00006466] Port=1 RD @08
6465.50ns INFO [00006467] * RD COMPARE * port=0 adr=1F act=A4D77E43 exp=A4D77E43
6465.50ns INFO [00006467] Port=0 RD @1E
6466.50ns INFO [00006468] * RD COMPARE * port=1 adr=08 act=9C2B3D2A exp=9C2B3D2A
6466.50ns INFO [00006468] Port=0 WR @10=B168F5A6
6467.50ns INFO [00006469] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151
6467.50ns INFO [00006469] Port=0 RD @0A
6467.50ns INFO [00006469] Port=1 RD @03
6469.50ns INFO [00006471] * RD COMPARE * port=0 adr=0A act=D794D1D7 exp=D794D1D7
6469.50ns INFO [00006471] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1
6469.50ns INFO [00006471] Port=0 RD @16
6470.50ns INFO [00006472] Port=0 WR @0C=13A6F146
6470.50ns INFO [00006472] Port=0 RD @1A
6470.50ns INFO [00006472] Port=1 RD @12
6471.50ns INFO [00006473] * RD COMPARE * port=0 adr=16 act=86345183 exp=86345183
6471.50ns INFO [00006473] Port=0 RD @0C
6471.50ns INFO [00006473] Port=1 RD @0D
6472.50ns INFO [00006474] * RD COMPARE * port=0 adr=1A act=777DAAB3 exp=777DAAB3
6472.50ns INFO [00006474] * RD COMPARE * port=1 adr=12 act=BB40A548 exp=BB40A548
6472.50ns INFO [00006474] Port=0 RD @1B
6472.50ns INFO [00006474] Port=1 RD @07
6473.50ns INFO [00006475] * RD COMPARE * port=0 adr=0C act=13A6F146 exp=13A6F146
6473.50ns INFO [00006475] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6473.50ns INFO [00006475] Port=0 RD @18
6474.50ns INFO [00006476] * RD COMPARE * port=0 adr=1B act=332083EA exp=332083EA
6474.50ns INFO [00006476] * RD COMPARE * port=1 adr=07 act=DB23E9D3 exp=DB23E9D3
6474.50ns INFO [00006476] Port=1 RD @10
6475.50ns INFO [00006477] * RD COMPARE * port=0 adr=18 act=A311C769 exp=A311C769
6475.50ns INFO [00006477] Port=0 RD @07
6475.50ns INFO [00006477] Port=1 RD @0E
6476.50ns INFO [00006478] * RD COMPARE * port=1 adr=10 act=B168F5A6 exp=B168F5A6
6476.50ns INFO [00006478] Port=0 WR @19=A1CC386A
6476.50ns INFO [00006478] Port=0 RD @08
6477.50ns INFO [00006479] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3
6477.50ns INFO [00006479] * RD COMPARE * port=1 adr=0E act=0D20D7FD exp=0D20D7FD
6477.50ns INFO [00006479] Port=1 RD @1A
6478.50ns INFO [00006480] * RD COMPARE * port=0 adr=08 act=9C2B3D2A exp=9C2B3D2A
6478.50ns INFO [00006480] Port=0 WR @10=B84B0A43
6479.50ns INFO [00006481] * RD COMPARE * port=1 adr=1A act=777DAAB3 exp=777DAAB3
6479.50ns INFO [00006481] Port=0 RD @1C
6479.50ns INFO [00006481] Port=1 RD @15
6480.50ns INFO [00006482] Port=0 RD @03
6481.50ns INFO [00006483] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E
6481.50ns INFO [00006483] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57
6481.50ns INFO [00006483] Port=0 WR @1D=FD6AFD1A
6481.50ns INFO [00006483] Port=1 RD @1A
6482.50ns INFO [00006484] * RD COMPARE * port=0 adr=03 act=DBD3A4B1 exp=DBD3A4B1
6482.50ns INFO [00006484] Port=0 RD @01
6483.50ns INFO [00006485] * RD COMPARE * port=1 adr=1A act=777DAAB3 exp=777DAAB3
6483.50ns INFO [00006485] Port=0 WR @17=58E7991D
6483.50ns INFO [00006485] Port=0 RD @1C
6483.50ns INFO [00006485] Port=1 RD @09
6484.50ns INFO [00006486] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6484.50ns INFO [00006486] Port=0 RD @19
6485.50ns INFO [00006487] * RD COMPARE * port=0 adr=1C act=41CC4B2E exp=41CC4B2E
6485.50ns INFO [00006487] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3
6486.50ns INFO [00006488] * RD COMPARE * port=0 adr=19 act=A1CC386A exp=A1CC386A
6486.50ns INFO [00006488] Port=0 WR @11=AF050869
6486.50ns INFO [00006488] Port=1 RD @0A
6488.50ns INFO [00006490] * RD COMPARE * port=1 adr=0A act=D794D1D7 exp=D794D1D7
6488.50ns INFO [00006490] Port=0 RD @04
6488.50ns INFO [00006490] Port=1 RD @00
6489.50ns INFO [00006491] Port=0 RD @0F
6489.50ns INFO [00006491] Port=1 RD @13
6490.50ns INFO [00006492] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6490.50ns INFO [00006492] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF
6490.50ns INFO [00006492] Port=0 RD @1D
6491.50ns INFO [00006493] * RD COMPARE * port=0 adr=0F act=3E50D06F exp=3E50D06F
6491.50ns INFO [00006493] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998
6491.50ns INFO [00006493] Port=0 WR @1C=BCC3A452
6491.50ns INFO [00006493] Port=1 RD @1B
6492.50ns INFO [00006494] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A
6492.50ns INFO [00006494] Port=0 RD @16
6493.50ns INFO [00006495] * RD COMPARE * port=1 adr=1B act=332083EA exp=332083EA
6493.50ns INFO [00006495] Port=0 RD @1E
6493.50ns INFO [00006495] Port=1 RD @0B
6494.50ns INFO [00006496] * RD COMPARE * port=0 adr=16 act=86345183 exp=86345183
6494.50ns INFO [00006496] Port=0 WR @1F=DC3E58DF
6494.50ns INFO [00006496] Port=0 RD @0E
6494.50ns INFO [00006496] Port=1 RD @14
6495.50ns INFO [00006497] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151
6495.50ns INFO [00006497] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F
6496.50ns INFO [00006498] * RD COMPARE * port=0 adr=0E act=0D20D7FD exp=0D20D7FD
6496.50ns INFO [00006498] * RD COMPARE * port=1 adr=14 act=DBD80A0F exp=DBD80A0F
6496.50ns INFO [00006498] Port=0 WR @19=F4AC3CD6
6497.50ns INFO [00006499] Port=0 WR @1A=410149BD
6497.50ns INFO [00006499] Port=1 RD @0B
6498.00ns INFO [00006500] [00006500] ...tick...
6499.50ns INFO [00006501] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F
6500.50ns INFO [00006502] Port=0 WR @14=83E0C2CA
6500.50ns INFO [00006502] Port=1 RD @04
6501.50ns INFO [00006503] Port=0 WR @05=3C5FCA62
6501.50ns INFO [00006503] Port=1 RD @1F
6502.50ns INFO [00006504] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55
6502.50ns INFO [00006504] Port=0 WR @1C=0406E502
6503.50ns INFO [00006505] * RD COMPARE * port=1 adr=1F act=DC3E58DF exp=DC3E58DF
6505.50ns INFO [00006507] Port=1 RD @07
6507.50ns INFO [00006509] * RD COMPARE * port=1 adr=07 act=DB23E9D3 exp=DB23E9D3
6507.50ns INFO [00006509] Port=0 RD @1D
6507.50ns INFO [00006509] Port=1 RD @11
6508.50ns INFO [00006510] Port=0 RD @12
6509.50ns INFO [00006511] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A
6509.50ns INFO [00006511] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869
6509.50ns INFO [00006511] Port=0 WR @05=BC2CDBCE
6510.50ns INFO [00006512] * RD COMPARE * port=0 adr=12 act=BB40A548 exp=BB40A548
6511.50ns INFO [00006513] Port=0 RD @01
6512.50ns INFO [00006514] Port=0 WR @02=53EBA29C
6512.50ns INFO [00006514] Port=1 RD @03
6513.50ns INFO [00006515] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6513.50ns INFO [00006515] Port=0 WR @18=4DBEDD21
6513.50ns INFO [00006515] Port=1 RD @11
6514.50ns INFO [00006516] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1
6514.50ns INFO [00006516] Port=1 RD @0F
6515.50ns INFO [00006517] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869
6515.50ns INFO [00006517] Port=0 WR @12=74C947FD
6516.50ns INFO [00006518] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6516.50ns INFO [00006518] Port=0 WR @0E=B09843A0
6516.50ns INFO [00006518] Port=0 RD @0C
6516.50ns INFO [00006518] Port=1 RD @0C
6517.50ns INFO [00006519] Port=0 RD @07
6518.50ns INFO [00006520] * RD COMPARE * port=0 adr=0C act=13A6F146 exp=13A6F146
6518.50ns INFO [00006520] * RD COMPARE * port=1 adr=0C act=13A6F146 exp=13A6F146
6518.50ns INFO [00006520] Port=0 RD @1A
6518.50ns INFO [00006520] Port=1 RD @1C
6519.50ns INFO [00006521] * RD COMPARE * port=0 adr=07 act=DB23E9D3 exp=DB23E9D3
6519.50ns INFO [00006521] Port=0 WR @07=1BC655C6
6519.50ns INFO [00006521] Port=1 RD @0F
6520.50ns INFO [00006522] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD
6520.50ns INFO [00006522] * RD COMPARE * port=1 adr=1C act=0406E502 exp=0406E502
6520.50ns INFO [00006522] Port=0 WR @05=96797C4A
6520.50ns INFO [00006522] Port=0 RD @14
6521.50ns INFO [00006523] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6521.50ns INFO [00006523] Port=0 WR @1C=9730A9B4
6521.50ns INFO [00006523] Port=0 RD @18
6522.50ns INFO [00006524] * RD COMPARE * port=0 adr=14 act=83E0C2CA exp=83E0C2CA
6522.50ns INFO [00006524] Port=0 WR @0C=36FCF990
6523.50ns INFO [00006525] * RD COMPARE * port=0 adr=18 act=4DBEDD21 exp=4DBEDD21
6525.50ns INFO [00006527] Port=0 WR @16=8EE1BB20
6525.50ns INFO [00006527] Port=1 RD @13
6526.50ns INFO [00006528] Port=0 WR @0A=00E22466
6526.50ns INFO [00006528] Port=1 RD @1D
6527.50ns INFO [00006529] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998
6527.50ns INFO [00006529] Port=0 WR @1B=276CE7AE
6527.50ns INFO [00006529] Port=1 RD @1C
6528.50ns INFO [00006530] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A
6528.50ns INFO [00006530] Port=1 RD @11
6529.50ns INFO [00006531] * RD COMPARE * port=1 adr=1C act=9730A9B4 exp=9730A9B4
6529.50ns INFO [00006531] Port=0 RD @04
6529.50ns INFO [00006531] Port=1 RD @1B
6530.50ns INFO [00006532] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869
6530.50ns INFO [00006532] Port=0 RD @12
6531.50ns INFO [00006533] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6531.50ns INFO [00006533] * RD COMPARE * port=1 adr=1B act=276CE7AE exp=276CE7AE
6531.50ns INFO [00006533] Port=1 RD @03
6532.50ns INFO [00006534] * RD COMPARE * port=0 adr=12 act=74C947FD exp=74C947FD
6532.50ns INFO [00006534] Port=0 RD @17
6533.50ns INFO [00006535] * RD COMPARE * port=1 adr=03 act=DBD3A4B1 exp=DBD3A4B1
6534.50ns INFO [00006536] * RD COMPARE * port=0 adr=17 act=58E7991D exp=58E7991D
6534.50ns INFO [00006536] Port=0 WR @17=D657116E
6534.50ns INFO [00006536] Port=1 RD @0D
6535.50ns INFO [00006537] Port=0 RD @13
6536.50ns INFO [00006538] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6536.50ns INFO [00006538] Port=0 WR @1B=B90BE625
6536.50ns INFO [00006538] Port=1 RD @16
6537.50ns INFO [00006539] * RD COMPARE * port=0 adr=13 act=D0646998 exp=D0646998
6537.50ns INFO [00006539] Port=1 RD @0B
6538.50ns INFO [00006540] * RD COMPARE * port=1 adr=16 act=8EE1BB20 exp=8EE1BB20
6539.50ns INFO [00006541] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F
6540.50ns INFO [00006542] Port=0 WR @1F=F1283809
6540.50ns INFO [00006542] Port=0 RD @1A
6540.50ns INFO [00006542] Port=1 RD @17
6542.50ns INFO [00006544] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD
6542.50ns INFO [00006544] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E
6542.50ns INFO [00006544] Port=0 WR @14=45ACD8A4
6542.50ns INFO [00006544] Port=1 RD @09
6543.50ns INFO [00006545] Port=0 RD @01
6544.50ns INFO [00006546] * RD COMPARE * port=1 adr=09 act=FB6415A3 exp=FB6415A3
6544.50ns INFO [00006546] Port=0 WR @06=89B256D0
6544.50ns INFO [00006546] Port=0 RD @04
6545.50ns INFO [00006547] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6545.50ns INFO [00006547] Port=0 WR @19=90FCB67C
6545.50ns INFO [00006547] Port=0 RD @1A
6546.50ns INFO [00006548] * RD COMPARE * port=0 adr=04 act=A0FA7A55 exp=A0FA7A55
6546.50ns INFO [00006548] Port=1 RD @10
6547.50ns INFO [00006549] * RD COMPARE * port=0 adr=1A act=410149BD exp=410149BD
6547.50ns INFO [00006549] Port=1 RD @13
6548.50ns INFO [00006550] * RD COMPARE * port=1 adr=10 act=B84B0A43 exp=B84B0A43
6548.50ns INFO [00006550] Port=1 RD @12
6549.50ns INFO [00006551] * RD COMPARE * port=1 adr=13 act=D0646998 exp=D0646998
6549.50ns INFO [00006551] Port=0 WR @10=999B4B90
6549.50ns INFO [00006551] Port=1 RD @1D
6550.50ns INFO [00006552] * RD COMPARE * port=1 adr=12 act=74C947FD exp=74C947FD
6550.50ns INFO [00006552] Port=0 WR @10=464B234F
6550.50ns INFO [00006552] Port=0 RD @0A
6551.50ns INFO [00006553] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A
6551.50ns INFO [00006553] Port=0 WR @06=997936E1
6551.50ns INFO [00006553] Port=1 RD @1A
6552.50ns INFO [00006554] * RD COMPARE * port=0 adr=0A act=00E22466 exp=00E22466
6552.50ns INFO [00006554] Port=0 WR @1A=92C8CC2B
6552.50ns INFO [00006554] Port=0 RD @0E
6553.50ns INFO [00006555] * RD COMPARE * port=1 adr=1A act=410149BD exp=410149BD
6553.50ns INFO [00006555] Port=0 RD @17
6553.50ns INFO [00006555] Port=1 RD @11
6554.50ns INFO [00006556] * RD COMPARE * port=0 adr=0E act=B09843A0 exp=B09843A0
6554.50ns INFO [00006556] Port=0 WR @0E=9529A469
6555.50ns INFO [00006557] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E
6555.50ns INFO [00006557] * RD COMPARE * port=1 adr=11 act=AF050869 exp=AF050869
6557.50ns INFO [00006559] Port=0 WR @06=B6703137
6557.50ns INFO [00006559] Port=0 RD @1B
6557.50ns INFO [00006559] Port=1 RD @02
6559.50ns INFO [00006561] * RD COMPARE * port=0 adr=1B act=B90BE625 exp=B90BE625
6559.50ns INFO [00006561] * RD COMPARE * port=1 adr=02 act=53EBA29C exp=53EBA29C
6559.50ns INFO [00006561] Port=1 RD @00
6560.50ns INFO [00006562] Port=0 RD @05
6560.50ns INFO [00006562] Port=1 RD @00
6561.50ns INFO [00006563] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF
6562.50ns INFO [00006564] * RD COMPARE * port=0 adr=05 act=96797C4A exp=96797C4A
6562.50ns INFO [00006564] * RD COMPARE * port=1 adr=00 act=F68FDAFF exp=F68FDAFF
6562.50ns INFO [00006564] Port=1 RD @17
6563.50ns INFO [00006565] Port=0 WR @13=4FEE5953
6563.50ns INFO [00006565] Port=1 RD @1F
6564.50ns INFO [00006566] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E
6565.50ns INFO [00006567] * RD COMPARE * port=1 adr=1F act=F1283809 exp=F1283809
6565.50ns INFO [00006567] Port=1 RD @07
6566.50ns INFO [00006568] Port=1 RD @18
6567.50ns INFO [00006569] * RD COMPARE * port=1 adr=07 act=1BC655C6 exp=1BC655C6
6567.50ns INFO [00006569] Port=0 WR @0C=2CE2E7BE
6568.50ns INFO [00006570] * RD COMPARE * port=1 adr=18 act=4DBEDD21 exp=4DBEDD21
6568.50ns INFO [00006570] Port=1 RD @0F
6569.50ns INFO [00006571] Port=0 WR @18=C6EDA7BE
6569.50ns INFO [00006571] Port=1 RD @16
6570.50ns INFO [00006572] * RD COMPARE * port=1 adr=0F act=3E50D06F exp=3E50D06F
6570.50ns INFO [00006572] Port=0 WR @1F=CD23ACCF
6570.50ns INFO [00006572] Port=0 RD @17
6571.50ns INFO [00006573] * RD COMPARE * port=1 adr=16 act=8EE1BB20 exp=8EE1BB20
6571.50ns INFO [00006573] Port=0 RD @1F
6572.50ns INFO [00006574] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E
6572.50ns INFO [00006574] Port=1 RD @0B
6573.50ns INFO [00006575] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF
6574.50ns INFO [00006576] * RD COMPARE * port=1 adr=0B act=4C0C1F4F exp=4C0C1F4F
6575.50ns INFO [00006577] Port=0 WR @07=94AAEA59
6576.50ns INFO [00006578] Port=1 RD @04
6578.50ns INFO [00006580] * RD COMPARE * port=1 adr=04 act=A0FA7A55 exp=A0FA7A55
6578.50ns INFO [00006580] Port=0 WR @00=EE341FA5
6578.50ns INFO [00006580] Port=0 RD @0D
6579.50ns INFO [00006581] Port=0 WR @19=AED98637
6580.50ns INFO [00006582] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6580.50ns INFO [00006582] Port=0 WR @04=022FD40D
6581.50ns INFO [00006583] Port=1 RD @17
6582.50ns INFO [00006584] Port=0 WR @08=8B55B4A1
6583.50ns INFO [00006585] * RD COMPARE * port=1 adr=17 act=D657116E exp=D657116E
6583.50ns INFO [00006585] Port=0 RD @07
6584.50ns INFO [00006586] Port=0 WR @00=78CB9824
6584.50ns INFO [00006586] Port=1 RD @0C
6585.50ns INFO [00006587] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59
6586.50ns INFO [00006588] * RD COMPARE * port=1 adr=0C act=2CE2E7BE exp=2CE2E7BE
6586.50ns INFO [00006588] Port=0 RD @08
6587.50ns INFO [00006589] Port=0 WR @0C=94448ADC
6588.50ns INFO [00006590] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1
6588.50ns INFO [00006590] Port=0 WR @09=DEEA82D1
6589.50ns INFO [00006591] Port=0 WR @16=933B6552
6589.50ns INFO [00006591] Port=1 RD @12
6590.50ns INFO [00006592] Port=0 WR @1A=6A08D8A1
6591.50ns INFO [00006593] * RD COMPARE * port=1 adr=12 act=74C947FD exp=74C947FD
6593.50ns INFO [00006595] Port=0 WR @10=95B45AB9
6594.50ns INFO [00006596] Port=0 RD @0D
6596.50ns INFO [00006598] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6596.50ns INFO [00006598] Port=1 RD @1A
6597.50ns INFO [00006599] Port=0 WR @03=32CFDE9C
6598.00ns INFO [00006600] [00006600] ...tick...
6598.50ns INFO [00006600] * RD COMPARE * port=1 adr=1A act=6A08D8A1 exp=6A08D8A1
6598.50ns INFO [00006600] Port=0 WR @00=27267651
6598.50ns INFO [00006600] Port=0 RD @0D
6599.50ns INFO [00006601] Port=0 WR @1A=1DA2DE9F
6599.50ns INFO [00006601] Port=1 RD @05
6600.50ns INFO [00006602] * RD COMPARE * port=0 adr=0D act=6835ED45 exp=6835ED45
6600.50ns INFO [00006602] Port=0 WR @00=3A2B5A34
6600.50ns INFO [00006602] Port=0 RD @07
6600.50ns INFO [00006602] Port=1 RD @14
6601.50ns INFO [00006603] * RD COMPARE * port=1 adr=05 act=96797C4A exp=96797C4A
6602.50ns INFO [00006604] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59
6602.50ns INFO [00006604] * RD COMPARE * port=1 adr=14 act=45ACD8A4 exp=45ACD8A4
6602.50ns INFO [00006604] Port=0 RD @18
6603.50ns INFO [00006605] Port=1 RD @00
6604.50ns INFO [00006606] * RD COMPARE * port=0 adr=18 act=C6EDA7BE exp=C6EDA7BE
6604.50ns INFO [00006606] Port=0 WR @19=B4EC523B
6604.50ns INFO [00006606] Port=1 RD @00
6605.50ns INFO [00006607] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34
6605.50ns INFO [00006607] Port=0 RD @08
6606.50ns INFO [00006608] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34
6606.50ns INFO [00006608] Port=1 RD @1C
6607.50ns INFO [00006609] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1
6607.50ns INFO [00006609] Port=0 RD @1A
6607.50ns INFO [00006609] Port=1 RD @0D
6608.50ns INFO [00006610] * RD COMPARE * port=1 adr=1C act=9730A9B4 exp=9730A9B4
6608.50ns INFO [00006610] Port=0 WR @18=825ECA51
6608.50ns INFO [00006610] Port=0 RD @13
6609.50ns INFO [00006611] * RD COMPARE * port=0 adr=1A act=1DA2DE9F exp=1DA2DE9F
6609.50ns INFO [00006611] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6609.50ns INFO [00006611] Port=0 WR @06=4C9EAC0C
6609.50ns INFO [00006611] Port=1 RD @10
6610.50ns INFO [00006612] * RD COMPARE * port=0 adr=13 act=4FEE5953 exp=4FEE5953
6610.50ns INFO [00006612] Port=0 RD @14
6611.50ns INFO [00006613] * RD COMPARE * port=1 adr=10 act=95B45AB9 exp=95B45AB9
6612.50ns INFO [00006614] * RD COMPARE * port=0 adr=14 act=45ACD8A4 exp=45ACD8A4
6613.50ns INFO [00006615] Port=0 WR @0A=8F5A4854
6613.50ns INFO [00006615] Port=0 RD @1A
6614.50ns INFO [00006616] Port=0 RD @12
6615.50ns INFO [00006617] * RD COMPARE * port=0 adr=1A act=1DA2DE9F exp=1DA2DE9F
6616.50ns INFO [00006618] * RD COMPARE * port=0 adr=12 act=74C947FD exp=74C947FD
6616.50ns INFO [00006618] Port=0 RD @05
6616.50ns INFO [00006618] Port=1 RD @18
6617.50ns INFO [00006619] Port=0 RD @09
6618.50ns INFO [00006620] * RD COMPARE * port=0 adr=05 act=96797C4A exp=96797C4A
6618.50ns INFO [00006620] * RD COMPARE * port=1 adr=18 act=825ECA51 exp=825ECA51
6619.50ns INFO [00006621] * RD COMPARE * port=0 adr=09 act=DEEA82D1 exp=DEEA82D1
6620.50ns INFO [00006622] Port=0 RD @1E
6620.50ns INFO [00006622] Port=1 RD @06
6621.50ns INFO [00006623] Port=0 RD @17
6621.50ns INFO [00006623] Port=1 RD @08
6622.50ns INFO [00006624] * RD COMPARE * port=0 adr=1E act=1957E151 exp=1957E151
6622.50ns INFO [00006624] * RD COMPARE * port=1 adr=06 act=4C9EAC0C exp=4C9EAC0C
6623.50ns INFO [00006625] * RD COMPARE * port=0 adr=17 act=D657116E exp=D657116E
6623.50ns INFO [00006625] * RD COMPARE * port=1 adr=08 act=8B55B4A1 exp=8B55B4A1
6624.50ns INFO [00006626] Port=0 WR @05=911120C7
6624.50ns INFO [00006626] Port=1 RD @1D
6625.50ns INFO [00006627] Port=0 WR @13=068F0CA1
6625.50ns INFO [00006627] Port=0 RD @02
6625.50ns INFO [00006627] Port=1 RD @1F
6626.50ns INFO [00006628] * RD COMPARE * port=1 adr=1D act=FD6AFD1A exp=FD6AFD1A
6627.50ns INFO [00006629] * RD COMPARE * port=0 adr=02 act=53EBA29C exp=53EBA29C
6627.50ns INFO [00006629] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF
6627.50ns INFO [00006629] Port=0 RD @1F
6627.50ns INFO [00006629] Port=1 RD @1F
6628.50ns INFO [00006630] Port=0 WR @12=1C7A1452
6628.50ns INFO [00006630] Port=1 RD @07
6629.50ns INFO [00006631] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF
6629.50ns INFO [00006631] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF
6630.50ns INFO [00006632] * RD COMPARE * port=1 adr=07 act=94AAEA59 exp=94AAEA59
6631.50ns INFO [00006633] Port=0 WR @05=D0475FC7
6632.50ns INFO [00006634] Port=0 RD @13
6634.50ns INFO [00006636] * RD COMPARE * port=0 adr=13 act=068F0CA1 exp=068F0CA1
6634.50ns INFO [00006636] Port=0 WR @1A=0852C1C6
6634.50ns INFO [00006636] Port=1 RD @1B
6636.50ns INFO [00006638] * RD COMPARE * port=1 adr=1B act=B90BE625 exp=B90BE625
6636.50ns INFO [00006638] Port=0 WR @1C=5AB44143
6636.50ns INFO [00006638] Port=0 RD @1F
6638.50ns INFO [00006640] * RD COMPARE * port=0 adr=1F act=CD23ACCF exp=CD23ACCF
6641.50ns INFO [00006643] Port=0 RD @10
6641.50ns INFO [00006643] Port=1 RD @14
6642.50ns INFO [00006644] Port=0 WR @09=A8CFA11B
6642.50ns INFO [00006644] Port=0 RD @04
6643.50ns INFO [00006645] * RD COMPARE * port=0 adr=10 act=95B45AB9 exp=95B45AB9
6643.50ns INFO [00006645] * RD COMPARE * port=1 adr=14 act=45ACD8A4 exp=45ACD8A4
6643.50ns INFO [00006645] Port=0 WR @1A=087FA28D
6644.50ns INFO [00006646] * RD COMPARE * port=0 adr=04 act=022FD40D exp=022FD40D
6644.50ns INFO [00006646] Port=0 WR @02=816E009E
6644.50ns INFO [00006646] Port=1 RD @10
6645.50ns INFO [00006647] Port=0 WR @0F=543FDBE1
6646.50ns INFO [00006648] * RD COMPARE * port=1 adr=10 act=95B45AB9 exp=95B45AB9
6646.50ns INFO [00006648] Port=1 RD @1F
6647.50ns INFO [00006649] Port=1 RD @13
6648.50ns INFO [00006650] * RD COMPARE * port=1 adr=1F act=CD23ACCF exp=CD23ACCF
6648.50ns INFO [00006650] Port=0 WR @1F=4BF38625
6648.50ns INFO [00006650] Port=1 RD @08
6649.50ns INFO [00006651] * RD COMPARE * port=1 adr=13 act=068F0CA1 exp=068F0CA1
6649.50ns INFO [00006651] Port=0 RD @1D
6649.50ns INFO [00006651] Port=1 RD @12
6650.50ns INFO [00006652] * RD COMPARE * port=1 adr=08 act=8B55B4A1 exp=8B55B4A1
6650.50ns INFO [00006652] Port=0 RD @1F
6650.50ns INFO [00006652] Port=1 RD @1E
6651.50ns INFO [00006653] * RD COMPARE * port=0 adr=1D act=FD6AFD1A exp=FD6AFD1A
6651.50ns INFO [00006653] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6651.50ns INFO [00006653] Port=0 RD @01
6651.50ns INFO [00006653] Port=1 RD @0E
6652.50ns INFO [00006654] * RD COMPARE * port=0 adr=1F act=4BF38625 exp=4BF38625
6652.50ns INFO [00006654] * RD COMPARE * port=1 adr=1E act=1957E151 exp=1957E151
6652.50ns INFO [00006654] Port=0 WR @19=DFF94845
6653.50ns INFO [00006655] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6653.50ns INFO [00006655] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469
6653.50ns INFO [00006655] Port=0 RD @1C
6654.50ns INFO [00006656] Port=0 WR @18=E772707D
6654.50ns INFO [00006656] Port=0 RD @01
6654.50ns INFO [00006656] Port=1 RD @1A
6655.50ns INFO [00006657] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143
6655.50ns INFO [00006657] Port=0 WR @04=6742D186
6655.50ns INFO [00006657] Port=0 RD @08
6656.50ns INFO [00006658] * RD COMPARE * port=0 adr=01 act=DCE72B52 exp=DCE72B52
6656.50ns INFO [00006658] * RD COMPARE * port=1 adr=1A act=087FA28D exp=087FA28D
6656.50ns INFO [00006658] Port=0 WR @08=AFCCD6E4
6656.50ns INFO [00006658] Port=0 RD @03
6656.50ns INFO [00006658] Port=1 RD @0F
6657.50ns INFO [00006659] * RD COMPARE * port=0 adr=08 act=8B55B4A1 exp=8B55B4A1
6657.50ns INFO [00006659] Port=0 WR @10=26706390
6658.50ns INFO [00006660] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C
6658.50ns INFO [00006660] * RD COMPARE * port=1 adr=0F act=543FDBE1 exp=543FDBE1
6658.50ns INFO [00006660] Port=0 WR @19=06E8BD2F
6658.50ns INFO [00006660] Port=1 RD @18
6659.50ns INFO [00006661] Port=0 RD @03
6660.50ns INFO [00006662] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D
6660.50ns INFO [00006662] Port=0 WR @17=C1054E31
6661.50ns INFO [00006663] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C
6661.50ns INFO [00006663] Port=0 RD @07
6662.50ns INFO [00006664] Port=0 WR @1D=81154CA1
6662.50ns INFO [00006664] Port=1 RD @0F
6663.50ns INFO [00006665] * RD COMPARE * port=0 adr=07 act=94AAEA59 exp=94AAEA59
6664.50ns INFO [00006666] * RD COMPARE * port=1 adr=0F act=543FDBE1 exp=543FDBE1
6664.50ns INFO [00006666] Port=0 WR @0F=08698759
6664.50ns INFO [00006666] Port=1 RD @1E
6666.50ns INFO [00006668] * RD COMPARE * port=1 adr=1E act=1957E151 exp=1957E151
6666.50ns INFO [00006668] Port=0 WR @16=AFF827F2
6667.50ns INFO [00006669] Port=1 RD @10
6668.50ns INFO [00006670] Port=0 WR @0C=518A4E8C
6669.50ns INFO [00006671] * RD COMPARE * port=1 adr=10 act=26706390 exp=26706390
6671.50ns INFO [00006673] Port=0 WR @02=6A058485
6671.50ns INFO [00006673] Port=0 RD @0A
6671.50ns INFO [00006673] Port=1 RD @0D
6672.50ns INFO [00006674] Port=0 WR @17=5D01A9E3
6673.50ns INFO [00006675] * RD COMPARE * port=0 adr=0A act=8F5A4854 exp=8F5A4854
6673.50ns INFO [00006675] * RD COMPARE * port=1 adr=0D act=6835ED45 exp=6835ED45
6673.50ns INFO [00006675] Port=0 RD @0F
6675.50ns INFO [00006677] * RD COMPARE * port=0 adr=0F act=08698759 exp=08698759
6676.50ns INFO [00006678] Port=0 RD @04
6676.50ns INFO [00006678] Port=1 RD @16
6678.50ns INFO [00006680] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186
6678.50ns INFO [00006680] * RD COMPARE * port=1 adr=16 act=AFF827F2 exp=AFF827F2
6678.50ns INFO [00006680] Port=0 WR @0B=1B491493
6679.50ns INFO [00006681] Port=1 RD @0F
6680.50ns INFO [00006682] Port=0 RD @11
6680.50ns INFO [00006682] Port=1 RD @15
6681.50ns INFO [00006683] * RD COMPARE * port=1 adr=0F act=08698759 exp=08698759
6681.50ns INFO [00006683] Port=0 WR @1E=0830F018
6681.50ns INFO [00006683] Port=0 RD @06
6682.50ns INFO [00006684] * RD COMPARE * port=0 adr=11 act=AF050869 exp=AF050869
6682.50ns INFO [00006684] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57
6682.50ns INFO [00006684] Port=0 RD @02
6683.50ns INFO [00006685] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C
6684.50ns INFO [00006686] * RD COMPARE * port=0 adr=02 act=6A058485 exp=6A058485
6684.50ns INFO [00006686] Port=1 RD @0E
6686.50ns INFO [00006688] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469
6686.50ns INFO [00006688] Port=0 RD @14
6687.50ns INFO [00006689] Port=0 WR @0D=9E678607
6687.50ns INFO [00006689] Port=1 RD @0E
6688.50ns INFO [00006690] * RD COMPARE * port=0 adr=14 act=45ACD8A4 exp=45ACD8A4
6688.50ns INFO [00006690] Port=0 RD @03
6689.50ns INFO [00006691] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469
6689.50ns INFO [00006691] Port=0 RD @1C
6689.50ns INFO [00006691] Port=1 RD @1A
6690.50ns INFO [00006692] * RD COMPARE * port=0 adr=03 act=32CFDE9C exp=32CFDE9C
6691.50ns INFO [00006693] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143
6691.50ns INFO [00006693] * RD COMPARE * port=1 adr=1A act=087FA28D exp=087FA28D
6691.50ns INFO [00006693] Port=0 RD @04
6691.50ns INFO [00006693] Port=1 RD @15
6692.50ns INFO [00006694] Port=0 WR @11=258AA418
6693.50ns INFO [00006695] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186
6693.50ns INFO [00006695] * RD COMPARE * port=1 adr=15 act=87A3FB57 exp=87A3FB57
6693.50ns INFO [00006695] Port=1 RD @13
6694.50ns INFO [00006696] Port=0 WR @13=B76224FF
6695.50ns INFO [00006697] * RD COMPARE * port=1 adr=13 act=068F0CA1 exp=068F0CA1
6695.50ns INFO [00006697] Port=1 RD @13
6696.50ns INFO [00006698] Port=0 WR @07=AD9B507E
6696.50ns INFO [00006698] Port=0 RD @1A
6696.50ns INFO [00006698] Port=1 RD @19
6697.50ns INFO [00006699] * RD COMPARE * port=1 adr=13 act=B76224FF exp=B76224FF
6697.50ns INFO [00006699] Port=0 WR @1A=27C187B7
6698.00ns INFO [00006700] [00006700] ...tick...
6698.50ns INFO [00006700] * RD COMPARE * port=0 adr=1A act=087FA28D exp=087FA28D
6698.50ns INFO [00006700] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F
6698.50ns INFO [00006700] Port=1 RD @10
6699.50ns INFO [00006701] Port=1 RD @0D
6700.50ns INFO [00006702] * RD COMPARE * port=1 adr=10 act=26706390 exp=26706390
6700.50ns INFO [00006702] Port=0 RD @0E
6701.50ns INFO [00006703] * RD COMPARE * port=1 adr=0D act=9E678607 exp=9E678607
6701.50ns INFO [00006703] Port=0 WR @0D=2054D2EE
6701.50ns INFO [00006703] Port=0 RD @0B
6702.50ns INFO [00006704] * RD COMPARE * port=0 adr=0E act=9529A469 exp=9529A469
6702.50ns INFO [00006704] Port=1 RD @19
6703.50ns INFO [00006705] * RD COMPARE * port=0 adr=0B act=1B491493 exp=1B491493
6703.50ns INFO [00006705] Port=1 RD @06
6704.50ns INFO [00006706] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F
6705.50ns INFO [00006707] * RD COMPARE * port=1 adr=06 act=4C9EAC0C exp=4C9EAC0C
6705.50ns INFO [00006707] Port=1 RD @09
6706.50ns INFO [00006708] Port=0 RD @09
6707.50ns INFO [00006709] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B
6707.50ns INFO [00006709] Port=0 RD @16
6708.50ns INFO [00006710] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6708.50ns INFO [00006710] Port=1 RD @19
6709.50ns INFO [00006711] * RD COMPARE * port=0 adr=16 act=AFF827F2 exp=AFF827F2
6709.50ns INFO [00006711] Port=1 RD @02
6710.50ns INFO [00006712] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F
6710.50ns INFO [00006712] Port=0 RD @1C
6711.50ns INFO [00006713] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485
6711.50ns INFO [00006713] Port=1 RD @19
6712.50ns INFO [00006714] * RD COMPARE * port=0 adr=1C act=5AB44143 exp=5AB44143
6712.50ns INFO [00006714] Port=0 WR @14=9DFDEF44
6712.50ns INFO [00006714] Port=0 RD @19
6713.50ns INFO [00006715] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F
6713.50ns INFO [00006715] Port=0 RD @11
6713.50ns INFO [00006715] Port=1 RD @1C
6714.50ns INFO [00006716] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F
6714.50ns INFO [00006716] Port=1 RD @18
6715.50ns INFO [00006717] * RD COMPARE * port=0 adr=11 act=258AA418 exp=258AA418
6715.50ns INFO [00006717] * RD COMPARE * port=1 adr=1C act=5AB44143 exp=5AB44143
6715.50ns INFO [00006717] Port=0 RD @19
6715.50ns INFO [00006717] Port=1 RD @17
6716.50ns INFO [00006718] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D
6717.50ns INFO [00006719] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F
6717.50ns INFO [00006719] * RD COMPARE * port=1 adr=17 act=5D01A9E3 exp=5D01A9E3
6717.50ns INFO [00006719] Port=0 WR @0F=D95E5316
6717.50ns INFO [00006719] Port=0 RD @1B
6718.50ns INFO [00006720] Port=0 RD @18
6719.50ns INFO [00006721] * RD COMPARE * port=0 adr=1B act=B90BE625 exp=B90BE625
6720.50ns INFO [00006722] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D
6720.50ns INFO [00006722] Port=1 RD @05
6721.50ns INFO [00006723] Port=0 RD @1F
6722.50ns INFO [00006724] * RD COMPARE * port=1 adr=05 act=D0475FC7 exp=D0475FC7
6722.50ns INFO [00006724] Port=0 RD @17
6723.50ns INFO [00006725] * RD COMPARE * port=0 adr=1F act=4BF38625 exp=4BF38625
6723.50ns INFO [00006725] Port=0 WR @0D=BCE955BF
6724.50ns INFO [00006726] * RD COMPARE * port=0 adr=17 act=5D01A9E3 exp=5D01A9E3
6724.50ns INFO [00006726] Port=0 WR @1A=452D5F98
6724.50ns INFO [00006726] Port=1 RD @08
6725.50ns INFO [00006727] Port=0 WR @15=E48041C3
6725.50ns INFO [00006727] Port=0 RD @09
6726.50ns INFO [00006728] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4
6726.50ns INFO [00006728] Port=0 WR @17=BE3A0AA7
6726.50ns INFO [00006728] Port=0 RD @08
6727.50ns INFO [00006729] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6727.50ns INFO [00006729] Port=0 RD @0E
6728.50ns INFO [00006730] * RD COMPARE * port=0 adr=08 act=AFCCD6E4 exp=AFCCD6E4
6728.50ns INFO [00006730] Port=0 WR @1B=9DB730E8
6728.50ns INFO [00006730] Port=0 RD @14
6728.50ns INFO [00006730] Port=1 RD @17
6729.50ns INFO [00006731] * RD COMPARE * port=0 adr=0E act=9529A469 exp=9529A469
6730.50ns INFO [00006732] * RD COMPARE * port=0 adr=14 act=9DFDEF44 exp=9DFDEF44
6730.50ns INFO [00006732] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6730.50ns INFO [00006732] Port=0 RD @0A
6731.50ns INFO [00006733] Port=0 WR @0A=08064F1A
6731.50ns INFO [00006733] Port=1 RD @1F
6732.50ns INFO [00006734] * RD COMPARE * port=0 adr=0A act=8F5A4854 exp=8F5A4854
6732.50ns INFO [00006734] Port=0 RD @17
6732.50ns INFO [00006734] Port=1 RD @13
6733.50ns INFO [00006735] * RD COMPARE * port=1 adr=1F act=4BF38625 exp=4BF38625
6733.50ns INFO [00006735] Port=0 RD @18
6733.50ns INFO [00006735] Port=1 RD @00
6734.50ns INFO [00006736] * RD COMPARE * port=0 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6734.50ns INFO [00006736] * RD COMPARE * port=1 adr=13 act=B76224FF exp=B76224FF
6734.50ns INFO [00006736] Port=0 WR @16=F22CD130
6734.50ns INFO [00006736] Port=0 RD @06
6735.50ns INFO [00006737] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D
6735.50ns INFO [00006737] * RD COMPARE * port=1 adr=00 act=3A2B5A34 exp=3A2B5A34
6736.50ns INFO [00006738] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C
6737.50ns INFO [00006739] Port=1 RD @02
6738.50ns INFO [00006740] Port=0 WR @0A=DCE6FBDE
6739.50ns INFO [00006741] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485
6739.50ns INFO [00006741] Port=0 RD @09
6740.50ns INFO [00006742] Port=0 WR @13=9A71DD57
6740.50ns INFO [00006742] Port=1 RD @12
6741.50ns INFO [00006743] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6742.50ns INFO [00006744] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6742.50ns INFO [00006744] Port=0 RD @07
6742.50ns INFO [00006744] Port=1 RD @17
6743.50ns INFO [00006745] Port=1 RD @17
6744.50ns INFO [00006746] * RD COMPARE * port=0 adr=07 act=AD9B507E exp=AD9B507E
6744.50ns INFO [00006746] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6745.50ns INFO [00006747] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6745.50ns INFO [00006747] Port=0 WR @1C=2C4C5B07
6745.50ns INFO [00006747] Port=1 RD @17
6746.50ns INFO [00006748] Port=0 RD @1E
6747.50ns INFO [00006749] * RD COMPARE * port=1 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6747.50ns INFO [00006749] Port=1 RD @09
6748.50ns INFO [00006750] * RD COMPARE * port=0 adr=1E act=0830F018 exp=0830F018
6748.50ns INFO [00006750] Port=0 WR @1F=C21A5818
6748.50ns INFO [00006750] Port=0 RD @17
6748.50ns INFO [00006750] Port=1 RD @0E
6749.50ns INFO [00006751] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B
6749.50ns INFO [00006751] Port=0 RD @19
6749.50ns INFO [00006751] Port=1 RD @1C
6750.50ns INFO [00006752] * RD COMPARE * port=0 adr=17 act=BE3A0AA7 exp=BE3A0AA7
6750.50ns INFO [00006752] * RD COMPARE * port=1 adr=0E act=9529A469 exp=9529A469
6750.50ns INFO [00006752] Port=0 WR @0C=0B488661
6751.50ns INFO [00006753] * RD COMPARE * port=0 adr=19 act=06E8BD2F exp=06E8BD2F
6751.50ns INFO [00006753] * RD COMPARE * port=1 adr=1C act=2C4C5B07 exp=2C4C5B07
6752.50ns INFO [00006754] Port=1 RD @07
6753.50ns INFO [00006755] Port=0 RD @00
6753.50ns INFO [00006755] Port=1 RD @07
6754.50ns INFO [00006756] * RD COMPARE * port=1 adr=07 act=AD9B507E exp=AD9B507E
6754.50ns INFO [00006756] Port=0 WR @16=E4855BF1
6754.50ns INFO [00006756] Port=1 RD @0F
6755.50ns INFO [00006757] * RD COMPARE * port=0 adr=00 act=3A2B5A34 exp=3A2B5A34
6755.50ns INFO [00006757] * RD COMPARE * port=1 adr=07 act=AD9B507E exp=AD9B507E
6755.50ns INFO [00006757] Port=0 WR @01=B15E3A87
6755.50ns INFO [00006757] Port=1 RD @02
6756.50ns INFO [00006758] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316
6756.50ns INFO [00006758] Port=1 RD @1B
6757.50ns INFO [00006759] * RD COMPARE * port=1 adr=02 act=6A058485 exp=6A058485
6757.50ns INFO [00006759] Port=0 WR @14=C653DA5A
6757.50ns INFO [00006759] Port=0 RD @1A
6758.50ns INFO [00006760] * RD COMPARE * port=1 adr=1B act=9DB730E8 exp=9DB730E8
6759.50ns INFO [00006761] * RD COMPARE * port=0 adr=1A act=452D5F98 exp=452D5F98
6759.50ns INFO [00006761] Port=0 WR @11=8C2D5B04
6759.50ns INFO [00006761] Port=0 RD @06
6760.50ns INFO [00006762] Port=1 RD @1F
6761.50ns INFO [00006763] * RD COMPARE * port=0 adr=06 act=4C9EAC0C exp=4C9EAC0C
6762.50ns INFO [00006764] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818
6762.50ns INFO [00006764] Port=0 WR @0D=9730B397
6763.50ns INFO [00006765] Port=0 WR @15=D740AF97
6763.50ns INFO [00006765] Port=0 RD @02
6763.50ns INFO [00006765] Port=1 RD @01
6764.50ns INFO [00006766] Port=1 RD @1F
6765.50ns INFO [00006767] * RD COMPARE * port=0 adr=02 act=6A058485 exp=6A058485
6765.50ns INFO [00006767] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87
6766.50ns INFO [00006768] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818
6766.50ns INFO [00006768] Port=0 WR @00=629D780E
6767.50ns INFO [00006769] Port=0 WR @0A=419F2CD6
6769.50ns INFO [00006771] Port=0 WR @10=BFB2F42C
6769.50ns INFO [00006771] Port=1 RD @04
6770.50ns INFO [00006772] Port=0 WR @17=B48B261B
6770.50ns INFO [00006772] Port=0 RD @15
6771.50ns INFO [00006773] * RD COMPARE * port=1 adr=04 act=6742D186 exp=6742D186
6771.50ns INFO [00006773] Port=0 RD @09
6771.50ns INFO [00006773] Port=1 RD @1F
6772.50ns INFO [00006774] * RD COMPARE * port=0 adr=15 act=D740AF97 exp=D740AF97
6772.50ns INFO [00006774] Port=1 RD @0D
6773.50ns INFO [00006775] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6773.50ns INFO [00006775] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818
6773.50ns INFO [00006775] Port=0 WR @0D=4745C105
6774.50ns INFO [00006776] * RD COMPARE * port=1 adr=0D act=9730B397 exp=9730B397
6774.50ns INFO [00006776] Port=0 RD @12
6774.50ns INFO [00006776] Port=1 RD @0F
6775.50ns INFO [00006777] Port=0 RD @11
6775.50ns INFO [00006777] Port=1 RD @12
6776.50ns INFO [00006778] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
6776.50ns INFO [00006778] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316
6776.50ns INFO [00006778] Port=0 WR @1E=C4785191
6776.50ns INFO [00006778] Port=0 RD @0A
6776.50ns INFO [00006778] Port=1 RD @05
6777.50ns INFO [00006779] * RD COMPARE * port=0 adr=11 act=8C2D5B04 exp=8C2D5B04
6777.50ns INFO [00006779] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6778.50ns INFO [00006780] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6
6778.50ns INFO [00006780] * RD COMPARE * port=1 adr=05 act=D0475FC7 exp=D0475FC7
6778.50ns INFO [00006780] Port=0 WR @06=DEEFEDD2
6778.50ns INFO [00006780] Port=0 RD @0B
6779.50ns INFO [00006781] Port=0 WR @03=9D45AE7D
6780.50ns INFO [00006782] * RD COMPARE * port=0 adr=0B act=1B491493 exp=1B491493
6780.50ns INFO [00006782] Port=0 WR @1E=DF3E2CF6
6780.50ns INFO [00006782] Port=1 RD @1F
6781.50ns INFO [00006783] Port=1 RD @0A
6782.50ns INFO [00006784] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818
6783.50ns INFO [00006785] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6
6783.50ns INFO [00006785] Port=0 WR @15=062C057F
6784.50ns INFO [00006786] Port=0 WR @1D=D3755A7F
6785.50ns INFO [00006787] Port=0 WR @1D=F14EE4AD
6786.50ns INFO [00006788] Port=0 RD @0D
6787.50ns INFO [00006789] Port=0 RD @17
6787.50ns INFO [00006789] Port=1 RD @13
6788.50ns INFO [00006790] * RD COMPARE * port=0 adr=0D act=4745C105 exp=4745C105
6788.50ns INFO [00006790] Port=1 RD @08
6789.50ns INFO [00006791] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B
6789.50ns INFO [00006791] * RD COMPARE * port=1 adr=13 act=9A71DD57 exp=9A71DD57
6789.50ns INFO [00006791] Port=1 RD @0F
6790.50ns INFO [00006792] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4
6790.50ns INFO [00006792] Port=1 RD @08
6791.50ns INFO [00006793] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316
6792.50ns INFO [00006794] * RD COMPARE * port=1 adr=08 act=AFCCD6E4 exp=AFCCD6E4
6792.50ns INFO [00006794] Port=0 WR @14=6DF4B71D
6792.50ns INFO [00006794] Port=0 RD @05
6792.50ns INFO [00006794] Port=1 RD @19
6793.50ns INFO [00006795] Port=0 WR @0E=AE61FEAD
6793.50ns INFO [00006795] Port=0 RD @16
6793.50ns INFO [00006795] Port=1 RD @1A
6794.50ns INFO [00006796] * RD COMPARE * port=0 adr=05 act=D0475FC7 exp=D0475FC7
6794.50ns INFO [00006796] * RD COMPARE * port=1 adr=19 act=06E8BD2F exp=06E8BD2F
6795.50ns INFO [00006797] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1
6795.50ns INFO [00006797] * RD COMPARE * port=1 adr=1A act=452D5F98 exp=452D5F98
6795.50ns INFO [00006797] Port=1 RD @06
6796.50ns INFO [00006798] Port=0 WR @13=BA20668E
6796.50ns INFO [00006798] Port=1 RD @0A
6797.50ns INFO [00006799] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2
6798.00ns INFO [00006800] [00006800] ...tick...
6798.50ns INFO [00006800] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6
6798.50ns INFO [00006800] Port=0 WR @15=900CF9BD
6798.50ns INFO [00006800] Port=1 RD @13
6799.50ns INFO [00006801] Port=0 WR @02=E28B2BE2
6799.50ns INFO [00006801] Port=0 RD @14
6799.50ns INFO [00006801] Port=1 RD @12
6800.50ns INFO [00006802] * RD COMPARE * port=1 adr=13 act=BA20668E exp=BA20668E
6800.50ns INFO [00006802] Port=0 WR @02=E1C12B2C
6800.50ns INFO [00006802] Port=1 RD @06
6801.50ns INFO [00006803] * RD COMPARE * port=0 adr=14 act=6DF4B71D exp=6DF4B71D
6801.50ns INFO [00006803] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6801.50ns INFO [00006803] Port=1 RD @0F
6802.50ns INFO [00006804] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2
6802.50ns INFO [00006804] Port=0 WR @15=36FE02D0
6802.50ns INFO [00006804] Port=1 RD @01
6803.50ns INFO [00006805] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316
6803.50ns INFO [00006805] Port=0 WR @0C=B8D077C6
6803.50ns INFO [00006805] Port=0 RD @04
6803.50ns INFO [00006805] Port=1 RD @04
6804.50ns INFO [00006806] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87
6804.50ns INFO [00006806] Port=0 RD @00
6805.50ns INFO [00006807] * RD COMPARE * port=0 adr=04 act=6742D186 exp=6742D186
6805.50ns INFO [00006807] * RD COMPARE * port=1 adr=04 act=6742D186 exp=6742D186
6805.50ns INFO [00006807] Port=0 WR @00=B82AE60C
6806.50ns INFO [00006808] * RD COMPARE * port=0 adr=00 act=629D780E exp=629D780E
6806.50ns INFO [00006808] Port=0 WR @07=04CE302A
6806.50ns INFO [00006808] Port=0 RD @08
6806.50ns INFO [00006808] Port=1 RD @01
6808.50ns INFO [00006810] * RD COMPARE * port=0 adr=08 act=AFCCD6E4 exp=AFCCD6E4
6808.50ns INFO [00006810] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87
6808.50ns INFO [00006810] Port=0 WR @10=F4C8071B
6808.50ns INFO [00006810] Port=1 RD @12
6810.50ns INFO [00006812] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6810.50ns INFO [00006812] Port=0 WR @1A=6F699E2D
6810.50ns INFO [00006812] Port=1 RD @11
6811.50ns INFO [00006813] Port=0 WR @04=FAF1BA24
6811.50ns INFO [00006813] Port=1 RD @06
6812.50ns INFO [00006814] * RD COMPARE * port=1 adr=11 act=8C2D5B04 exp=8C2D5B04
6812.50ns INFO [00006814] Port=0 WR @1B=121E0D26
6812.50ns INFO [00006814] Port=0 RD @16
6813.50ns INFO [00006815] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2
6814.50ns INFO [00006816] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1
6814.50ns INFO [00006816] Port=0 WR @0E=7D424062
6815.50ns INFO [00006817] Port=1 RD @01
6816.50ns INFO [00006818] Port=1 RD @13
6817.50ns INFO [00006819] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87
6817.50ns INFO [00006819] Port=1 RD @11
6818.50ns INFO [00006820] * RD COMPARE * port=1 adr=13 act=BA20668E exp=BA20668E
6819.50ns INFO [00006821] * RD COMPARE * port=1 adr=11 act=8C2D5B04 exp=8C2D5B04
6819.50ns INFO [00006821] Port=1 RD @0E
6821.50ns INFO [00006823] * RD COMPARE * port=1 adr=0E act=7D424062 exp=7D424062
6821.50ns INFO [00006823] Port=0 RD @1A
6822.50ns INFO [00006824] Port=0 WR @13=22C752B1
6822.50ns INFO [00006824] Port=0 RD @18
6822.50ns INFO [00006824] Port=1 RD @18
6823.50ns INFO [00006825] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
6823.50ns INFO [00006825] Port=0 WR @19=294C01A6
6823.50ns INFO [00006825] Port=1 RD @16
6824.50ns INFO [00006826] * RD COMPARE * port=0 adr=18 act=E772707D exp=E772707D
6824.50ns INFO [00006826] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D
6824.50ns INFO [00006826] Port=0 RD @12
6824.50ns INFO [00006826] Port=1 RD @00
6825.50ns INFO [00006827] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1
6825.50ns INFO [00006827] Port=1 RD @04
6826.50ns INFO [00006828] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
6826.50ns INFO [00006828] * RD COMPARE * port=1 adr=00 act=B82AE60C exp=B82AE60C
6826.50ns INFO [00006828] Port=0 WR @03=EB8D6286
6826.50ns INFO [00006828] Port=0 RD @01
6827.50ns INFO [00006829] * RD COMPARE * port=1 adr=04 act=FAF1BA24 exp=FAF1BA24
6827.50ns INFO [00006829] Port=1 RD @1F
6828.50ns INFO [00006830] * RD COMPARE * port=0 adr=01 act=B15E3A87 exp=B15E3A87
6828.50ns INFO [00006830] Port=0 WR @19=EF2DF5F4
6828.50ns INFO [00006830] Port=0 RD @14
6828.50ns INFO [00006830] Port=1 RD @18
6829.50ns INFO [00006831] * RD COMPARE * port=1 adr=1F act=C21A5818 exp=C21A5818
6829.50ns INFO [00006831] Port=0 RD @0E
6829.50ns INFO [00006831] Port=1 RD @18
6830.50ns INFO [00006832] * RD COMPARE * port=0 adr=14 act=6DF4B71D exp=6DF4B71D
6830.50ns INFO [00006832] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D
6830.50ns INFO [00006832] Port=0 WR @08=216B4F70
6831.50ns INFO [00006833] * RD COMPARE * port=0 adr=0E act=7D424062 exp=7D424062
6831.50ns INFO [00006833] * RD COMPARE * port=1 adr=18 act=E772707D exp=E772707D
6832.50ns INFO [00006834] Port=0 WR @00=CAA5E214
6832.50ns INFO [00006834] Port=0 RD @12
6832.50ns INFO [00006834] Port=1 RD @0C
6833.50ns INFO [00006835] Port=0 RD @02
6834.50ns INFO [00006836] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
6834.50ns INFO [00006836] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6
6834.50ns INFO [00006836] Port=1 RD @10
6835.50ns INFO [00006837] * RD COMPARE * port=0 adr=02 act=E1C12B2C exp=E1C12B2C
6836.50ns INFO [00006838] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B
6838.50ns INFO [00006840] Port=0 RD @07
6839.50ns INFO [00006841] Port=1 RD @1E
6840.50ns INFO [00006842] * RD COMPARE * port=0 adr=07 act=04CE302A exp=04CE302A
6841.50ns INFO [00006843] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6841.50ns INFO [00006843] Port=0 RD @01
6841.50ns INFO [00006843] Port=1 RD @13
6842.50ns INFO [00006844] Port=0 WR @0B=B2D6BBB8
6842.50ns INFO [00006844] Port=0 RD @0A
6842.50ns INFO [00006844] Port=1 RD @1D
6843.50ns INFO [00006845] * RD COMPARE * port=0 adr=01 act=B15E3A87 exp=B15E3A87
6843.50ns INFO [00006845] * RD COMPARE * port=1 adr=13 act=22C752B1 exp=22C752B1
6843.50ns INFO [00006845] Port=0 WR @07=6C73B594
6843.50ns INFO [00006845] Port=0 RD @09
6844.50ns INFO [00006846] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6
6844.50ns INFO [00006846] * RD COMPARE * port=1 adr=1D act=F14EE4AD exp=F14EE4AD
6844.50ns INFO [00006846] Port=1 RD @02
6845.50ns INFO [00006847] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6845.50ns INFO [00006847] Port=0 RD @17
6845.50ns INFO [00006847] Port=1 RD @0C
6846.50ns INFO [00006848] * RD COMPARE * port=1 adr=02 act=E1C12B2C exp=E1C12B2C
6846.50ns INFO [00006848] Port=0 WR @05=8936E108
6847.50ns INFO [00006849] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B
6847.50ns INFO [00006849] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6
6850.50ns INFO [00006852] Port=0 WR @1D=2CECFEA4
6850.50ns INFO [00006852] Port=0 RD @07
6850.50ns INFO [00006852] Port=1 RD @10
6851.50ns INFO [00006853] Port=0 WR @13=FA1A4767
6852.50ns INFO [00006854] * RD COMPARE * port=0 adr=07 act=6C73B594 exp=6C73B594
6852.50ns INFO [00006854] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B
6852.50ns INFO [00006854] Port=0 RD @0A
6852.50ns INFO [00006854] Port=1 RD @06
6853.50ns INFO [00006855] Port=0 RD @1C
6853.50ns INFO [00006855] Port=1 RD @17
6854.50ns INFO [00006856] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6
6854.50ns INFO [00006856] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2
6854.50ns INFO [00006856] Port=0 RD @16
6855.50ns INFO [00006857] * RD COMPARE * port=0 adr=1C act=2C4C5B07 exp=2C4C5B07
6855.50ns INFO [00006857] * RD COMPARE * port=1 adr=17 act=B48B261B exp=B48B261B
6855.50ns INFO [00006857] Port=0 WR @02=99C851A9
6855.50ns INFO [00006857] Port=1 RD @01
6856.50ns INFO [00006858] * RD COMPARE * port=0 adr=16 act=E4855BF1 exp=E4855BF1
6856.50ns INFO [00006858] Port=0 WR @1D=CF5321F2
6856.50ns INFO [00006858] Port=0 RD @09
6856.50ns INFO [00006858] Port=1 RD @16
6857.50ns INFO [00006859] * RD COMPARE * port=1 adr=01 act=B15E3A87 exp=B15E3A87
6858.50ns INFO [00006860] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6858.50ns INFO [00006860] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1
6858.50ns INFO [00006860] Port=0 WR @0B=CEAC8866
6858.50ns INFO [00006860] Port=0 RD @1B
6859.50ns INFO [00006861] Port=1 RD @1E
6860.50ns INFO [00006862] * RD COMPARE * port=0 adr=1B act=121E0D26 exp=121E0D26
6861.50ns INFO [00006863] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6862.50ns INFO [00006864] Port=1 RD @0A
6864.50ns INFO [00006866] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6
6864.50ns INFO [00006866] Port=0 RD @1E
6865.50ns INFO [00006867] Port=0 RD @13
6866.50ns INFO [00006868] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6867.50ns INFO [00006869] * RD COMPARE * port=0 adr=13 act=FA1A4767 exp=FA1A4767
6867.50ns INFO [00006869] Port=1 RD @0C
6868.50ns INFO [00006870] Port=0 RD @03
6869.50ns INFO [00006871] * RD COMPARE * port=1 adr=0C act=B8D077C6 exp=B8D077C6
6869.50ns INFO [00006871] Port=0 WR @1B=A15413B0
6869.50ns INFO [00006871] Port=0 RD @03
6870.50ns INFO [00006872] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286
6870.50ns INFO [00006872] Port=0 WR @19=71C24B58
6871.50ns INFO [00006873] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286
6871.50ns INFO [00006873] Port=0 RD @17
6871.50ns INFO [00006873] Port=1 RD @15
6873.50ns INFO [00006875] * RD COMPARE * port=0 adr=17 act=B48B261B exp=B48B261B
6873.50ns INFO [00006875] * RD COMPARE * port=1 adr=15 act=36FE02D0 exp=36FE02D0
6873.50ns INFO [00006875] Port=0 WR @17=96AC868F
6873.50ns INFO [00006875] Port=1 RD @0A
6874.50ns INFO [00006876] Port=0 WR @01=072A6CAF
6874.50ns INFO [00006876] Port=0 RD @04
6875.50ns INFO [00006877] * RD COMPARE * port=1 adr=0A act=419F2CD6 exp=419F2CD6
6875.50ns INFO [00006877] Port=0 WR @0D=ACDB59B7
6875.50ns INFO [00006877] Port=0 RD @1D
6876.50ns INFO [00006878] * RD COMPARE * port=0 adr=04 act=FAF1BA24 exp=FAF1BA24
6876.50ns INFO [00006878] Port=0 WR @01=8B501DEC
6876.50ns INFO [00006878] Port=0 RD @0A
6877.50ns INFO [00006879] * RD COMPARE * port=0 adr=1D act=CF5321F2 exp=CF5321F2
6878.50ns INFO [00006880] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6
6879.50ns INFO [00006881] Port=0 WR @18=F06C8A25
6879.50ns INFO [00006881] Port=0 RD @1C
6879.50ns INFO [00006881] Port=1 RD @16
6880.50ns INFO [00006882] Port=1 RD @02
6881.50ns INFO [00006883] * RD COMPARE * port=0 adr=1C act=2C4C5B07 exp=2C4C5B07
6881.50ns INFO [00006883] * RD COMPARE * port=1 adr=16 act=E4855BF1 exp=E4855BF1
6881.50ns INFO [00006883] Port=0 WR @11=8FA78E33
6881.50ns INFO [00006883] Port=0 RD @1B
6881.50ns INFO [00006883] Port=1 RD @1A
6882.50ns INFO [00006884] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9
6882.50ns INFO [00006884] Port=1 RD @07
6883.50ns INFO [00006885] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0
6883.50ns INFO [00006885] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
6884.50ns INFO [00006886] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594
6884.50ns INFO [00006886] Port=0 WR @1C=BFA605B8
6884.50ns INFO [00006886] Port=0 RD @01
6884.50ns INFO [00006886] Port=1 RD @07
6885.50ns INFO [00006887] Port=1 RD @13
6886.50ns INFO [00006888] * RD COMPARE * port=0 adr=01 act=8B501DEC exp=8B501DEC
6886.50ns INFO [00006888] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594
6886.50ns INFO [00006888] Port=0 WR @04=5511FDCA
6886.50ns INFO [00006888] Port=0 RD @10
6887.50ns INFO [00006889] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767
6887.50ns INFO [00006889] Port=0 WR @14=0B8EC3B4
6888.50ns INFO [00006890] * RD COMPARE * port=0 adr=10 act=F4C8071B exp=F4C8071B
6888.50ns INFO [00006890] Port=0 RD @13
6889.50ns INFO [00006891] Port=1 RD @14
6890.50ns INFO [00006892] * RD COMPARE * port=0 adr=13 act=FA1A4767 exp=FA1A4767
6890.50ns INFO [00006892] Port=0 WR @0C=0A440F63
6891.50ns INFO [00006893] * RD COMPARE * port=1 adr=14 act=0B8EC3B4 exp=0B8EC3B4
6891.50ns INFO [00006893] Port=0 WR @04=C811CDA4
6892.50ns INFO [00006894] Port=0 RD @0B
6893.50ns INFO [00006895] Port=0 RD @03
6894.50ns INFO [00006896] * RD COMPARE * port=0 adr=0B act=CEAC8866 exp=CEAC8866
6894.50ns INFO [00006896] Port=0 RD @17
6894.50ns INFO [00006896] Port=1 RD @09
6895.50ns INFO [00006897] * RD COMPARE * port=0 adr=03 act=EB8D6286 exp=EB8D6286
6896.50ns INFO [00006898] * RD COMPARE * port=0 adr=17 act=96AC868F exp=96AC868F
6896.50ns INFO [00006898] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B
6896.50ns INFO [00006898] Port=1 RD @1E
6897.50ns INFO [00006899] Port=0 RD @1D
6898.00ns INFO [00006900] [00006900] ...tick...
6898.50ns INFO [00006900] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6898.50ns INFO [00006900] Port=0 WR @17=9DFA7C6B
6898.50ns INFO [00006900] Port=0 RD @0C
6898.50ns INFO [00006900] Port=1 RD @0D
6899.50ns INFO [00006901] * RD COMPARE * port=0 adr=1D act=CF5321F2 exp=CF5321F2
6899.50ns INFO [00006901] Port=1 RD @13
6900.50ns INFO [00006902] * RD COMPARE * port=0 adr=0C act=0A440F63 exp=0A440F63
6900.50ns INFO [00006902] * RD COMPARE * port=1 adr=0D act=ACDB59B7 exp=ACDB59B7
6900.50ns INFO [00006902] Port=0 WR @0D=953069E8
6900.50ns INFO [00006902] Port=1 RD @10
6901.50ns INFO [00006903] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767
6901.50ns INFO [00006903] Port=0 WR @0B=2696E7A0
6901.50ns INFO [00006903] Port=0 RD @17
6901.50ns INFO [00006903] Port=1 RD @0D
6902.50ns INFO [00006904] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B
6902.50ns INFO [00006904] Port=0 RD @0C
6902.50ns INFO [00006904] Port=1 RD @13
6903.50ns INFO [00006905] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B
6903.50ns INFO [00006905] * RD COMPARE * port=1 adr=0D act=953069E8 exp=953069E8
6903.50ns INFO [00006905] Port=0 RD @15
6903.50ns INFO [00006905] Port=1 RD @05
6904.50ns INFO [00006906] * RD COMPARE * port=0 adr=0C act=0A440F63 exp=0A440F63
6904.50ns INFO [00006906] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767
6904.50ns INFO [00006906] Port=0 WR @0C=4E55CCF2
6905.50ns INFO [00006907] * RD COMPARE * port=0 adr=15 act=36FE02D0 exp=36FE02D0
6905.50ns INFO [00006907] * RD COMPARE * port=1 adr=05 act=8936E108 exp=8936E108
6905.50ns INFO [00006907] Port=0 WR @18=E92F7828
6905.50ns INFO [00006907] Port=0 RD @0D
6905.50ns INFO [00006907] Port=1 RD @02
6906.50ns INFO [00006908] Port=1 RD @11
6907.50ns INFO [00006909] * RD COMPARE * port=0 adr=0D act=953069E8 exp=953069E8
6907.50ns INFO [00006909] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9
6907.50ns INFO [00006909] Port=1 RD @09
6908.50ns INFO [00006910] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33
6909.50ns INFO [00006911] * RD COMPARE * port=1 adr=09 act=A8CFA11B exp=A8CFA11B
6910.50ns INFO [00006912] Port=1 RD @08
6911.50ns INFO [00006913] Port=1 RD @02
6912.50ns INFO [00006914] * RD COMPARE * port=1 adr=08 act=216B4F70 exp=216B4F70
6912.50ns INFO [00006914] Port=0 RD @0F
6912.50ns INFO [00006914] Port=1 RD @10
6913.50ns INFO [00006915] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9
6913.50ns INFO [00006915] Port=1 RD @07
6914.50ns INFO [00006916] * RD COMPARE * port=0 adr=0F act=D95E5316 exp=D95E5316
6914.50ns INFO [00006916] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B
6914.50ns INFO [00006916] Port=0 RD @1E
6915.50ns INFO [00006917] * RD COMPARE * port=1 adr=07 act=6C73B594 exp=6C73B594
6916.50ns INFO [00006918] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6917.50ns INFO [00006919] Port=0 RD @0F
6919.50ns INFO [00006921] * RD COMPARE * port=0 adr=0F act=D95E5316 exp=D95E5316
6919.50ns INFO [00006921] Port=1 RD @13
6921.50ns INFO [00006923] * RD COMPARE * port=1 adr=13 act=FA1A4767 exp=FA1A4767
6921.50ns INFO [00006923] Port=0 WR @0D=E335D4C6
6921.50ns INFO [00006923] Port=1 RD @0F
6922.50ns INFO [00006924] Port=0 RD @0B
6923.50ns INFO [00006925] * RD COMPARE * port=1 adr=0F act=D95E5316 exp=D95E5316
6923.50ns INFO [00006925] Port=0 WR @0F=739B4DB6
6924.50ns INFO [00006926] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0
6925.50ns INFO [00006927] Port=0 RD @15
6926.50ns INFO [00006928] Port=0 WR @13=00B8B0FA
6926.50ns INFO [00006928] Port=0 RD @14
6927.50ns INFO [00006929] * RD COMPARE * port=0 adr=15 act=36FE02D0 exp=36FE02D0
6927.50ns INFO [00006929] Port=1 RD @0D
6928.50ns INFO [00006930] * RD COMPARE * port=0 adr=14 act=0B8EC3B4 exp=0B8EC3B4
6929.50ns INFO [00006931] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6
6931.50ns INFO [00006933] Port=1 RD @13
6932.50ns INFO [00006934] Port=0 WR @16=A9C6EB59
6932.50ns INFO [00006934] Port=1 RD @02
6933.50ns INFO [00006935] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA
6934.50ns INFO [00006936] * RD COMPARE * port=1 adr=02 act=99C851A9 exp=99C851A9
6934.50ns INFO [00006936] Port=0 RD @0B
6936.50ns INFO [00006938] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0
6936.50ns INFO [00006938] Port=0 WR @0E=6CD929D0
6937.50ns INFO [00006939] Port=0 WR @08=4D1A3F1D
6938.50ns INFO [00006940] Port=0 WR @05=C90F0841
6938.50ns INFO [00006940] Port=0 RD @00
6938.50ns INFO [00006940] Port=1 RD @01
6939.50ns INFO [00006941] Port=0 WR @02=ECEC17F8
6939.50ns INFO [00006941] Port=0 RD @0C
6939.50ns INFO [00006941] Port=1 RD @13
6940.50ns INFO [00006942] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214
6940.50ns INFO [00006942] * RD COMPARE * port=1 adr=01 act=8B501DEC exp=8B501DEC
6940.50ns INFO [00006942] Port=0 WR @1D=0072AE41
6940.50ns INFO [00006942] Port=0 RD @04
6941.50ns INFO [00006943] * RD COMPARE * port=0 adr=0C act=4E55CCF2 exp=4E55CCF2
6941.50ns INFO [00006943] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA
6941.50ns INFO [00006943] Port=0 WR @1F=2D18AA86
6941.50ns INFO [00006943] Port=1 RD @06
6942.50ns INFO [00006944] * RD COMPARE * port=0 adr=04 act=C811CDA4 exp=C811CDA4
6943.50ns INFO [00006945] * RD COMPARE * port=1 adr=06 act=DEEFEDD2 exp=DEEFEDD2
6944.50ns INFO [00006946] Port=0 WR @16=164B68E9
6944.50ns INFO [00006946] Port=0 RD @18
6945.50ns INFO [00006947] Port=0 RD @1E
6945.50ns INFO [00006947] Port=1 RD @02
6946.50ns INFO [00006948] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828
6946.50ns INFO [00006948] Port=0 WR @1C=49E82EA5
6946.50ns INFO [00006948] Port=1 RD @08
6947.50ns INFO [00006949] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6947.50ns INFO [00006949] * RD COMPARE * port=1 adr=02 act=ECEC17F8 exp=ECEC17F8
6948.50ns INFO [00006950] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D
6948.50ns INFO [00006950] Port=0 RD @1A
6948.50ns INFO [00006950] Port=1 RD @1C
6949.50ns INFO [00006951] Port=0 WR @06=FBA5ADAF
6950.50ns INFO [00006952] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
6950.50ns INFO [00006952] * RD COMPARE * port=1 adr=1C act=49E82EA5 exp=49E82EA5
6950.50ns INFO [00006952] Port=0 WR @14=C27F2045
6950.50ns INFO [00006952] Port=0 RD @11
6950.50ns INFO [00006952] Port=1 RD @1E
6951.50ns INFO [00006953] Port=0 RD @02
6951.50ns INFO [00006953] Port=1 RD @18
6952.50ns INFO [00006954] * RD COMPARE * port=0 adr=11 act=8FA78E33 exp=8FA78E33
6952.50ns INFO [00006954] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6952.50ns INFO [00006954] Port=0 RD @04
6953.50ns INFO [00006955] * RD COMPARE * port=0 adr=02 act=ECEC17F8 exp=ECEC17F8
6953.50ns INFO [00006955] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
6953.50ns INFO [00006955] Port=1 RD @11
6954.50ns INFO [00006956] * RD COMPARE * port=0 adr=04 act=C811CDA4 exp=C811CDA4
6955.50ns INFO [00006957] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33
6956.50ns INFO [00006958] Port=0 RD @09
6957.50ns INFO [00006959] Port=0 WR @09=0CE6936F
6958.50ns INFO [00006960] * RD COMPARE * port=0 adr=09 act=A8CFA11B exp=A8CFA11B
6958.50ns INFO [00006960] Port=0 WR @1F=1AC75BB9
6958.50ns INFO [00006960] Port=0 RD @01
6959.50ns INFO [00006961] Port=1 RD @09
6960.50ns INFO [00006962] * RD COMPARE * port=0 adr=01 act=8B501DEC exp=8B501DEC
6961.50ns INFO [00006963] * RD COMPARE * port=1 adr=09 act=0CE6936F exp=0CE6936F
6962.50ns INFO [00006964] Port=0 RD @17
6963.50ns INFO [00006965] Port=0 RD @17
6963.50ns INFO [00006965] Port=1 RD @1F
6964.50ns INFO [00006966] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B
6964.50ns INFO [00006966] Port=0 WR @0F=82397D39
6964.50ns INFO [00006966] Port=1 RD @19
6965.50ns INFO [00006967] * RD COMPARE * port=0 adr=17 act=9DFA7C6B exp=9DFA7C6B
6965.50ns INFO [00006967] * RD COMPARE * port=1 adr=1F act=1AC75BB9 exp=1AC75BB9
6965.50ns INFO [00006967] Port=0 WR @1D=7E3994A9
6965.50ns INFO [00006967] Port=1 RD @15
6966.50ns INFO [00006968] * RD COMPARE * port=1 adr=19 act=71C24B58 exp=71C24B58
6966.50ns INFO [00006968] Port=0 WR @19=C2419203
6966.50ns INFO [00006968] Port=0 RD @0E
6967.50ns INFO [00006969] * RD COMPARE * port=1 adr=15 act=36FE02D0 exp=36FE02D0
6968.50ns INFO [00006970] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0
6968.50ns INFO [00006970] Port=0 RD @00
6969.50ns INFO [00006971] Port=1 RD @14
6970.50ns INFO [00006972] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214
6970.50ns INFO [00006972] Port=0 RD @1A
6971.50ns INFO [00006973] * RD COMPARE * port=1 adr=14 act=C27F2045 exp=C27F2045
6972.50ns INFO [00006974] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
6972.50ns INFO [00006974] Port=1 RD @0B
6973.50ns INFO [00006975] Port=0 WR @1F=DA7DEFFE
6973.50ns INFO [00006975] Port=1 RD @0C
6974.50ns INFO [00006976] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0
6974.50ns INFO [00006976] Port=0 WR @07=937FFEA8
6975.50ns INFO [00006977] * RD COMPARE * port=1 adr=0C act=4E55CCF2 exp=4E55CCF2
6975.50ns INFO [00006977] Port=0 WR @0F=E6F6FECB
6977.50ns INFO [00006979] Port=0 RD @1E
6977.50ns INFO [00006979] Port=1 RD @12
6978.50ns INFO [00006980] Port=0 RD @00
6978.50ns INFO [00006980] Port=1 RD @19
6979.50ns INFO [00006981] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6
6979.50ns INFO [00006981] * RD COMPARE * port=1 adr=12 act=1C7A1452 exp=1C7A1452
6979.50ns INFO [00006981] Port=0 WR @02=984AA8FC
6980.50ns INFO [00006982] * RD COMPARE * port=0 adr=00 act=CAA5E214 exp=CAA5E214
6980.50ns INFO [00006982] * RD COMPARE * port=1 adr=19 act=C2419203 exp=C2419203
6981.50ns INFO [00006983] Port=0 RD @0B
6981.50ns INFO [00006983] Port=1 RD @01
6982.50ns INFO [00006984] Port=0 WR @17=7795F60F
6982.50ns INFO [00006984] Port=0 RD @1A
6983.50ns INFO [00006985] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0
6983.50ns INFO [00006985] * RD COMPARE * port=1 adr=01 act=8B501DEC exp=8B501DEC
6983.50ns INFO [00006985] Port=0 RD @12
6983.50ns INFO [00006985] Port=1 RD @11
6984.50ns INFO [00006986] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
6985.50ns INFO [00006987] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
6985.50ns INFO [00006987] * RD COMPARE * port=1 adr=11 act=8FA78E33 exp=8FA78E33
6985.50ns INFO [00006987] Port=0 RD @0A
6985.50ns INFO [00006987] Port=1 RD @0B
6986.50ns INFO [00006988] Port=0 WR @1F=048758B5
6987.50ns INFO [00006989] * RD COMPARE * port=0 adr=0A act=419F2CD6 exp=419F2CD6
6987.50ns INFO [00006989] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0
6987.50ns INFO [00006989] Port=0 WR @1D=62A81767
6987.50ns INFO [00006989] Port=1 RD @1F
6989.50ns INFO [00006991] * RD COMPARE * port=1 adr=1F act=048758B5 exp=048758B5
6989.50ns INFO [00006991] Port=0 WR @15=FE407EDB
6989.50ns INFO [00006991] Port=0 RD @18
6990.50ns INFO [00006992] Port=0 WR @0A=313401E4
6990.50ns INFO [00006992] Port=0 RD @1B
6991.50ns INFO [00006993] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828
6991.50ns INFO [00006993] Port=0 WR @05=AEF309B9
6991.50ns INFO [00006993] Port=1 RD @08
6992.50ns INFO [00006994] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0
6993.50ns INFO [00006995] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D
6993.50ns INFO [00006995] Port=0 WR @00=C9589AC6
6993.50ns INFO [00006995] Port=0 RD @1F
6993.50ns INFO [00006995] Port=1 RD @0B
6994.50ns INFO [00006996] Port=0 WR @1F=0909238A
6995.50ns INFO [00006997] * RD COMPARE * port=0 adr=1F act=048758B5 exp=048758B5
6995.50ns INFO [00006997] * RD COMPARE * port=1 adr=0B act=2696E7A0 exp=2696E7A0
6996.50ns INFO [00006998] Port=1 RD @16
6997.50ns INFO [00006999] Port=0 WR @1D=98D3C783
6998.00ns INFO [00007000] [00007000] ...tick...
6998.50ns INFO [00007000] * RD COMPARE * port=1 adr=16 act=164B68E9 exp=164B68E9
7000.50ns INFO [00007002] Port=1 RD @1E
7001.50ns INFO [00007003] Port=0 WR @1C=C45D871E
7001.50ns INFO [00007003] Port=0 RD @13
7001.50ns INFO [00007003] Port=1 RD @00
7002.50ns INFO [00007004] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
7002.50ns INFO [00007004] Port=0 WR @09=50C85229
7002.50ns INFO [00007004] Port=0 RD @12
7003.50ns INFO [00007005] * RD COMPARE * port=0 adr=13 act=00B8B0FA exp=00B8B0FA
7003.50ns INFO [00007005] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6
7004.50ns INFO [00007006] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
7004.50ns INFO [00007006] Port=0 WR @02=56CF86BB
7006.50ns INFO [00007008] Port=0 RD @1B
7006.50ns INFO [00007008] Port=1 RD @0D
7007.50ns INFO [00007009] Port=0 RD @02
7008.50ns INFO [00007010] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0
7008.50ns INFO [00007010] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6
7009.50ns INFO [00007011] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB
7011.50ns INFO [00007013] Port=0 WR @19=321FE69F
7011.50ns INFO [00007013] Port=1 RD @1A
7012.50ns INFO [00007014] Port=0 WR @0C=30D1F75C
7012.50ns INFO [00007014] Port=0 RD @19
7012.50ns INFO [00007014] Port=1 RD @10
7013.50ns INFO [00007015] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7013.50ns INFO [00007015] Port=0 WR @11=A5D5A3B9
7014.50ns INFO [00007016] * RD COMPARE * port=0 adr=19 act=321FE69F exp=321FE69F
7014.50ns INFO [00007016] * RD COMPARE * port=1 adr=10 act=F4C8071B exp=F4C8071B
7014.50ns INFO [00007016] Port=0 WR @01=469F4F1A
7014.50ns INFO [00007016] Port=0 RD @0A
7014.50ns INFO [00007016] Port=1 RD @1D
7015.50ns INFO [00007017] Port=0 RD @11
7016.50ns INFO [00007018] * RD COMPARE * port=0 adr=0A act=313401E4 exp=313401E4
7016.50ns INFO [00007018] * RD COMPARE * port=1 adr=1D act=98D3C783 exp=98D3C783
7016.50ns INFO [00007018] Port=0 WR @03=33FB7D5B
7016.50ns INFO [00007018] Port=0 RD @1F
7016.50ns INFO [00007018] Port=1 RD @0F
7017.50ns INFO [00007019] * RD COMPARE * port=0 adr=11 act=A5D5A3B9 exp=A5D5A3B9
7017.50ns INFO [00007019] Port=1 RD @08
7018.50ns INFO [00007020] * RD COMPARE * port=0 adr=1F act=0909238A exp=0909238A
7018.50ns INFO [00007020] * RD COMPARE * port=1 adr=0F act=E6F6FECB exp=E6F6FECB
7018.50ns INFO [00007020] Port=1 RD @16
7019.50ns INFO [00007021] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D
7020.50ns INFO [00007022] * RD COMPARE * port=1 adr=16 act=164B68E9 exp=164B68E9
7023.50ns INFO [00007025] Port=1 RD @1E
7024.50ns INFO [00007026] Port=0 WR @04=C98E534E
7024.50ns INFO [00007026] Port=1 RD @0C
7025.50ns INFO [00007027] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
7025.50ns INFO [00007027] Port=0 WR @10=16420C8C
7026.50ns INFO [00007028] * RD COMPARE * port=1 adr=0C act=30D1F75C exp=30D1F75C
7027.50ns INFO [00007029] Port=0 WR @0F=1E558A3E
7027.50ns INFO [00007029] Port=0 RD @15
7027.50ns INFO [00007029] Port=1 RD @03
7028.50ns INFO [00007030] Port=0 WR @10=63917004
7028.50ns INFO [00007030] Port=1 RD @03
7029.50ns INFO [00007031] * RD COMPARE * port=0 adr=15 act=FE407EDB exp=FE407EDB
7029.50ns INFO [00007031] * RD COMPARE * port=1 adr=03 act=33FB7D5B exp=33FB7D5B
7029.50ns INFO [00007031] Port=0 WR @17=C1E90405
7030.50ns INFO [00007032] * RD COMPARE * port=1 adr=03 act=33FB7D5B exp=33FB7D5B
7030.50ns INFO [00007032] Port=0 RD @1B
7031.50ns INFO [00007033] Port=1 RD @13
7032.50ns INFO [00007034] * RD COMPARE * port=0 adr=1B act=A15413B0 exp=A15413B0
7032.50ns INFO [00007034] Port=0 WR @17=D122ACA4
7033.50ns INFO [00007035] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA
7035.50ns INFO [00007037] Port=0 WR @07=BD2319A0
7036.50ns INFO [00007038] Port=0 WR @11=DA1348A6
7036.50ns INFO [00007038] Port=0 RD @0A
7037.50ns INFO [00007039] Port=1 RD @0D
7038.50ns INFO [00007040] * RD COMPARE * port=0 adr=0A act=313401E4 exp=313401E4
7038.50ns INFO [00007040] Port=0 RD @1F
7038.50ns INFO [00007040] Port=1 RD @19
7039.50ns INFO [00007041] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6
7039.50ns INFO [00007041] Port=0 RD @1C
7039.50ns INFO [00007041] Port=1 RD @1F
7040.50ns INFO [00007042] * RD COMPARE * port=0 adr=1F act=0909238A exp=0909238A
7040.50ns INFO [00007042] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F
7041.50ns INFO [00007043] * RD COMPARE * port=0 adr=1C act=C45D871E exp=C45D871E
7041.50ns INFO [00007043] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A
7041.50ns INFO [00007043] Port=0 WR @0A=DFCFDE15
7042.50ns INFO [00007044] Port=0 WR @03=CDCAA92B
7042.50ns INFO [00007044] Port=0 RD @0B
7042.50ns INFO [00007044] Port=1 RD @00
7043.50ns INFO [00007045] Port=0 RD @17
7043.50ns INFO [00007045] Port=1 RD @0D
7044.50ns INFO [00007046] * RD COMPARE * port=0 adr=0B act=2696E7A0 exp=2696E7A0
7044.50ns INFO [00007046] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6
7044.50ns INFO [00007046] Port=0 RD @03
7044.50ns INFO [00007046] Port=1 RD @01
7045.50ns INFO [00007047] * RD COMPARE * port=0 adr=17 act=D122ACA4 exp=D122ACA4
7045.50ns INFO [00007047] * RD COMPARE * port=1 adr=0D act=E335D4C6 exp=E335D4C6
7045.50ns INFO [00007047] Port=0 RD @03
7046.50ns INFO [00007048] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B
7046.50ns INFO [00007048] * RD COMPARE * port=1 adr=01 act=469F4F1A exp=469F4F1A
7046.50ns INFO [00007048] Port=0 RD @03
7046.50ns INFO [00007048] Port=1 RD @00
7047.50ns INFO [00007049] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B
7047.50ns INFO [00007049] Port=0 WR @16=13E66340
7047.50ns INFO [00007049] Port=1 RD @08
7048.50ns INFO [00007050] * RD COMPARE * port=0 adr=03 act=CDCAA92B exp=CDCAA92B
7048.50ns INFO [00007050] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6
7048.50ns INFO [00007050] Port=0 RD @04
7049.50ns INFO [00007051] * RD COMPARE * port=1 adr=08 act=4D1A3F1D exp=4D1A3F1D
7049.50ns INFO [00007051] Port=0 RD @17
7050.50ns INFO [00007052] * RD COMPARE * port=0 adr=04 act=C98E534E exp=C98E534E
7050.50ns INFO [00007052] Port=1 RD @0E
7051.50ns INFO [00007053] * RD COMPARE * port=0 adr=17 act=D122ACA4 exp=D122ACA4
7052.50ns INFO [00007054] * RD COMPARE * port=1 adr=0E act=6CD929D0 exp=6CD929D0
7052.50ns INFO [00007054] Port=1 RD @0F
7053.50ns INFO [00007055] Port=0 RD @15
7054.50ns INFO [00007056] * RD COMPARE * port=1 adr=0F act=1E558A3E exp=1E558A3E
7054.50ns INFO [00007056] Port=0 WR @0F=3F8CA66D
7055.50ns INFO [00007057] * RD COMPARE * port=0 adr=15 act=FE407EDB exp=FE407EDB
7055.50ns INFO [00007057] Port=0 WR @15=7D561176
7055.50ns INFO [00007057] Port=1 RD @05
7056.50ns INFO [00007058] Port=0 WR @15=926179FC
7056.50ns INFO [00007058] Port=0 RD @1D
7056.50ns INFO [00007058] Port=1 RD @1E
7057.50ns INFO [00007059] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7058.50ns INFO [00007060] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783
7058.50ns INFO [00007060] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
7058.50ns INFO [00007060] Port=0 WR @0B=176D1F6D
7058.50ns INFO [00007060] Port=1 RD @11
7059.50ns INFO [00007061] Port=0 WR @16=9A0735DF
7059.50ns INFO [00007061] Port=0 RD @15
7060.50ns INFO [00007062] * RD COMPARE * port=1 adr=11 act=DA1348A6 exp=DA1348A6
7060.50ns INFO [00007062] Port=0 RD @12
7060.50ns INFO [00007062] Port=1 RD @04
7061.50ns INFO [00007063] * RD COMPARE * port=0 adr=15 act=926179FC exp=926179FC
7061.50ns INFO [00007063] Port=0 RD @12
7062.50ns INFO [00007064] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
7062.50ns INFO [00007064] * RD COMPARE * port=1 adr=04 act=C98E534E exp=C98E534E
7062.50ns INFO [00007064] Port=0 WR @17=B39C1F10
7062.50ns INFO [00007064] Port=0 RD @07
7063.50ns INFO [00007065] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
7063.50ns INFO [00007065] Port=0 WR @03=DA434327
7063.50ns INFO [00007065] Port=0 RD @0E
7064.50ns INFO [00007066] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0
7065.50ns INFO [00007067] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0
7065.50ns INFO [00007067] Port=0 RD @1E
7065.50ns INFO [00007067] Port=1 RD @0B
7066.50ns INFO [00007068] Port=0 WR @0B=7209A637
7067.50ns INFO [00007069] * RD COMPARE * port=0 adr=1E act=DF3E2CF6 exp=DF3E2CF6
7067.50ns INFO [00007069] * RD COMPARE * port=1 adr=0B act=176D1F6D exp=176D1F6D
7067.50ns INFO [00007069] Port=0 WR @08=D790F4CD
7068.50ns INFO [00007070] Port=1 RD @17
7069.50ns INFO [00007071] Port=0 RD @01
7070.50ns INFO [00007072] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10
7070.50ns INFO [00007072] Port=0 WR @01=06B0EA97
7070.50ns INFO [00007072] Port=0 RD @1A
7070.50ns INFO [00007072] Port=1 RD @1D
7071.50ns INFO [00007073] * RD COMPARE * port=0 adr=01 act=469F4F1A exp=469F4F1A
7072.50ns INFO [00007074] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
7072.50ns INFO [00007074] * RD COMPARE * port=1 adr=1D act=98D3C783 exp=98D3C783
7072.50ns INFO [00007074] Port=0 RD @06
7073.50ns INFO [00007075] Port=0 RD @08
7074.50ns INFO [00007076] * RD COMPARE * port=0 adr=06 act=FBA5ADAF exp=FBA5ADAF
7075.50ns INFO [00007077] * RD COMPARE * port=0 adr=08 act=D790F4CD exp=D790F4CD
7075.50ns INFO [00007077] Port=0 RD @15
7076.50ns INFO [00007078] Port=0 RD @1D
7076.50ns INFO [00007078] Port=1 RD @16
7077.50ns INFO [00007079] * RD COMPARE * port=0 adr=15 act=926179FC exp=926179FC
7077.50ns INFO [00007079] Port=0 WR @0A=FE38140E
7077.50ns INFO [00007079] Port=0 RD @0E
7078.50ns INFO [00007080] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783
7078.50ns INFO [00007080] * RD COMPARE * port=1 adr=16 act=9A0735DF exp=9A0735DF
7078.50ns INFO [00007080] Port=0 RD @10
7078.50ns INFO [00007080] Port=1 RD @0F
7079.50ns INFO [00007081] * RD COMPARE * port=0 adr=0E act=6CD929D0 exp=6CD929D0
7079.50ns INFO [00007081] Port=0 WR @0E=F895C1FC
7079.50ns INFO [00007081] Port=0 RD @0A
7079.50ns INFO [00007081] Port=1 RD @1A
7080.50ns INFO [00007082] * RD COMPARE * port=0 adr=10 act=63917004 exp=63917004
7080.50ns INFO [00007082] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D
7080.50ns INFO [00007082] Port=0 WR @1C=15BA01D7
7080.50ns INFO [00007082] Port=1 RD @1A
7081.50ns INFO [00007083] * RD COMPARE * port=0 adr=0A act=FE38140E exp=FE38140E
7081.50ns INFO [00007083] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7081.50ns INFO [00007083] Port=0 WR @04=D0447881
7081.50ns INFO [00007083] Port=1 RD @17
7082.50ns INFO [00007084] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7083.50ns INFO [00007085] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10
7084.50ns INFO [00007086] Port=0 WR @11=F5A1CFDE
7085.50ns INFO [00007087] Port=0 WR @16=4B8E6DC1
7085.50ns INFO [00007087] Port=1 RD @17
7086.50ns INFO [00007088] Port=0 WR @0D=76B3564B
7087.50ns INFO [00007089] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10
7087.50ns INFO [00007089] Port=0 RD @12
7088.50ns INFO [00007090] Port=0 WR @16=20C60CC0
7089.50ns INFO [00007091] * RD COMPARE * port=0 adr=12 act=1C7A1452 exp=1C7A1452
7089.50ns INFO [00007091] Port=0 RD @16
7090.50ns INFO [00007092] Port=0 RD @18
7091.50ns INFO [00007093] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0
7092.50ns INFO [00007094] * RD COMPARE * port=0 adr=18 act=E92F7828 exp=E92F7828
7092.50ns INFO [00007094] Port=0 WR @1B=8E387E1E
7092.50ns INFO [00007094] Port=1 RD @0A
7093.50ns INFO [00007095] Port=1 RD @08
7094.50ns INFO [00007096] * RD COMPARE * port=1 adr=0A act=FE38140E exp=FE38140E
7094.50ns INFO [00007096] Port=0 WR @06=8E563ED3
7094.50ns INFO [00007096] Port=1 RD @0F
7095.50ns INFO [00007097] * RD COMPARE * port=1 adr=08 act=D790F4CD exp=D790F4CD
7095.50ns INFO [00007097] Port=0 WR @0A=C1BB4D0B
7095.50ns INFO [00007097] Port=1 RD @01
7096.50ns INFO [00007098] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D
7096.50ns INFO [00007098] Port=0 WR @12=768A1839
7096.50ns INFO [00007098] Port=1 RD @15
7097.50ns INFO [00007099] * RD COMPARE * port=1 adr=01 act=06B0EA97 exp=06B0EA97
7097.50ns INFO [00007099] Port=0 RD @0A
7097.50ns INFO [00007099] Port=1 RD @0A
7098.00ns INFO [00007100] [00007100] ...tick...
7098.50ns INFO [00007100] * RD COMPARE * port=1 adr=15 act=926179FC exp=926179FC
7098.50ns INFO [00007100] Port=0 RD @03
7098.50ns INFO [00007100] Port=1 RD @1F
7099.50ns INFO [00007101] * RD COMPARE * port=0 adr=0A act=C1BB4D0B exp=C1BB4D0B
7099.50ns INFO [00007101] * RD COMPARE * port=1 adr=0A act=C1BB4D0B exp=C1BB4D0B
7100.50ns INFO [00007102] * RD COMPARE * port=0 adr=03 act=DA434327 exp=DA434327
7100.50ns INFO [00007102] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A
7100.50ns INFO [00007102] Port=1 RD @17
7101.50ns INFO [00007103] Port=0 WR @14=B4B92B34
7101.50ns INFO [00007103] Port=1 RD @1A
7102.50ns INFO [00007104] * RD COMPARE * port=1 adr=17 act=B39C1F10 exp=B39C1F10
7102.50ns INFO [00007104] Port=0 RD @0B
7102.50ns INFO [00007104] Port=1 RD @0E
7103.50ns INFO [00007105] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7103.50ns INFO [00007105] Port=0 WR @08=38DB1FC8
7103.50ns INFO [00007105] Port=0 RD @04
7103.50ns INFO [00007105] Port=1 RD @19
7104.50ns INFO [00007106] * RD COMPARE * port=0 adr=0B act=7209A637 exp=7209A637
7104.50ns INFO [00007106] * RD COMPARE * port=1 adr=0E act=F895C1FC exp=F895C1FC
7104.50ns INFO [00007106] Port=0 WR @0C=1FF33D25
7105.50ns INFO [00007107] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881
7105.50ns INFO [00007107] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F
7105.50ns INFO [00007107] Port=0 RD @04
7105.50ns INFO [00007107] Port=1 RD @19
7106.50ns INFO [00007108] Port=0 WR @0E=B92C627F
7106.50ns INFO [00007108] Port=1 RD @03
7107.50ns INFO [00007109] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881
7107.50ns INFO [00007109] * RD COMPARE * port=1 adr=19 act=321FE69F exp=321FE69F
7107.50ns INFO [00007109] Port=0 WR @03=372FC6C6
7107.50ns INFO [00007109] Port=0 RD @13
7107.50ns INFO [00007109] Port=1 RD @15
7108.50ns INFO [00007110] * RD COMPARE * port=1 adr=03 act=DA434327 exp=DA434327
7108.50ns INFO [00007110] Port=0 WR @0A=BB9E623B
7109.50ns INFO [00007111] * RD COMPARE * port=0 adr=13 act=00B8B0FA exp=00B8B0FA
7109.50ns INFO [00007111] * RD COMPARE * port=1 adr=15 act=926179FC exp=926179FC
7109.50ns INFO [00007111] Port=1 RD @08
7110.50ns INFO [00007112] Port=0 RD @16
7111.50ns INFO [00007113] * RD COMPARE * port=1 adr=08 act=38DB1FC8 exp=38DB1FC8
7111.50ns INFO [00007113] Port=1 RD @00
7112.50ns INFO [00007114] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0
7113.50ns INFO [00007115] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6
7113.50ns INFO [00007115] Port=0 WR @0C=3C0164F2
7113.50ns INFO [00007115] Port=1 RD @05
7115.50ns INFO [00007117] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7116.50ns INFO [00007118] Port=0 RD @0C
7116.50ns INFO [00007118] Port=1 RD @11
7117.50ns INFO [00007119] Port=0 RD @00
7117.50ns INFO [00007119] Port=1 RD @0F
7118.50ns INFO [00007120] * RD COMPARE * port=0 adr=0C act=3C0164F2 exp=3C0164F2
7118.50ns INFO [00007120] * RD COMPARE * port=1 adr=11 act=F5A1CFDE exp=F5A1CFDE
7118.50ns INFO [00007120] Port=0 WR @17=6EE43DA3
7119.50ns INFO [00007121] * RD COMPARE * port=0 adr=00 act=C9589AC6 exp=C9589AC6
7119.50ns INFO [00007121] * RD COMPARE * port=1 adr=0F act=3F8CA66D exp=3F8CA66D
7119.50ns INFO [00007121] Port=0 WR @19=0E272C6E
7119.50ns INFO [00007121] Port=0 RD @1D
7120.50ns INFO [00007122] Port=0 RD @0B
7121.50ns INFO [00007123] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783
7121.50ns INFO [00007123] Port=1 RD @12
7122.50ns INFO [00007124] * RD COMPARE * port=0 adr=0B act=7209A637 exp=7209A637
7122.50ns INFO [00007124] Port=0 RD @16
7122.50ns INFO [00007124] Port=1 RD @1F
7123.50ns INFO [00007125] * RD COMPARE * port=1 adr=12 act=768A1839 exp=768A1839
7123.50ns INFO [00007125] Port=0 RD @1D
7124.50ns INFO [00007126] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0
7124.50ns INFO [00007126] * RD COMPARE * port=1 adr=1F act=0909238A exp=0909238A
7124.50ns INFO [00007126] Port=0 WR @17=966F5520
7125.50ns INFO [00007127] * RD COMPARE * port=0 adr=1D act=98D3C783 exp=98D3C783
7125.50ns INFO [00007127] Port=1 RD @00
7126.50ns INFO [00007128] Port=0 WR @15=47F51C6D
7126.50ns INFO [00007128] Port=0 RD @07
7127.50ns INFO [00007129] * RD COMPARE * port=1 adr=00 act=C9589AC6 exp=C9589AC6
7127.50ns INFO [00007129] Port=1 RD @12
7128.50ns INFO [00007130] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0
7128.50ns INFO [00007130] Port=0 WR @1F=E6D4BE23
7128.50ns INFO [00007130] Port=0 RD @0D
7128.50ns INFO [00007130] Port=1 RD @0B
7129.50ns INFO [00007131] * RD COMPARE * port=1 adr=12 act=768A1839 exp=768A1839
7130.50ns INFO [00007132] * RD COMPARE * port=0 adr=0D act=76B3564B exp=76B3564B
7130.50ns INFO [00007132] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637
7130.50ns INFO [00007132] Port=0 WR @03=69161806
7132.50ns INFO [00007134] Port=0 WR @1C=5F55E328
7132.50ns INFO [00007134] Port=1 RD @07
7133.50ns INFO [00007135] Port=0 WR @17=451466E8
7133.50ns INFO [00007135] Port=1 RD @10
7134.50ns INFO [00007136] * RD COMPARE * port=1 adr=07 act=BD2319A0 exp=BD2319A0
7134.50ns INFO [00007136] Port=1 RD @13
7135.50ns INFO [00007137] * RD COMPARE * port=1 adr=10 act=63917004 exp=63917004
7135.50ns INFO [00007137] Port=0 WR @06=BBCCFAB9
7136.50ns INFO [00007138] * RD COMPARE * port=1 adr=13 act=00B8B0FA exp=00B8B0FA
7136.50ns INFO [00007138] Port=0 WR @11=94FC1CAF
7136.50ns INFO [00007138] Port=0 RD @1A
7137.50ns INFO [00007139] Port=0 WR @13=23C4DB1B
7138.50ns INFO [00007140] * RD COMPARE * port=0 adr=1A act=6F699E2D exp=6F699E2D
7138.50ns INFO [00007140] Port=0 RD @19
7138.50ns INFO [00007140] Port=1 RD @1A
7140.50ns INFO [00007142] * RD COMPARE * port=0 adr=19 act=0E272C6E exp=0E272C6E
7140.50ns INFO [00007142] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7140.50ns INFO [00007142] Port=0 RD @0E
7141.50ns INFO [00007143] Port=0 WR @0A=9244BAD2
7141.50ns INFO [00007143] Port=1 RD @1A
7142.50ns INFO [00007144] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7142.50ns INFO [00007144] Port=0 WR @0D=D0C69B03
7143.50ns INFO [00007145] * RD COMPARE * port=1 adr=1A act=6F699E2D exp=6F699E2D
7144.50ns INFO [00007146] Port=0 WR @11=01EA7FC9
7144.50ns INFO [00007146] Port=0 RD @03
7146.50ns INFO [00007148] * RD COMPARE * port=0 adr=03 act=69161806 exp=69161806
7146.50ns INFO [00007148] Port=1 RD @13
7147.50ns INFO [00007149] Port=0 WR @1F=6C882C8B
7147.50ns INFO [00007149] Port=0 RD @0C
7148.50ns INFO [00007150] * RD COMPARE * port=1 adr=13 act=23C4DB1B exp=23C4DB1B
7148.50ns INFO [00007150] Port=0 WR @1D=C83E5D69
7148.50ns INFO [00007150] Port=0 RD @03
7149.50ns INFO [00007151] * RD COMPARE * port=0 adr=0C act=3C0164F2 exp=3C0164F2
7149.50ns INFO [00007151] Port=0 WR @0F=7D83EB76
7149.50ns INFO [00007151] Port=1 RD @1B
7150.50ns INFO [00007152] * RD COMPARE * port=0 adr=03 act=69161806 exp=69161806
7150.50ns INFO [00007152] Port=0 WR @17=137D9DCA
7150.50ns INFO [00007152] Port=1 RD @03
7151.50ns INFO [00007153] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E
7152.50ns INFO [00007154] * RD COMPARE * port=1 adr=03 act=69161806 exp=69161806
7152.50ns INFO [00007154] Port=1 RD @15
7153.50ns INFO [00007155] Port=1 RD @0A
7154.50ns INFO [00007156] * RD COMPARE * port=1 adr=15 act=47F51C6D exp=47F51C6D
7154.50ns INFO [00007156] Port=0 WR @0D=6B3998E2
7155.50ns INFO [00007157] * RD COMPARE * port=1 adr=0A act=9244BAD2 exp=9244BAD2
7155.50ns INFO [00007157] Port=0 WR @13=AEB1E308
7157.50ns INFO [00007159] Port=0 WR @14=E955EC28
7158.50ns INFO [00007160] Port=0 WR @0C=08DE731F
7159.50ns INFO [00007161] Port=0 RD @14
7159.50ns INFO [00007161] Port=1 RD @05
7160.50ns INFO [00007162] Port=0 RD @02
7160.50ns INFO [00007162] Port=1 RD @11
7161.50ns INFO [00007163] * RD COMPARE * port=0 adr=14 act=E955EC28 exp=E955EC28
7161.50ns INFO [00007163] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7161.50ns INFO [00007163] Port=0 WR @0A=3F8F34A2
7161.50ns INFO [00007163] Port=1 RD @14
7162.50ns INFO [00007164] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB
7162.50ns INFO [00007164] * RD COMPARE * port=1 adr=11 act=01EA7FC9 exp=01EA7FC9
7162.50ns INFO [00007164] Port=0 WR @1C=C87272B4
7162.50ns INFO [00007164] Port=1 RD @10
7163.50ns INFO [00007165] * RD COMPARE * port=1 adr=14 act=E955EC28 exp=E955EC28
7163.50ns INFO [00007165] Port=0 WR @12=E022EEAC
7163.50ns INFO [00007165] Port=0 RD @07
7164.50ns INFO [00007166] * RD COMPARE * port=1 adr=10 act=63917004 exp=63917004
7164.50ns INFO [00007166] Port=0 WR @13=38A7AB62
7164.50ns INFO [00007166] Port=1 RD @1E
7165.50ns INFO [00007167] * RD COMPARE * port=0 adr=07 act=BD2319A0 exp=BD2319A0
7165.50ns INFO [00007167] Port=0 WR @1E=F07104E0
7165.50ns INFO [00007167] Port=0 RD @0C
7165.50ns INFO [00007167] Port=1 RD @03
7166.50ns INFO [00007168] * RD COMPARE * port=1 adr=1E act=DF3E2CF6 exp=DF3E2CF6
7166.50ns INFO [00007168] Port=0 WR @11=9C1D9292
7166.50ns INFO [00007168] Port=0 RD @06
7166.50ns INFO [00007168] Port=1 RD @0B
7167.50ns INFO [00007169] * RD COMPARE * port=0 adr=0C act=08DE731F exp=08DE731F
7167.50ns INFO [00007169] * RD COMPARE * port=1 adr=03 act=69161806 exp=69161806
7167.50ns INFO [00007169] Port=0 WR @1A=2FC2E50C
7167.50ns INFO [00007169] Port=1 RD @18
7168.50ns INFO [00007170] * RD COMPARE * port=0 adr=06 act=BBCCFAB9 exp=BBCCFAB9
7168.50ns INFO [00007170] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637
7168.50ns INFO [00007170] Port=1 RD @13
7169.50ns INFO [00007171] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
7169.50ns INFO [00007171] Port=0 WR @07=F6827CAD
7170.50ns INFO [00007172] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62
7170.50ns INFO [00007172] Port=0 WR @14=130B1159
7170.50ns INFO [00007172] Port=1 RD @1C
7171.50ns INFO [00007173] Port=1 RD @09
7172.50ns INFO [00007174] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4
7172.50ns INFO [00007174] Port=0 WR @03=EF638BC9
7172.50ns INFO [00007174] Port=1 RD @0B
7173.50ns INFO [00007175] * RD COMPARE * port=1 adr=09 act=50C85229 exp=50C85229
7173.50ns INFO [00007175] Port=0 WR @17=1AFF64BB
7173.50ns INFO [00007175] Port=1 RD @0A
7174.50ns INFO [00007176] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637
7174.50ns INFO [00007176] Port=0 WR @00=E221492C
7174.50ns INFO [00007176] Port=1 RD @1A
7175.50ns INFO [00007177] * RD COMPARE * port=1 adr=0A act=3F8F34A2 exp=3F8F34A2
7175.50ns INFO [00007177] Port=0 RD @0D
7176.50ns INFO [00007178] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C
7176.50ns INFO [00007178] Port=0 WR @09=D059496D
7176.50ns INFO [00007178] Port=1 RD @18
7177.50ns INFO [00007179] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2
7177.50ns INFO [00007179] Port=0 WR @00=E353B7E8
7177.50ns INFO [00007179] Port=1 RD @08
7178.50ns INFO [00007180] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
7178.50ns INFO [00007180] Port=0 RD @0D
7178.50ns INFO [00007180] Port=1 RD @00
7179.50ns INFO [00007181] * RD COMPARE * port=1 adr=08 act=38DB1FC8 exp=38DB1FC8
7179.50ns INFO [00007181] Port=0 WR @06=3DBD0214
7179.50ns INFO [00007181] Port=0 RD @09
7180.50ns INFO [00007182] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2
7180.50ns INFO [00007182] * RD COMPARE * port=1 adr=00 act=E353B7E8 exp=E353B7E8
7180.50ns INFO [00007182] Port=0 WR @0F=9DD593AD
7180.50ns INFO [00007182] Port=1 RD @01
7181.50ns INFO [00007183] * RD COMPARE * port=0 adr=09 act=D059496D exp=D059496D
7182.50ns INFO [00007184] * RD COMPARE * port=1 adr=01 act=06B0EA97 exp=06B0EA97
7182.50ns INFO [00007184] Port=0 WR @11=2F81BBA4
7182.50ns INFO [00007184] Port=0 RD @14
7182.50ns INFO [00007184] Port=1 RD @07
7183.50ns INFO [00007185] Port=1 RD @06
7184.50ns INFO [00007186] * RD COMPARE * port=0 adr=14 act=130B1159 exp=130B1159
7184.50ns INFO [00007186] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD
7184.50ns INFO [00007186] Port=1 RD @1F
7185.50ns INFO [00007187] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214
7185.50ns INFO [00007187] Port=0 RD @0A
7185.50ns INFO [00007187] Port=1 RD @0F
7186.50ns INFO [00007188] * RD COMPARE * port=1 adr=1F act=6C882C8B exp=6C882C8B
7187.50ns INFO [00007189] * RD COMPARE * port=0 adr=0A act=3F8F34A2 exp=3F8F34A2
7187.50ns INFO [00007189] * RD COMPARE * port=1 adr=0F act=9DD593AD exp=9DD593AD
7187.50ns INFO [00007189] Port=0 RD @00
7188.50ns INFO [00007190] Port=0 WR @09=CD1FF96D
7188.50ns INFO [00007190] Port=0 RD @08
7189.50ns INFO [00007191] * RD COMPARE * port=0 adr=00 act=E353B7E8 exp=E353B7E8
7189.50ns INFO [00007191] Port=0 WR @19=37375995
7189.50ns INFO [00007191] Port=1 RD @12
7190.50ns INFO [00007192] * RD COMPARE * port=0 adr=08 act=38DB1FC8 exp=38DB1FC8
7190.50ns INFO [00007192] Port=0 WR @10=DDC1DF2C
7191.50ns INFO [00007193] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC
7191.50ns INFO [00007193] Port=1 RD @06
7193.50ns INFO [00007195] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214
7193.50ns INFO [00007195] Port=0 WR @08=660E2A91
7193.50ns INFO [00007195] Port=0 RD @1E
7193.50ns INFO [00007195] Port=1 RD @06
7194.50ns INFO [00007196] Port=0 RD @07
7195.50ns INFO [00007197] * RD COMPARE * port=0 adr=1E act=F07104E0 exp=F07104E0
7195.50ns INFO [00007197] * RD COMPARE * port=1 adr=06 act=3DBD0214 exp=3DBD0214
7196.50ns INFO [00007198] * RD COMPARE * port=0 adr=07 act=F6827CAD exp=F6827CAD
7196.50ns INFO [00007198] Port=0 WR @17=D9E95E92
7197.50ns INFO [00007199] Port=0 WR @10=1473D82B
7197.50ns INFO [00007199] Port=0 RD @1D
7197.50ns INFO [00007199] Port=1 RD @1B
7198.00ns INFO [00007200] [00007200] ...tick...
7198.50ns INFO [00007200] Port=0 WR @06=61E26C61
7199.50ns INFO [00007201] * RD COMPARE * port=0 adr=1D act=C83E5D69 exp=C83E5D69
7199.50ns INFO [00007201] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E
7199.50ns INFO [00007201] Port=1 RD @1F
7200.50ns INFO [00007202] Port=0 RD @1C
7201.50ns INFO [00007203] * RD COMPARE * port=1 adr=1F act=6C882C8B exp=6C882C8B
7201.50ns INFO [00007203] Port=0 RD @13
7202.50ns INFO [00007204] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4
7203.50ns INFO [00007205] * RD COMPARE * port=0 adr=13 act=38A7AB62 exp=38A7AB62
7203.50ns INFO [00007205] Port=0 WR @01=C1DB4362
7204.50ns INFO [00007206] Port=0 RD @12
7204.50ns INFO [00007206] Port=1 RD @18
7205.50ns INFO [00007207] Port=0 RD @0D
7206.50ns INFO [00007208] * RD COMPARE * port=0 adr=12 act=E022EEAC exp=E022EEAC
7206.50ns INFO [00007208] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
7206.50ns INFO [00007208] Port=1 RD @0D
7207.50ns INFO [00007209] * RD COMPARE * port=0 adr=0D act=6B3998E2 exp=6B3998E2
7207.50ns INFO [00007209] Port=0 WR @01=91A2F073
7207.50ns INFO [00007209] Port=0 RD @05
7208.50ns INFO [00007210] * RD COMPARE * port=1 adr=0D act=6B3998E2 exp=6B3998E2
7208.50ns INFO [00007210] Port=0 RD @11
7208.50ns INFO [00007210] Port=1 RD @10
7209.50ns INFO [00007211] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9
7209.50ns INFO [00007211] Port=0 WR @00=4EDE4D7D
7210.50ns INFO [00007212] * RD COMPARE * port=0 adr=11 act=2F81BBA4 exp=2F81BBA4
7210.50ns INFO [00007212] * RD COMPARE * port=1 adr=10 act=1473D82B exp=1473D82B
7210.50ns INFO [00007212] Port=0 RD @0E
7211.50ns INFO [00007213] Port=0 RD @0C
7211.50ns INFO [00007213] Port=1 RD @09
7212.50ns INFO [00007214] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7213.50ns INFO [00007215] * RD COMPARE * port=0 adr=0C act=08DE731F exp=08DE731F
7213.50ns INFO [00007215] * RD COMPARE * port=1 adr=09 act=CD1FF96D exp=CD1FF96D
7213.50ns INFO [00007215] Port=0 WR @01=B27692BD
7213.50ns INFO [00007215] Port=0 RD @02
7213.50ns INFO [00007215] Port=1 RD @0B
7215.50ns INFO [00007217] * RD COMPARE * port=0 adr=02 act=56CF86BB exp=56CF86BB
7215.50ns INFO [00007217] * RD COMPARE * port=1 adr=0B act=7209A637 exp=7209A637
7215.50ns INFO [00007217] Port=0 WR @11=56C9AAD5
7215.50ns INFO [00007217] Port=0 RD @08
7216.50ns INFO [00007218] Port=0 WR @0B=DF09C025
7216.50ns INFO [00007218] Port=0 RD @1F
7217.50ns INFO [00007219] * RD COMPARE * port=0 adr=08 act=660E2A91 exp=660E2A91
7218.50ns INFO [00007220] * RD COMPARE * port=0 adr=1F act=6C882C8B exp=6C882C8B
7219.50ns INFO [00007221] Port=0 WR @14=6E2B9E81
7219.50ns INFO [00007221] Port=1 RD @0C
7221.50ns INFO [00007223] * RD COMPARE * port=1 adr=0C act=08DE731F exp=08DE731F
7221.50ns INFO [00007223] Port=0 WR @0D=729AA7FD
7221.50ns INFO [00007223] Port=1 RD @00
7222.50ns INFO [00007224] Port=1 RD @08
7223.50ns INFO [00007225] * RD COMPARE * port=1 adr=00 act=4EDE4D7D exp=4EDE4D7D
7223.50ns INFO [00007225] Port=1 RD @04
7224.50ns INFO [00007226] * RD COMPARE * port=1 adr=08 act=660E2A91 exp=660E2A91
7224.50ns INFO [00007226] Port=0 RD @0B
7224.50ns INFO [00007226] Port=1 RD @11
7225.50ns INFO [00007227] * RD COMPARE * port=1 adr=04 act=D0447881 exp=D0447881
7225.50ns INFO [00007227] Port=0 WR @0C=D49F816C
7225.50ns INFO [00007227] Port=0 RD @19
7226.50ns INFO [00007228] * RD COMPARE * port=0 adr=0B act=DF09C025 exp=DF09C025
7226.50ns INFO [00007228] * RD COMPARE * port=1 adr=11 act=56C9AAD5 exp=56C9AAD5
7226.50ns INFO [00007228] Port=1 RD @03
7227.50ns INFO [00007229] * RD COMPARE * port=0 adr=19 act=37375995 exp=37375995
7227.50ns INFO [00007229] Port=0 WR @0B=395C06FD
7227.50ns INFO [00007229] Port=1 RD @18
7228.50ns INFO [00007230] * RD COMPARE * port=1 adr=03 act=EF638BC9 exp=EF638BC9
7228.50ns INFO [00007230] Port=0 WR @02=8163346E
7228.50ns INFO [00007230] Port=0 RD @06
7229.50ns INFO [00007231] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
7230.50ns INFO [00007232] * RD COMPARE * port=0 adr=06 act=61E26C61 exp=61E26C61
7230.50ns INFO [00007232] Port=0 RD @19
7230.50ns INFO [00007232] Port=1 RD @05
7231.50ns INFO [00007233] Port=0 RD @05
7231.50ns INFO [00007233] Port=1 RD @14
7232.50ns INFO [00007234] * RD COMPARE * port=0 adr=19 act=37375995 exp=37375995
7232.50ns INFO [00007234] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7232.50ns INFO [00007234] Port=0 WR @11=7C682FB4
7232.50ns INFO [00007234] Port=0 RD @0D
7233.50ns INFO [00007235] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9
7233.50ns INFO [00007235] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81
7233.50ns INFO [00007235] Port=0 RD @10
7234.50ns INFO [00007236] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD
7235.50ns INFO [00007237] * RD COMPARE * port=0 adr=10 act=1473D82B exp=1473D82B
7236.50ns INFO [00007238] Port=0 RD @0F
7237.50ns INFO [00007239] Port=1 RD @0E
7238.50ns INFO [00007240] * RD COMPARE * port=0 adr=0F act=9DD593AD exp=9DD593AD
7239.50ns INFO [00007241] * RD COMPARE * port=1 adr=0E act=B92C627F exp=B92C627F
7239.50ns INFO [00007241] Port=1 RD @1A
7240.50ns INFO [00007242] Port=0 RD @04
7240.50ns INFO [00007242] Port=1 RD @1A
7241.50ns INFO [00007243] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C
7241.50ns INFO [00007243] Port=0 RD @12
7242.50ns INFO [00007244] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881
7242.50ns INFO [00007244] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C
7242.50ns INFO [00007244] Port=0 RD @15
7243.50ns INFO [00007245] * RD COMPARE * port=0 adr=12 act=E022EEAC exp=E022EEAC
7243.50ns INFO [00007245] Port=0 RD @03
7244.50ns INFO [00007246] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D
7244.50ns INFO [00007246] Port=0 WR @0B=E0178F87
7245.50ns INFO [00007247] * RD COMPARE * port=0 adr=03 act=EF638BC9 exp=EF638BC9
7245.50ns INFO [00007247] Port=0 RD @02
7246.50ns INFO [00007248] Port=0 WR @19=D8737E5E
7246.50ns INFO [00007248] Port=0 RD @15
7246.50ns INFO [00007248] Port=1 RD @1C
7247.50ns INFO [00007249] * RD COMPARE * port=0 adr=02 act=8163346E exp=8163346E
7247.50ns INFO [00007249] Port=0 RD @15
7247.50ns INFO [00007249] Port=1 RD @1B
7248.50ns INFO [00007250] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D
7248.50ns INFO [00007250] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4
7248.50ns INFO [00007250] Port=0 WR @08=F883E9D1
7249.50ns INFO [00007251] * RD COMPARE * port=0 adr=15 act=47F51C6D exp=47F51C6D
7249.50ns INFO [00007251] * RD COMPARE * port=1 adr=1B act=8E387E1E exp=8E387E1E
7249.50ns INFO [00007251] Port=0 RD @0E
7250.50ns INFO [00007252] Port=0 RD @14
7251.50ns INFO [00007253] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7252.50ns INFO [00007254] * RD COMPARE * port=0 adr=14 act=6E2B9E81 exp=6E2B9E81
7252.50ns INFO [00007254] Port=0 WR @1F=7424E57D
7252.50ns INFO [00007254] Port=0 RD @03
7252.50ns INFO [00007254] Port=1 RD @13
7254.50ns INFO [00007256] * RD COMPARE * port=0 adr=03 act=EF638BC9 exp=EF638BC9
7254.50ns INFO [00007256] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62
7254.50ns INFO [00007256] Port=0 WR @17=0A139EF3
7255.50ns INFO [00007257] Port=0 WR @10=ECD0680D
7255.50ns INFO [00007257] Port=1 RD @03
7256.50ns INFO [00007258] Port=0 RD @00
7257.50ns INFO [00007259] * RD COMPARE * port=1 adr=03 act=EF638BC9 exp=EF638BC9
7257.50ns INFO [00007259] Port=0 WR @02=11E440DE
7257.50ns INFO [00007259] Port=0 RD @13
7257.50ns INFO [00007259] Port=1 RD @00
7258.50ns INFO [00007260] * RD COMPARE * port=0 adr=00 act=4EDE4D7D exp=4EDE4D7D
7258.50ns INFO [00007260] Port=0 WR @06=E9B865E6
7258.50ns INFO [00007260] Port=0 RD @1A
7259.50ns INFO [00007261] * RD COMPARE * port=0 adr=13 act=38A7AB62 exp=38A7AB62
7259.50ns INFO [00007261] * RD COMPARE * port=1 adr=00 act=4EDE4D7D exp=4EDE4D7D
7259.50ns INFO [00007261] Port=0 WR @1D=AF623A97
7259.50ns INFO [00007261] Port=0 RD @0E
7259.50ns INFO [00007261] Port=1 RD @1E
7260.50ns INFO [00007262] * RD COMPARE * port=0 adr=1A act=2FC2E50C exp=2FC2E50C
7260.50ns INFO [00007262] Port=0 WR @15=C148CBA8
7261.50ns INFO [00007263] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7261.50ns INFO [00007263] * RD COMPARE * port=1 adr=1E act=F07104E0 exp=F07104E0
7261.50ns INFO [00007263] Port=1 RD @12
7262.50ns INFO [00007264] Port=0 WR @00=6C752446
7263.50ns INFO [00007265] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC
7263.50ns INFO [00007265] Port=0 WR @03=982F9E42
7264.50ns INFO [00007266] Port=0 RD @1F
7265.50ns INFO [00007267] Port=0 WR @1B=054E1E29
7265.50ns INFO [00007267] Port=0 RD @1C
7265.50ns INFO [00007267] Port=1 RD @13
7266.50ns INFO [00007268] * RD COMPARE * port=0 adr=1F act=7424E57D exp=7424E57D
7266.50ns INFO [00007268] Port=0 RD @16
7267.50ns INFO [00007269] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4
7267.50ns INFO [00007269] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62
7267.50ns INFO [00007269] Port=0 WR @0A=46656B9A
7267.50ns INFO [00007269] Port=0 RD @19
7268.50ns INFO [00007270] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0
7268.50ns INFO [00007270] Port=0 WR @06=C407716F
7269.50ns INFO [00007271] * RD COMPARE * port=0 adr=19 act=D8737E5E exp=D8737E5E
7270.50ns INFO [00007272] Port=0 WR @1F=178FFB07
7271.50ns INFO [00007273] Port=0 WR @0B=E919CB70
7272.50ns INFO [00007274] Port=0 RD @1D
7274.50ns INFO [00007276] * RD COMPARE * port=0 adr=1D act=AF623A97 exp=AF623A97
7276.50ns INFO [00007278] Port=0 RD @04
7277.50ns INFO [00007279] Port=0 WR @1D=0FBD8F22
7277.50ns INFO [00007279] Port=0 RD @0E
7278.50ns INFO [00007280] * RD COMPARE * port=0 adr=04 act=D0447881 exp=D0447881
7278.50ns INFO [00007280] Port=0 WR @04=9D69488B
7278.50ns INFO [00007280] Port=0 RD @1B
7279.50ns INFO [00007281] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7279.50ns INFO [00007281] Port=0 RD @05
7279.50ns INFO [00007281] Port=1 RD @14
7280.50ns INFO [00007282] * RD COMPARE * port=0 adr=1B act=054E1E29 exp=054E1E29
7280.50ns INFO [00007282] Port=0 RD @0D
7280.50ns INFO [00007282] Port=1 RD @04
7281.50ns INFO [00007283] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9
7281.50ns INFO [00007283] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81
7281.50ns INFO [00007283] Port=0 WR @19=EEED3CE3
7282.50ns INFO [00007284] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD
7282.50ns INFO [00007284] * RD COMPARE * port=1 adr=04 act=9D69488B exp=9D69488B
7282.50ns INFO [00007284] Port=0 RD @17
7282.50ns INFO [00007284] Port=1 RD @13
7283.50ns INFO [00007285] Port=0 RD @01
7284.50ns INFO [00007286] * RD COMPARE * port=0 adr=17 act=0A139EF3 exp=0A139EF3
7284.50ns INFO [00007286] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62
7285.50ns INFO [00007287] * RD COMPARE * port=0 adr=01 act=B27692BD exp=B27692BD
7285.50ns INFO [00007287] Port=0 WR @1B=D243D752
7285.50ns INFO [00007287] Port=1 RD @12
7286.50ns INFO [00007288] Port=0 WR @1D=D91DFC74
7287.50ns INFO [00007289] * RD COMPARE * port=1 adr=12 act=E022EEAC exp=E022EEAC
7287.50ns INFO [00007289] Port=1 RD @00
7288.50ns INFO [00007290] Port=1 RD @07
7289.50ns INFO [00007291] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446
7289.50ns INFO [00007291] Port=0 WR @15=9B6F0528
7290.50ns INFO [00007292] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD
7290.50ns INFO [00007292] Port=0 WR @0B=EE669348
7290.50ns INFO [00007292] Port=0 RD @03
7291.50ns INFO [00007293] Port=0 RD @1B
7291.50ns INFO [00007293] Port=1 RD @19
7292.50ns INFO [00007294] * RD COMPARE * port=0 adr=03 act=982F9E42 exp=982F9E42
7292.50ns INFO [00007294] Port=0 RD @16
7293.50ns INFO [00007295] * RD COMPARE * port=0 adr=1B act=D243D752 exp=D243D752
7293.50ns INFO [00007295] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3
7294.50ns INFO [00007296] * RD COMPARE * port=0 adr=16 act=20C60CC0 exp=20C60CC0
7294.50ns INFO [00007296] Port=0 WR @11=51750601
7294.50ns INFO [00007296] Port=1 RD @0C
7295.50ns INFO [00007297] Port=0 WR @1D=2866D4B1
7296.50ns INFO [00007298] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C
7296.50ns INFO [00007298] Port=0 RD @0E
7296.50ns INFO [00007298] Port=1 RD @01
7297.50ns INFO [00007299] Port=1 RD @13
7298.00ns INFO [00007300] [00007300] ...tick...
7298.50ns INFO [00007300] * RD COMPARE * port=0 adr=0E act=B92C627F exp=B92C627F
7298.50ns INFO [00007300] * RD COMPARE * port=1 adr=01 act=B27692BD exp=B27692BD
7298.50ns INFO [00007300] Port=0 RD @0B
7299.50ns INFO [00007301] * RD COMPARE * port=1 adr=13 act=38A7AB62 exp=38A7AB62
7299.50ns INFO [00007301] Port=1 RD @1B
7300.50ns INFO [00007302] * RD COMPARE * port=0 adr=0B act=EE669348 exp=EE669348
7300.50ns INFO [00007302] Port=0 WR @1E=CC044516
7300.50ns INFO [00007302] Port=0 RD @11
7301.50ns INFO [00007303] * RD COMPARE * port=1 adr=1B act=D243D752 exp=D243D752
7301.50ns INFO [00007303] Port=0 RD @1E
7302.50ns INFO [00007304] * RD COMPARE * port=0 adr=11 act=51750601 exp=51750601
7302.50ns INFO [00007304] Port=0 WR @13=2E1C6439
7302.50ns INFO [00007304] Port=0 RD @00
7302.50ns INFO [00007304] Port=1 RD @1A
7303.50ns INFO [00007305] * RD COMPARE * port=0 adr=1E act=CC044516 exp=CC044516
7304.50ns INFO [00007306] * RD COMPARE * port=0 adr=00 act=6C752446 exp=6C752446
7304.50ns INFO [00007306] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C
7304.50ns INFO [00007306] Port=0 WR @1F=880B2011
7304.50ns INFO [00007306] Port=0 RD @1E
7305.50ns INFO [00007307] Port=0 WR @1F=13C8A0E7
7306.50ns INFO [00007308] * RD COMPARE * port=0 adr=1E act=CC044516 exp=CC044516
7307.50ns INFO [00007309] Port=0 WR @12=B6BC4BDC
7308.50ns INFO [00007310] Port=0 WR @0F=BAC0ABD4
7308.50ns INFO [00007310] Port=0 RD @1D
7308.50ns INFO [00007310] Port=1 RD @14
7310.50ns INFO [00007312] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1
7310.50ns INFO [00007312] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81
7310.50ns INFO [00007312] Port=0 WR @0F=2D630307
7312.50ns INFO [00007314] Port=0 RD @13
7312.50ns INFO [00007314] Port=1 RD @14
7314.50ns INFO [00007316] * RD COMPARE * port=0 adr=13 act=2E1C6439 exp=2E1C6439
7314.50ns INFO [00007316] * RD COMPARE * port=1 adr=14 act=6E2B9E81 exp=6E2B9E81
7314.50ns INFO [00007316] Port=0 WR @0B=8B2B7115
7316.50ns INFO [00007318] Port=0 RD @17
7316.50ns INFO [00007318] Port=1 RD @0D
7317.50ns INFO [00007319] Port=0 WR @0B=4F4D2B01
7317.50ns INFO [00007319] Port=1 RD @0C
7318.50ns INFO [00007320] * RD COMPARE * port=0 adr=17 act=0A139EF3 exp=0A139EF3
7318.50ns INFO [00007320] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD
7318.50ns INFO [00007320] Port=0 WR @15=2D62E6E3
7318.50ns INFO [00007320] Port=1 RD @08
7319.50ns INFO [00007321] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C
7319.50ns INFO [00007321] Port=0 WR @17=8C3F5D9E
7320.50ns INFO [00007322] * RD COMPARE * port=1 adr=08 act=F883E9D1 exp=F883E9D1
7320.50ns INFO [00007322] Port=1 RD @0D
7321.50ns INFO [00007323] Port=1 RD @00
7322.50ns INFO [00007324] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD
7322.50ns INFO [00007324] Port=0 RD @06
7323.50ns INFO [00007325] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446
7323.50ns INFO [00007325] Port=0 WR @08=729A7BE4
7324.50ns INFO [00007326] * RD COMPARE * port=0 adr=06 act=C407716F exp=C407716F
7324.50ns INFO [00007326] Port=0 RD @1A
7325.50ns INFO [00007327] Port=1 RD @10
7326.50ns INFO [00007328] * RD COMPARE * port=0 adr=1A act=2FC2E50C exp=2FC2E50C
7327.50ns INFO [00007329] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D
7329.50ns INFO [00007331] Port=0 RD @13
7329.50ns INFO [00007331] Port=1 RD @08
7331.50ns INFO [00007333] * RD COMPARE * port=0 adr=13 act=2E1C6439 exp=2E1C6439
7331.50ns INFO [00007333] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4
7332.50ns INFO [00007334] Port=1 RD @09
7333.50ns INFO [00007335] Port=0 RD @01
7334.50ns INFO [00007336] * RD COMPARE * port=1 adr=09 act=CD1FF96D exp=CD1FF96D
7335.50ns INFO [00007337] * RD COMPARE * port=0 adr=01 act=B27692BD exp=B27692BD
7335.50ns INFO [00007337] Port=1 RD @11
7336.50ns INFO [00007338] Port=1 RD @1E
7337.50ns INFO [00007339] * RD COMPARE * port=1 adr=11 act=51750601 exp=51750601
7337.50ns INFO [00007339] Port=1 RD @18
7338.50ns INFO [00007340] * RD COMPARE * port=1 adr=1E act=CC044516 exp=CC044516
7338.50ns INFO [00007340] Port=0 RD @1C
7339.50ns INFO [00007341] * RD COMPARE * port=1 adr=18 act=E92F7828 exp=E92F7828
7340.50ns INFO [00007342] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4
7340.50ns INFO [00007342] Port=1 RD @03
7341.50ns INFO [00007343] Port=1 RD @13
7342.50ns INFO [00007344] * RD COMPARE * port=1 adr=03 act=982F9E42 exp=982F9E42
7342.50ns INFO [00007344] Port=0 WR @1B=EB9B4D48
7343.50ns INFO [00007345] * RD COMPARE * port=1 adr=13 act=2E1C6439 exp=2E1C6439
7343.50ns INFO [00007345] Port=0 RD @14
7343.50ns INFO [00007345] Port=1 RD @07
7345.50ns INFO [00007347] * RD COMPARE * port=0 adr=14 act=6E2B9E81 exp=6E2B9E81
7345.50ns INFO [00007347] * RD COMPARE * port=1 adr=07 act=F6827CAD exp=F6827CAD
7346.50ns INFO [00007348] Port=0 WR @06=ED467D5B
7347.50ns INFO [00007349] Port=0 WR @18=3F0B9BB0
7349.50ns INFO [00007351] Port=1 RD @10
7350.50ns INFO [00007352] Port=0 WR @07=F1A21D6C
7350.50ns INFO [00007352] Port=0 RD @19
7350.50ns INFO [00007352] Port=1 RD @0F
7351.50ns INFO [00007353] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D
7351.50ns INFO [00007353] Port=1 RD @05
7352.50ns INFO [00007354] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7352.50ns INFO [00007354] * RD COMPARE * port=1 adr=0F act=2D630307 exp=2D630307
7352.50ns INFO [00007354] Port=0 WR @01=F8592827
7353.50ns INFO [00007355] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7353.50ns INFO [00007355] Port=0 WR @11=FF263821
7353.50ns INFO [00007355] Port=1 RD @15
7354.50ns INFO [00007356] Port=0 WR @1F=6CF83455
7354.50ns INFO [00007356] Port=0 RD @04
7355.50ns INFO [00007357] * RD COMPARE * port=1 adr=15 act=2D62E6E3 exp=2D62E6E3
7355.50ns INFO [00007357] Port=1 RD @17
7356.50ns INFO [00007358] * RD COMPARE * port=0 adr=04 act=9D69488B exp=9D69488B
7356.50ns INFO [00007358] Port=1 RD @1C
7357.50ns INFO [00007359] * RD COMPARE * port=1 adr=17 act=8C3F5D9E exp=8C3F5D9E
7357.50ns INFO [00007359] Port=0 RD @1C
7357.50ns INFO [00007359] Port=1 RD @05
7358.50ns INFO [00007360] * RD COMPARE * port=1 adr=1C act=C87272B4 exp=C87272B4
7358.50ns INFO [00007360] Port=0 WR @1B=1AFC1253
7358.50ns INFO [00007360] Port=0 RD @18
7359.50ns INFO [00007361] * RD COMPARE * port=0 adr=1C act=C87272B4 exp=C87272B4
7359.50ns INFO [00007361] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7359.50ns INFO [00007361] Port=0 WR @17=308FB190
7360.50ns INFO [00007362] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0
7360.50ns INFO [00007362] Port=0 WR @11=2E5EDD26
7361.50ns INFO [00007363] Port=0 WR @03=9BD003E9
7362.50ns INFO [00007364] Port=0 WR @12=8BC42C60
7363.50ns INFO [00007365] Port=0 WR @16=9865BFCF
7363.50ns INFO [00007365] Port=0 RD @00
7363.50ns INFO [00007365] Port=1 RD @0D
7364.50ns INFO [00007366] Port=1 RD @1F
7365.50ns INFO [00007367] * RD COMPARE * port=0 adr=00 act=6C752446 exp=6C752446
7365.50ns INFO [00007367] * RD COMPARE * port=1 adr=0D act=729AA7FD exp=729AA7FD
7365.50ns INFO [00007367] Port=0 WR @09=49036444
7365.50ns INFO [00007367] Port=1 RD @1D
7366.50ns INFO [00007368] * RD COMPARE * port=1 adr=1F act=6CF83455 exp=6CF83455
7366.50ns INFO [00007368] Port=0 RD @19
7367.50ns INFO [00007369] * RD COMPARE * port=1 adr=1D act=2866D4B1 exp=2866D4B1
7367.50ns INFO [00007369] Port=0 RD @1F
7367.50ns INFO [00007369] Port=1 RD @0A
7368.50ns INFO [00007370] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7368.50ns INFO [00007370] Port=1 RD @0F
7369.50ns INFO [00007371] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455
7369.50ns INFO [00007371] * RD COMPARE * port=1 adr=0A act=46656B9A exp=46656B9A
7370.50ns INFO [00007372] * RD COMPARE * port=1 adr=0F act=2D630307 exp=2D630307
7370.50ns INFO [00007372] Port=0 RD @1F
7371.50ns INFO [00007373] Port=1 RD @10
7372.50ns INFO [00007374] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455
7372.50ns INFO [00007374] Port=1 RD @0E
7373.50ns INFO [00007375] * RD COMPARE * port=1 adr=10 act=ECD0680D exp=ECD0680D
7373.50ns INFO [00007375] Port=0 WR @13=70B27F29
7373.50ns INFO [00007375] Port=1 RD @07
7374.50ns INFO [00007376] * RD COMPARE * port=1 adr=0E act=B92C627F exp=B92C627F
7374.50ns INFO [00007376] Port=0 RD @06
7374.50ns INFO [00007376] Port=1 RD @12
7375.50ns INFO [00007377] * RD COMPARE * port=1 adr=07 act=F1A21D6C exp=F1A21D6C
7375.50ns INFO [00007377] Port=0 RD @07
7375.50ns INFO [00007377] Port=1 RD @19
7376.50ns INFO [00007378] * RD COMPARE * port=0 adr=06 act=ED467D5B exp=ED467D5B
7376.50ns INFO [00007378] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60
7376.50ns INFO [00007378] Port=1 RD @0B
7377.50ns INFO [00007379] * RD COMPARE * port=0 adr=07 act=F1A21D6C exp=F1A21D6C
7377.50ns INFO [00007379] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3
7377.50ns INFO [00007379] Port=0 RD @0D
7378.50ns INFO [00007380] * RD COMPARE * port=1 adr=0B act=4F4D2B01 exp=4F4D2B01
7378.50ns INFO [00007380] Port=0 WR @0D=E029E100
7378.50ns INFO [00007380] Port=0 RD @02
7379.50ns INFO [00007381] * RD COMPARE * port=0 adr=0D act=729AA7FD exp=729AA7FD
7380.50ns INFO [00007382] * RD COMPARE * port=0 adr=02 act=11E440DE exp=11E440DE
7381.50ns INFO [00007383] Port=0 WR @13=AD8BC841
7381.50ns INFO [00007383] Port=0 RD @03
7381.50ns INFO [00007383] Port=1 RD @00
7382.50ns INFO [00007384] Port=1 RD @06
7383.50ns INFO [00007385] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7383.50ns INFO [00007385] * RD COMPARE * port=1 adr=00 act=6C752446 exp=6C752446
7383.50ns INFO [00007385] Port=0 RD @18
7384.50ns INFO [00007386] * RD COMPARE * port=1 adr=06 act=ED467D5B exp=ED467D5B
7385.50ns INFO [00007387] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0
7385.50ns INFO [00007387] Port=0 RD @1D
7385.50ns INFO [00007387] Port=1 RD @05
7386.50ns INFO [00007388] Port=1 RD @12
7387.50ns INFO [00007389] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1
7387.50ns INFO [00007389] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7387.50ns INFO [00007389] Port=0 WR @14=EC582706
7387.50ns INFO [00007389] Port=1 RD @19
7388.50ns INFO [00007390] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60
7388.50ns INFO [00007390] Port=0 RD @19
7388.50ns INFO [00007390] Port=1 RD @08
7389.50ns INFO [00007391] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3
7389.50ns INFO [00007391] Port=0 RD @0D
7390.50ns INFO [00007392] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7390.50ns INFO [00007392] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4
7390.50ns INFO [00007392] Port=1 RD @1D
7391.50ns INFO [00007393] * RD COMPARE * port=0 adr=0D act=E029E100 exp=E029E100
7391.50ns INFO [00007393] Port=1 RD @1B
7392.50ns INFO [00007394] * RD COMPARE * port=1 adr=1D act=2866D4B1 exp=2866D4B1
7392.50ns INFO [00007394] Port=1 RD @0D
7393.50ns INFO [00007395] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253
7393.50ns INFO [00007395] Port=1 RD @1A
7394.50ns INFO [00007396] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7395.50ns INFO [00007397] * RD COMPARE * port=1 adr=1A act=2FC2E50C exp=2FC2E50C
7396.50ns INFO [00007398] Port=1 RD @0A
7397.50ns INFO [00007399] Port=0 WR @1E=1B21A479
7398.00ns INFO [00007400] [00007400] ...tick...
7398.50ns INFO [00007400] * RD COMPARE * port=1 adr=0A act=46656B9A exp=46656B9A
7399.50ns INFO [00007401] Port=0 WR @17=016FF9B0
7399.50ns INFO [00007401] Port=0 RD @15
7399.50ns INFO [00007401] Port=1 RD @18
7400.50ns INFO [00007402] Port=0 WR @17=EC418D28
7400.50ns INFO [00007402] Port=0 RD @12
7401.50ns INFO [00007403] * RD COMPARE * port=0 adr=15 act=2D62E6E3 exp=2D62E6E3
7401.50ns INFO [00007403] * RD COMPARE * port=1 adr=18 act=3F0B9BB0 exp=3F0B9BB0
7401.50ns INFO [00007403] Port=0 RD @03
7401.50ns INFO [00007403] Port=1 RD @05
7402.50ns INFO [00007404] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60
7402.50ns INFO [00007404] Port=0 WR @00=8D678891
7403.50ns INFO [00007405] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7403.50ns INFO [00007405] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7404.50ns INFO [00007406] Port=1 RD @05
7406.50ns INFO [00007408] * RD COMPARE * port=1 adr=05 act=AEF309B9 exp=AEF309B9
7406.50ns INFO [00007408] Port=0 RD @1F
7407.50ns INFO [00007409] Port=0 WR @09=EE44AECA
7407.50ns INFO [00007409] Port=1 RD @08
7408.50ns INFO [00007410] * RD COMPARE * port=0 adr=1F act=6CF83455 exp=6CF83455
7408.50ns INFO [00007410] Port=0 RD @0F
7409.50ns INFO [00007411] * RD COMPARE * port=1 adr=08 act=729A7BE4 exp=729A7BE4
7409.50ns INFO [00007411] Port=0 RD @03
7409.50ns INFO [00007411] Port=1 RD @12
7410.50ns INFO [00007412] * RD COMPARE * port=0 adr=0F act=2D630307 exp=2D630307
7410.50ns INFO [00007412] Port=1 RD @17
7411.50ns INFO [00007413] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7411.50ns INFO [00007413] * RD COMPARE * port=1 adr=12 act=8BC42C60 exp=8BC42C60
7411.50ns INFO [00007413] Port=0 RD @05
7412.50ns INFO [00007414] * RD COMPARE * port=1 adr=17 act=EC418D28 exp=EC418D28
7412.50ns INFO [00007414] Port=0 WR @0E=AACAE805
7412.50ns INFO [00007414] Port=0 RD @19
7413.50ns INFO [00007415] * RD COMPARE * port=0 adr=05 act=AEF309B9 exp=AEF309B9
7413.50ns INFO [00007415] Port=1 RD @0D
7414.50ns INFO [00007416] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7415.50ns INFO [00007417] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7415.50ns INFO [00007417] Port=0 WR @1F=471C6061
7416.50ns INFO [00007418] Port=0 WR @05=F945D2A8
7417.50ns INFO [00007419] Port=1 RD @0D
7418.50ns INFO [00007420] Port=0 WR @1C=A664F57E
7418.50ns INFO [00007420] Port=0 RD @18
7419.50ns INFO [00007421] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7419.50ns INFO [00007421] Port=0 WR @0E=8C3F4F9C
7419.50ns INFO [00007421] Port=0 RD @0B
7419.50ns INFO [00007421] Port=1 RD @05
7420.50ns INFO [00007422] * RD COMPARE * port=0 adr=18 act=3F0B9BB0 exp=3F0B9BB0
7420.50ns INFO [00007422] Port=1 RD @0D
7421.50ns INFO [00007423] * RD COMPARE * port=0 adr=0B act=4F4D2B01 exp=4F4D2B01
7421.50ns INFO [00007423] * RD COMPARE * port=1 adr=05 act=F945D2A8 exp=F945D2A8
7421.50ns INFO [00007423] Port=0 WR @08=1ED790D3
7422.50ns INFO [00007424] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7422.50ns INFO [00007424] Port=0 WR @06=362B23C4
7423.50ns INFO [00007425] Port=0 WR @01=9850E6F3
7424.50ns INFO [00007426] Port=0 RD @04
7425.50ns INFO [00007427] Port=0 RD @0B
7425.50ns INFO [00007427] Port=1 RD @0C
7426.50ns INFO [00007428] * RD COMPARE * port=0 adr=04 act=9D69488B exp=9D69488B
7427.50ns INFO [00007429] * RD COMPARE * port=0 adr=0B act=4F4D2B01 exp=4F4D2B01
7427.50ns INFO [00007429] * RD COMPARE * port=1 adr=0C act=D49F816C exp=D49F816C
7427.50ns INFO [00007429] Port=0 WR @0C=1102D7CF
7428.50ns INFO [00007430] Port=0 WR @00=F13C27F8
7429.50ns INFO [00007431] Port=0 WR @05=92F151D3
7429.50ns INFO [00007431] Port=0 RD @15
7429.50ns INFO [00007431] Port=1 RD @03
7431.50ns INFO [00007433] * RD COMPARE * port=0 adr=15 act=2D62E6E3 exp=2D62E6E3
7431.50ns INFO [00007433] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9
7431.50ns INFO [00007433] Port=0 WR @1A=F5CC55DF
7432.50ns INFO [00007434] Port=0 RD @12
7434.50ns INFO [00007436] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60
7435.50ns INFO [00007437] Port=0 WR @0C=8F48C811
7436.50ns INFO [00007438] Port=0 WR @18=4482FF20
7436.50ns INFO [00007438] Port=0 RD @1D
7437.50ns INFO [00007439] Port=0 WR @05=E5CB6F66
7438.50ns INFO [00007440] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1
7438.50ns INFO [00007440] Port=0 RD @0A
7439.50ns INFO [00007441] Port=0 RD @0C
7440.50ns INFO [00007442] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A
7441.50ns INFO [00007443] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7441.50ns INFO [00007443] Port=0 WR @05=89E7915D
7442.50ns INFO [00007444] Port=0 RD @05
7442.50ns INFO [00007444] Port=1 RD @0D
7443.50ns INFO [00007445] Port=0 WR @01=863CA7CF
7443.50ns INFO [00007445] Port=0 RD @12
7443.50ns INFO [00007445] Port=1 RD @1A
7444.50ns INFO [00007446] * RD COMPARE * port=0 adr=05 act=89E7915D exp=89E7915D
7444.50ns INFO [00007446] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7444.50ns INFO [00007446] Port=0 WR @0B=00C4CEA4
7444.50ns INFO [00007446] Port=1 RD @05
7445.50ns INFO [00007447] * RD COMPARE * port=0 adr=12 act=8BC42C60 exp=8BC42C60
7445.50ns INFO [00007447] * RD COMPARE * port=1 adr=1A act=F5CC55DF exp=F5CC55DF
7445.50ns INFO [00007447] Port=0 RD @06
7446.50ns INFO [00007448] * RD COMPARE * port=1 adr=05 act=89E7915D exp=89E7915D
7446.50ns INFO [00007448] Port=0 WR @0B=5F0E5BDA
7446.50ns INFO [00007448] Port=0 RD @0F
7447.50ns INFO [00007449] * RD COMPARE * port=0 adr=06 act=362B23C4 exp=362B23C4
7447.50ns INFO [00007449] Port=0 RD @01
7448.50ns INFO [00007450] * RD COMPARE * port=0 adr=0F act=2D630307 exp=2D630307
7448.50ns INFO [00007450] Port=1 RD @1F
7449.50ns INFO [00007451] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF
7449.50ns INFO [00007451] Port=0 RD @1D
7449.50ns INFO [00007451] Port=1 RD @01
7450.50ns INFO [00007452] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061
7451.50ns INFO [00007453] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1
7451.50ns INFO [00007453] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF
7451.50ns INFO [00007453] Port=1 RD @14
7452.50ns INFO [00007454] Port=0 RD @1B
7452.50ns INFO [00007454] Port=1 RD @1C
7453.50ns INFO [00007455] * RD COMPARE * port=1 adr=14 act=EC582706 exp=EC582706
7453.50ns INFO [00007455] Port=0 WR @16=3E61A0DA
7454.50ns INFO [00007456] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253
7454.50ns INFO [00007456] * RD COMPARE * port=1 adr=1C act=A664F57E exp=A664F57E
7454.50ns INFO [00007456] Port=1 RD @03
7455.50ns INFO [00007457] Port=0 WR @04=F9819642
7455.50ns INFO [00007457] Port=0 RD @18
7456.50ns INFO [00007458] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9
7456.50ns INFO [00007458] Port=0 WR @0F=30A6AC51
7457.50ns INFO [00007459] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20
7458.50ns INFO [00007460] Port=0 WR @14=08C28120
7458.50ns INFO [00007460] Port=0 RD @0F
7459.50ns INFO [00007461] Port=0 RD @1A
7459.50ns INFO [00007461] Port=1 RD @0B
7460.50ns INFO [00007462] * RD COMPARE * port=0 adr=0F act=30A6AC51 exp=30A6AC51
7460.50ns INFO [00007462] Port=0 WR @15=9831BE90
7460.50ns INFO [00007462] Port=0 RD @01
7461.50ns INFO [00007463] * RD COMPARE * port=0 adr=1A act=F5CC55DF exp=F5CC55DF
7461.50ns INFO [00007463] * RD COMPARE * port=1 adr=0B act=5F0E5BDA exp=5F0E5BDA
7461.50ns INFO [00007463] Port=0 RD @05
7462.50ns INFO [00007464] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF
7462.50ns INFO [00007464] Port=0 WR @15=7F12E167
7462.50ns INFO [00007464] Port=1 RD @1C
7463.50ns INFO [00007465] * RD COMPARE * port=0 adr=05 act=89E7915D exp=89E7915D
7463.50ns INFO [00007465] Port=1 RD @0B
7464.50ns INFO [00007466] * RD COMPARE * port=1 adr=1C act=A664F57E exp=A664F57E
7465.50ns INFO [00007467] * RD COMPARE * port=1 adr=0B act=5F0E5BDA exp=5F0E5BDA
7469.50ns INFO [00007471] Port=0 RD @1F
7469.50ns INFO [00007471] Port=1 RD @1F
7470.50ns INFO [00007472] Port=1 RD @01
7471.50ns INFO [00007473] * RD COMPARE * port=0 adr=1F act=471C6061 exp=471C6061
7471.50ns INFO [00007473] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061
7472.50ns INFO [00007474] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF
7473.50ns INFO [00007475] Port=0 RD @01
7473.50ns INFO [00007475] Port=1 RD @03
7474.50ns INFO [00007476] Port=0 WR @09=24869891
7475.50ns INFO [00007477] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF
7475.50ns INFO [00007477] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9
7476.50ns INFO [00007478] Port=0 RD @0B
7477.50ns INFO [00007479] Port=0 WR @13=A27FC6B2
7477.50ns INFO [00007479] Port=0 RD @02
7477.50ns INFO [00007479] Port=1 RD @01
7478.50ns INFO [00007480] * RD COMPARE * port=0 adr=0B act=5F0E5BDA exp=5F0E5BDA
7478.50ns INFO [00007480] Port=0 WR @15=478C589A
7478.50ns INFO [00007480] Port=0 RD @1D
7479.50ns INFO [00007481] * RD COMPARE * port=0 adr=02 act=11E440DE exp=11E440DE
7479.50ns INFO [00007481] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF
7479.50ns INFO [00007481] Port=0 WR @06=96E030DA
7479.50ns INFO [00007481] Port=0 RD @03
7480.50ns INFO [00007482] * RD COMPARE * port=0 adr=1D act=2866D4B1 exp=2866D4B1
7480.50ns INFO [00007482] Port=0 RD @04
7481.50ns INFO [00007483] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7481.50ns INFO [00007483] Port=1 RD @01
7482.50ns INFO [00007484] * RD COMPARE * port=0 adr=04 act=F9819642 exp=F9819642
7483.50ns INFO [00007485] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF
7483.50ns INFO [00007485] Port=0 WR @14=B743696C
7483.50ns INFO [00007485] Port=1 RD @0D
7484.50ns INFO [00007486] Port=0 WR @0B=C5AD741B
7485.50ns INFO [00007487] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7485.50ns INFO [00007487] Port=0 RD @0D
7485.50ns INFO [00007487] Port=1 RD @1F
7486.50ns INFO [00007488] Port=0 RD @1A
7487.50ns INFO [00007489] * RD COMPARE * port=0 adr=0D act=E029E100 exp=E029E100
7487.50ns INFO [00007489] * RD COMPARE * port=1 adr=1F act=471C6061 exp=471C6061
7487.50ns INFO [00007489] Port=0 RD @19
7488.50ns INFO [00007490] * RD COMPARE * port=0 adr=1A act=F5CC55DF exp=F5CC55DF
7488.50ns INFO [00007490] Port=0 RD @18
7488.50ns INFO [00007490] Port=1 RD @09
7489.50ns INFO [00007491] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7490.50ns INFO [00007492] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20
7490.50ns INFO [00007492] * RD COMPARE * port=1 adr=09 act=24869891 exp=24869891
7490.50ns INFO [00007492] Port=0 RD @1E
7490.50ns INFO [00007492] Port=1 RD @19
7491.50ns INFO [00007493] Port=0 RD @0E
7491.50ns INFO [00007493] Port=1 RD @1B
7492.50ns INFO [00007494] * RD COMPARE * port=0 adr=1E act=1B21A479 exp=1B21A479
7492.50ns INFO [00007494] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3
7492.50ns INFO [00007494] Port=0 RD @0A
7493.50ns INFO [00007495] * RD COMPARE * port=0 adr=0E act=8C3F4F9C exp=8C3F4F9C
7493.50ns INFO [00007495] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253
7493.50ns INFO [00007495] Port=0 RD @0A
7493.50ns INFO [00007495] Port=1 RD @1E
7494.50ns INFO [00007496] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A
7494.50ns INFO [00007496] Port=1 RD @02
7495.50ns INFO [00007497] * RD COMPARE * port=0 adr=0A act=46656B9A exp=46656B9A
7495.50ns INFO [00007497] * RD COMPARE * port=1 adr=1E act=1B21A479 exp=1B21A479
7496.50ns INFO [00007498] * RD COMPARE * port=1 adr=02 act=11E440DE exp=11E440DE
7496.50ns INFO [00007498] Port=0 WR @10=B92E9A24
7496.50ns INFO [00007498] Port=0 RD @07
7496.50ns INFO [00007498] Port=1 RD @19
7497.50ns INFO [00007499] Port=0 RD @13
7498.00ns INFO [00007500] [00007500] ...tick...
7498.50ns INFO [00007500] * RD COMPARE * port=0 adr=07 act=F1A21D6C exp=F1A21D6C
7498.50ns INFO [00007500] * RD COMPARE * port=1 adr=19 act=EEED3CE3 exp=EEED3CE3
7498.50ns INFO [00007500] Port=1 RD @02
7499.50ns INFO [00007501] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2
7499.50ns INFO [00007501] Port=0 WR @0B=03EECEF0
7499.50ns INFO [00007501] Port=1 RD @08
7500.50ns INFO [00007502] * RD COMPARE * port=1 adr=02 act=11E440DE exp=11E440DE
7500.50ns INFO [00007502] Port=0 WR @02=7D057A9E
7500.50ns INFO [00007502] Port=0 RD @16
7501.50ns INFO [00007503] * RD COMPARE * port=1 adr=08 act=1ED790D3 exp=1ED790D3
7501.50ns INFO [00007503] Port=0 WR @1E=8F300693
7502.50ns INFO [00007504] * RD COMPARE * port=0 adr=16 act=3E61A0DA exp=3E61A0DA
7502.50ns INFO [00007504] Port=0 RD @17
7504.50ns INFO [00007506] * RD COMPARE * port=0 adr=17 act=EC418D28 exp=EC418D28
7504.50ns INFO [00007506] Port=0 WR @1D=F96DB92A
7504.50ns INFO [00007506] Port=1 RD @1A
7505.50ns INFO [00007507] Port=0 WR @11=510C98A0
7505.50ns INFO [00007507] Port=1 RD @1E
7506.50ns INFO [00007508] * RD COMPARE * port=1 adr=1A act=F5CC55DF exp=F5CC55DF
7506.50ns INFO [00007508] Port=0 RD @13
7506.50ns INFO [00007508] Port=1 RD @0F
7507.50ns INFO [00007509] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693
7508.50ns INFO [00007510] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2
7508.50ns INFO [00007510] * RD COMPARE * port=1 adr=0F act=30A6AC51 exp=30A6AC51
7508.50ns INFO [00007510] Port=0 WR @1A=3748AE15
7509.50ns INFO [00007511] Port=0 WR @0A=73DEC569
7509.50ns INFO [00007511] Port=0 RD @1D
7510.50ns INFO [00007512] Port=0 WR @07=A663425C
7510.50ns INFO [00007512] Port=1 RD @1B
7511.50ns INFO [00007513] * RD COMPARE * port=0 adr=1D act=F96DB92A exp=F96DB92A
7512.50ns INFO [00007514] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253
7512.50ns INFO [00007514] Port=0 WR @14=B3EF581D
7512.50ns INFO [00007514] Port=0 RD @0B
7512.50ns INFO [00007514] Port=1 RD @00
7514.50ns INFO [00007516] * RD COMPARE * port=0 adr=0B act=03EECEF0 exp=03EECEF0
7514.50ns INFO [00007516] * RD COMPARE * port=1 adr=00 act=F13C27F8 exp=F13C27F8
7514.50ns INFO [00007516] Port=1 RD @01
7515.50ns INFO [00007517] Port=1 RD @02
7516.50ns INFO [00007518] * RD COMPARE * port=1 adr=01 act=863CA7CF exp=863CA7CF
7516.50ns INFO [00007518] Port=0 RD @1A
7517.50ns INFO [00007519] * RD COMPARE * port=1 adr=02 act=7D057A9E exp=7D057A9E
7517.50ns INFO [00007519] Port=0 RD @1D
7517.50ns INFO [00007519] Port=1 RD @10
7518.50ns INFO [00007520] * RD COMPARE * port=0 adr=1A act=3748AE15 exp=3748AE15
7518.50ns INFO [00007520] Port=0 WR @0E=62001086
7519.50ns INFO [00007521] * RD COMPARE * port=0 adr=1D act=F96DB92A exp=F96DB92A
7519.50ns INFO [00007521] * RD COMPARE * port=1 adr=10 act=B92E9A24 exp=B92E9A24
7519.50ns INFO [00007521] Port=0 WR @12=39268F0A
7519.50ns INFO [00007521] Port=0 RD @0C
7520.50ns INFO [00007522] Port=0 WR @04=F364A052
7521.50ns INFO [00007523] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7524.50ns INFO [00007526] Port=0 WR @17=C1CE6F91
7524.50ns INFO [00007526] Port=1 RD @07
7525.50ns INFO [00007527] Port=0 WR @05=7A9538FA
7526.50ns INFO [00007528] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C
7526.50ns INFO [00007528] Port=0 WR @0B=AFCC4331
7526.50ns INFO [00007528] Port=1 RD @0D
7527.50ns INFO [00007529] Port=1 RD @09
7528.50ns INFO [00007530] * RD COMPARE * port=1 adr=0D act=E029E100 exp=E029E100
7528.50ns INFO [00007530] Port=0 RD @1C
7529.50ns INFO [00007531] * RD COMPARE * port=1 adr=09 act=24869891 exp=24869891
7529.50ns INFO [00007531] Port=0 RD @1A
7529.50ns INFO [00007531] Port=1 RD @13
7530.50ns INFO [00007532] * RD COMPARE * port=0 adr=1C act=A664F57E exp=A664F57E
7530.50ns INFO [00007532] Port=0 WR @0D=0FFC3DD3
7530.50ns INFO [00007532] Port=0 RD @09
7531.50ns INFO [00007533] * RD COMPARE * port=0 adr=1A act=3748AE15 exp=3748AE15
7531.50ns INFO [00007533] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2
7531.50ns INFO [00007533] Port=0 RD @03
7532.50ns INFO [00007534] * RD COMPARE * port=0 adr=09 act=24869891 exp=24869891
7532.50ns INFO [00007534] Port=1 RD @07
7533.50ns INFO [00007535] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7533.50ns INFO [00007535] Port=0 RD @08
7533.50ns INFO [00007535] Port=1 RD @06
7534.50ns INFO [00007536] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C
7535.50ns INFO [00007537] * RD COMPARE * port=0 adr=08 act=1ED790D3 exp=1ED790D3
7535.50ns INFO [00007537] * RD COMPARE * port=1 adr=06 act=96E030DA exp=96E030DA
7535.50ns INFO [00007537] Port=0 WR @09=7A00BBCA
7536.50ns INFO [00007538] Port=1 RD @18
7537.50ns INFO [00007539] Port=1 RD @18
7538.50ns INFO [00007540] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20
7538.50ns INFO [00007540] Port=0 RD @0C
7538.50ns INFO [00007540] Port=1 RD @08
7539.50ns INFO [00007541] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20
7539.50ns INFO [00007541] Port=0 WR @1F=7D661F5E
7539.50ns INFO [00007541] Port=0 RD @19
7540.50ns INFO [00007542] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7540.50ns INFO [00007542] * RD COMPARE * port=1 adr=08 act=1ED790D3 exp=1ED790D3
7540.50ns INFO [00007542] Port=1 RD @04
7541.50ns INFO [00007543] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7541.50ns INFO [00007543] Port=1 RD @1E
7542.50ns INFO [00007544] * RD COMPARE * port=1 adr=04 act=F364A052 exp=F364A052
7543.50ns INFO [00007545] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693
7543.50ns INFO [00007545] Port=0 WR @1C=FF4231BF
7544.50ns INFO [00007546] Port=0 RD @1F
7546.50ns INFO [00007548] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7546.50ns INFO [00007548] Port=0 RD @07
7546.50ns INFO [00007548] Port=1 RD @09
7547.50ns INFO [00007549] Port=0 WR @00=51BD4C1F
7547.50ns INFO [00007549] Port=1 RD @07
7548.50ns INFO [00007550] * RD COMPARE * port=0 adr=07 act=A663425C exp=A663425C
7548.50ns INFO [00007550] * RD COMPARE * port=1 adr=09 act=7A00BBCA exp=7A00BBCA
7548.50ns INFO [00007550] Port=0 WR @1A=45F8D6FB
7548.50ns INFO [00007550] Port=1 RD @18
7549.50ns INFO [00007551] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C
7549.50ns INFO [00007551] Port=0 WR @0A=6A12090D
7549.50ns INFO [00007551] Port=0 RD @01
7549.50ns INFO [00007551] Port=1 RD @16
7550.50ns INFO [00007552] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20
7551.50ns INFO [00007553] * RD COMPARE * port=0 adr=01 act=863CA7CF exp=863CA7CF
7551.50ns INFO [00007553] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA
7551.50ns INFO [00007553] Port=0 WR @0F=8C7AA64B
7552.50ns INFO [00007554] Port=0 RD @0C
7553.50ns INFO [00007555] Port=0 WR @1D=ED9C184B
7553.50ns INFO [00007555] Port=1 RD @03
7554.50ns INFO [00007556] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7554.50ns INFO [00007556] Port=0 WR @05=EF5229A9
7555.50ns INFO [00007557] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9
7555.50ns INFO [00007557] Port=0 WR @1D=B52F0BEC
7556.50ns INFO [00007558] Port=0 WR @06=C434BAAB
7557.50ns INFO [00007559] Port=0 RD @16
7557.50ns INFO [00007559] Port=1 RD @18
7558.50ns INFO [00007560] Port=1 RD @07
7559.50ns INFO [00007561] * RD COMPARE * port=0 adr=16 act=3E61A0DA exp=3E61A0DA
7559.50ns INFO [00007561] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20
7559.50ns INFO [00007561] Port=0 WR @0F=CE9D66BE
7559.50ns INFO [00007561] Port=0 RD @0C
7559.50ns INFO [00007561] Port=1 RD @17
7560.50ns INFO [00007562] * RD COMPARE * port=1 adr=07 act=A663425C exp=A663425C
7560.50ns INFO [00007562] Port=0 RD @0D
7561.50ns INFO [00007563] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7561.50ns INFO [00007563] * RD COMPARE * port=1 adr=17 act=C1CE6F91 exp=C1CE6F91
7561.50ns INFO [00007563] Port=1 RD @17
7562.50ns INFO [00007564] * RD COMPARE * port=0 adr=0D act=0FFC3DD3 exp=0FFC3DD3
7563.50ns INFO [00007565] * RD COMPARE * port=1 adr=17 act=C1CE6F91 exp=C1CE6F91
7563.50ns INFO [00007565] Port=0 WR @08=06242247
7563.50ns INFO [00007565] Port=0 RD @07
7563.50ns INFO [00007565] Port=1 RD @1E
7564.50ns INFO [00007566] Port=1 RD @13
7565.50ns INFO [00007567] * RD COMPARE * port=0 adr=07 act=A663425C exp=A663425C
7565.50ns INFO [00007567] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693
7565.50ns INFO [00007567] Port=0 RD @15
7565.50ns INFO [00007567] Port=1 RD @15
7566.50ns INFO [00007568] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2
7566.50ns INFO [00007568] Port=0 WR @0F=496BBBD1
7566.50ns INFO [00007568] Port=0 RD @1F
7566.50ns INFO [00007568] Port=1 RD @13
7567.50ns INFO [00007569] * RD COMPARE * port=0 adr=15 act=478C589A exp=478C589A
7567.50ns INFO [00007569] * RD COMPARE * port=1 adr=15 act=478C589A exp=478C589A
7568.50ns INFO [00007570] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7568.50ns INFO [00007570] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2
7568.50ns INFO [00007570] Port=0 RD @05
7568.50ns INFO [00007570] Port=1 RD @1D
7569.50ns INFO [00007571] Port=0 WR @01=C29B455A
7570.50ns INFO [00007572] * RD COMPARE * port=0 adr=05 act=EF5229A9 exp=EF5229A9
7570.50ns INFO [00007572] * RD COMPARE * port=1 adr=1D act=B52F0BEC exp=B52F0BEC
7570.50ns INFO [00007572] Port=0 WR @09=5D65FAF0
7570.50ns INFO [00007572] Port=1 RD @14
7571.50ns INFO [00007573] Port=0 WR @0F=0469507E
7572.50ns INFO [00007574] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D
7573.50ns INFO [00007575] Port=0 RD @06
7573.50ns INFO [00007575] Port=1 RD @1C
7574.50ns INFO [00007576] Port=0 RD @02
7575.50ns INFO [00007577] * RD COMPARE * port=0 adr=06 act=C434BAAB exp=C434BAAB
7575.50ns INFO [00007577] * RD COMPARE * port=1 adr=1C act=FF4231BF exp=FF4231BF
7575.50ns INFO [00007577] Port=0 RD @01
7576.50ns INFO [00007578] * RD COMPARE * port=0 adr=02 act=7D057A9E exp=7D057A9E
7577.50ns INFO [00007579] * RD COMPARE * port=0 adr=01 act=C29B455A exp=C29B455A
7577.50ns INFO [00007579] Port=1 RD @04
7579.50ns INFO [00007581] * RD COMPARE * port=1 adr=04 act=F364A052 exp=F364A052
7579.50ns INFO [00007581] Port=0 WR @0E=8B02E5C5
7580.50ns INFO [00007582] Port=0 WR @12=BCA79B3F
7580.50ns INFO [00007582] Port=0 RD @1B
7582.50ns INFO [00007584] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253
7582.50ns INFO [00007584] Port=0 WR @07=863AAA70
7582.50ns INFO [00007584] Port=1 RD @16
7583.50ns INFO [00007585] Port=1 RD @1D
7584.50ns INFO [00007586] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA
7584.50ns INFO [00007586] Port=0 WR @0E=AC965FF3
7585.50ns INFO [00007587] * RD COMPARE * port=1 adr=1D act=B52F0BEC exp=B52F0BEC
7586.50ns INFO [00007588] Port=1 RD @01
7587.50ns INFO [00007589] Port=1 RD @14
7588.50ns INFO [00007590] * RD COMPARE * port=1 adr=01 act=C29B455A exp=C29B455A
7588.50ns INFO [00007590] Port=0 RD @12
7589.50ns INFO [00007591] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D
7589.50ns INFO [00007591] Port=0 RD @1D
7590.50ns INFO [00007592] * RD COMPARE * port=0 adr=12 act=BCA79B3F exp=BCA79B3F
7590.50ns INFO [00007592] Port=0 WR @15=7D89196C
7591.50ns INFO [00007593] * RD COMPARE * port=0 adr=1D act=B52F0BEC exp=B52F0BEC
7591.50ns INFO [00007593] Port=0 WR @00=F836EA16
7591.50ns INFO [00007593] Port=0 RD @14
7593.50ns INFO [00007595] * RD COMPARE * port=0 adr=14 act=B3EF581D exp=B3EF581D
7593.50ns INFO [00007595] Port=0 RD @1B
7593.50ns INFO [00007595] Port=1 RD @13
7594.50ns INFO [00007596] Port=0 RD @18
7594.50ns INFO [00007596] Port=1 RD @0B
7595.50ns INFO [00007597] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253
7595.50ns INFO [00007597] * RD COMPARE * port=1 adr=13 act=A27FC6B2 exp=A27FC6B2
7595.50ns INFO [00007597] Port=0 WR @17=F2461FCE
7595.50ns INFO [00007597] Port=1 RD @10
7596.50ns INFO [00007598] * RD COMPARE * port=0 adr=18 act=4482FF20 exp=4482FF20
7596.50ns INFO [00007598] * RD COMPARE * port=1 adr=0B act=AFCC4331 exp=AFCC4331
7596.50ns INFO [00007598] Port=0 WR @1D=BE459F34
7596.50ns INFO [00007598] Port=1 RD @0A
7597.50ns INFO [00007599] * RD COMPARE * port=1 adr=10 act=B92E9A24 exp=B92E9A24
7597.50ns INFO [00007599] Port=0 WR @0A=83045381
7597.50ns INFO [00007599] Port=0 RD @15
7598.00ns INFO [00007600] [00007600] ...tick...
7598.50ns INFO [00007600] * RD COMPARE * port=1 adr=0A act=6A12090D exp=6A12090D
7599.50ns INFO [00007601] * RD COMPARE * port=0 adr=15 act=7D89196C exp=7D89196C
7600.50ns INFO [00007602] Port=0 WR @11=2D4B006B
7602.50ns INFO [00007604] Port=0 WR @02=FA2D0010
7602.50ns INFO [00007604] Port=0 RD @05
7602.50ns INFO [00007604] Port=1 RD @0F
7603.50ns INFO [00007605] Port=0 WR @17=6626937B
7604.50ns INFO [00007606] * RD COMPARE * port=0 adr=05 act=EF5229A9 exp=EF5229A9
7604.50ns INFO [00007606] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E
7604.50ns INFO [00007606] Port=0 RD @13
7604.50ns INFO [00007606] Port=1 RD @0D
7605.50ns INFO [00007607] Port=1 RD @07
7606.50ns INFO [00007608] * RD COMPARE * port=0 adr=13 act=A27FC6B2 exp=A27FC6B2
7606.50ns INFO [00007608] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3
7606.50ns INFO [00007608] Port=0 RD @1F
7607.50ns INFO [00007609] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70
7607.50ns INFO [00007609] Port=0 RD @07
7608.50ns INFO [00007610] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7609.50ns INFO [00007611] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70
7609.50ns INFO [00007611] Port=0 WR @0E=205AAA16
7609.50ns INFO [00007611] Port=1 RD @09
7610.50ns INFO [00007612] Port=0 WR @05=7FE97E28
7610.50ns INFO [00007612] Port=0 RD @14
7611.50ns INFO [00007613] * RD COMPARE * port=1 adr=09 act=5D65FAF0 exp=5D65FAF0
7611.50ns INFO [00007613] Port=0 WR @0A=98BF4B36
7611.50ns INFO [00007613] Port=1 RD @02
7612.50ns INFO [00007614] * RD COMPARE * port=0 adr=14 act=B3EF581D exp=B3EF581D
7612.50ns INFO [00007614] Port=0 WR @09=B7B7E186
7612.50ns INFO [00007614] Port=1 RD @1E
7613.50ns INFO [00007615] * RD COMPARE * port=1 adr=02 act=FA2D0010 exp=FA2D0010
7613.50ns INFO [00007615] Port=0 RD @1D
7613.50ns INFO [00007615] Port=1 RD @0E
7614.50ns INFO [00007616] * RD COMPARE * port=1 adr=1E act=8F300693 exp=8F300693
7615.50ns INFO [00007617] * RD COMPARE * port=0 adr=1D act=BE459F34 exp=BE459F34
7615.50ns INFO [00007617] * RD COMPARE * port=1 adr=0E act=205AAA16 exp=205AAA16
7615.50ns INFO [00007617] Port=0 WR @00=F988544C
7615.50ns INFO [00007617] Port=0 RD @1C
7617.50ns INFO [00007619] * RD COMPARE * port=0 adr=1C act=FF4231BF exp=FF4231BF
7617.50ns INFO [00007619] Port=0 WR @04=8377BE9A
7618.50ns INFO [00007620] Port=0 WR @06=E62D1E16
7618.50ns INFO [00007620] Port=0 RD @11
7619.50ns INFO [00007621] Port=0 WR @05=94BE35E6
7620.50ns INFO [00007622] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B
7620.50ns INFO [00007622] Port=1 RD @02
7621.50ns INFO [00007623] Port=0 WR @1D=47E32D6B
7621.50ns INFO [00007623] Port=0 RD @06
7622.50ns INFO [00007624] * RD COMPARE * port=1 adr=02 act=FA2D0010 exp=FA2D0010
7622.50ns INFO [00007624] Port=0 WR @09=7FDD6239
7622.50ns INFO [00007624] Port=1 RD @18
7623.50ns INFO [00007625] * RD COMPARE * port=0 adr=06 act=E62D1E16 exp=E62D1E16
7623.50ns INFO [00007625] Port=0 WR @09=C81EC3DC
7623.50ns INFO [00007625] Port=1 RD @0B
7624.50ns INFO [00007626] * RD COMPARE * port=1 adr=18 act=4482FF20 exp=4482FF20
7624.50ns INFO [00007626] Port=1 RD @08
7625.50ns INFO [00007627] * RD COMPARE * port=1 adr=0B act=AFCC4331 exp=AFCC4331
7625.50ns INFO [00007627] Port=0 RD @05
7625.50ns INFO [00007627] Port=1 RD @16
7626.50ns INFO [00007628] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247
7626.50ns INFO [00007628] Port=1 RD @1B
7627.50ns INFO [00007629] * RD COMPARE * port=0 adr=05 act=94BE35E6 exp=94BE35E6
7627.50ns INFO [00007629] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA
7628.50ns INFO [00007630] * RD COMPARE * port=1 adr=1B act=1AFC1253 exp=1AFC1253
7628.50ns INFO [00007630] Port=0 RD @0F
7630.50ns INFO [00007632] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E
7631.50ns INFO [00007633] Port=0 RD @1A
7633.50ns INFO [00007635] * RD COMPARE * port=0 adr=1A act=45F8D6FB exp=45F8D6FB
7633.50ns INFO [00007635] Port=1 RD @1D
7634.50ns INFO [00007636] Port=0 WR @18=CA128C08
7634.50ns INFO [00007636] Port=1 RD @08
7635.50ns INFO [00007637] * RD COMPARE * port=1 adr=1D act=47E32D6B exp=47E32D6B
7635.50ns INFO [00007637] Port=0 RD @1B
7636.50ns INFO [00007638] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247
7636.50ns INFO [00007638] Port=0 WR @1B=B6D6193D
7637.50ns INFO [00007639] * RD COMPARE * port=0 adr=1B act=1AFC1253 exp=1AFC1253
7637.50ns INFO [00007639] Port=0 RD @1F
7638.50ns INFO [00007640] Port=0 WR @12=B3102C19
7638.50ns INFO [00007640] Port=0 RD @17
7639.50ns INFO [00007641] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7640.50ns INFO [00007642] * RD COMPARE * port=0 adr=17 act=6626937B exp=6626937B
7641.50ns INFO [00007643] Port=0 WR @1E=134D68B9
7641.50ns INFO [00007643] Port=1 RD @15
7642.50ns INFO [00007644] Port=0 WR @13=ABE216DD
7642.50ns INFO [00007644] Port=1 RD @14
7643.50ns INFO [00007645] * RD COMPARE * port=1 adr=15 act=7D89196C exp=7D89196C
7644.50ns INFO [00007646] * RD COMPARE * port=1 adr=14 act=B3EF581D exp=B3EF581D
7645.50ns INFO [00007647] Port=0 RD @12
7645.50ns INFO [00007647] Port=1 RD @07
7646.50ns INFO [00007648] Port=0 RD @03
7647.50ns INFO [00007649] * RD COMPARE * port=0 adr=12 act=B3102C19 exp=B3102C19
7647.50ns INFO [00007649] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70
7647.50ns INFO [00007649] Port=0 WR @00=4671A17D
7647.50ns INFO [00007649] Port=0 RD @07
7648.50ns INFO [00007650] * RD COMPARE * port=0 adr=03 act=9BD003E9 exp=9BD003E9
7649.50ns INFO [00007651] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70
7649.50ns INFO [00007651] Port=0 RD @04
7649.50ns INFO [00007651] Port=1 RD @03
7650.50ns INFO [00007652] Port=0 WR @03=15031C14
7651.50ns INFO [00007653] * RD COMPARE * port=0 adr=04 act=8377BE9A exp=8377BE9A
7651.50ns INFO [00007653] * RD COMPARE * port=1 adr=03 act=9BD003E9 exp=9BD003E9
7652.50ns INFO [00007654] Port=0 WR @04=1E939911
7652.50ns INFO [00007654] Port=1 RD @06
7653.50ns INFO [00007655] Port=0 WR @1E=D47CF199
7653.50ns INFO [00007655] Port=1 RD @11
7654.50ns INFO [00007656] * RD COMPARE * port=1 adr=06 act=E62D1E16 exp=E62D1E16
7654.50ns INFO [00007656] Port=0 WR @14=36A34F5E
7655.50ns INFO [00007657] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B
7655.50ns INFO [00007657] Port=0 WR @1C=828601FC
7655.50ns INFO [00007657] Port=1 RD @0F
7656.50ns INFO [00007658] Port=0 WR @15=F710A783
7656.50ns INFO [00007658] Port=0 RD @13
7656.50ns INFO [00007658] Port=1 RD @14
7657.50ns INFO [00007659] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E
7657.50ns INFO [00007659] Port=0 WR @1D=4A47C13E
7658.50ns INFO [00007660] * RD COMPARE * port=0 adr=13 act=ABE216DD exp=ABE216DD
7658.50ns INFO [00007660] * RD COMPARE * port=1 adr=14 act=36A34F5E exp=36A34F5E
7658.50ns INFO [00007660] Port=0 WR @0E=6047FF10
7658.50ns INFO [00007660] Port=0 RD @1E
7658.50ns INFO [00007660] Port=1 RD @11
7660.50ns INFO [00007662] * RD COMPARE * port=0 adr=1E act=D47CF199 exp=D47CF199
7660.50ns INFO [00007662] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B
7662.50ns INFO [00007664] Port=1 RD @1B
7663.50ns INFO [00007665] Port=1 RD @08
7664.50ns INFO [00007666] * RD COMPARE * port=1 adr=1B act=B6D6193D exp=B6D6193D
7664.50ns INFO [00007666] Port=0 WR @1D=6FAE18A0
7664.50ns INFO [00007666] Port=0 RD @1B
7665.50ns INFO [00007667] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247
7665.50ns INFO [00007667] Port=1 RD @04
7666.50ns INFO [00007668] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D
7666.50ns INFO [00007668] Port=0 WR @1C=0314C360
7666.50ns INFO [00007668] Port=0 RD @14
7666.50ns INFO [00007668] Port=1 RD @09
7667.50ns INFO [00007669] * RD COMPARE * port=1 adr=04 act=1E939911 exp=1E939911
7667.50ns INFO [00007669] Port=0 WR @10=274EE69B
7667.50ns INFO [00007669] Port=1 RD @0A
7668.50ns INFO [00007670] * RD COMPARE * port=0 adr=14 act=36A34F5E exp=36A34F5E
7668.50ns INFO [00007670] * RD COMPARE * port=1 adr=09 act=C81EC3DC exp=C81EC3DC
7668.50ns INFO [00007670] Port=0 WR @12=8A2DB95B
7669.50ns INFO [00007671] * RD COMPARE * port=1 adr=0A act=98BF4B36 exp=98BF4B36
7669.50ns INFO [00007671] Port=0 WR @02=36A12437
7669.50ns INFO [00007671] Port=0 RD @0C
7669.50ns INFO [00007671] Port=1 RD @0E
7671.50ns INFO [00007673] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7671.50ns INFO [00007673] * RD COMPARE * port=1 adr=0E act=6047FF10 exp=6047FF10
7671.50ns INFO [00007673] Port=0 RD @02
7671.50ns INFO [00007673] Port=1 RD @1C
7672.50ns INFO [00007674] Port=0 RD @08
7672.50ns INFO [00007674] Port=1 RD @01
7673.50ns INFO [00007675] * RD COMPARE * port=0 adr=02 act=36A12437 exp=36A12437
7673.50ns INFO [00007675] * RD COMPARE * port=1 adr=1C act=0314C360 exp=0314C360
7673.50ns INFO [00007675] Port=1 RD @11
7674.50ns INFO [00007676] * RD COMPARE * port=0 adr=08 act=06242247 exp=06242247
7674.50ns INFO [00007676] * RD COMPARE * port=1 adr=01 act=C29B455A exp=C29B455A
7674.50ns INFO [00007676] Port=0 WR @09=3AA79D3A
7674.50ns INFO [00007676] Port=0 RD @01
7675.50ns INFO [00007677] * RD COMPARE * port=1 adr=11 act=2D4B006B exp=2D4B006B
7675.50ns INFO [00007677] Port=1 RD @0D
7676.50ns INFO [00007678] * RD COMPARE * port=0 adr=01 act=C29B455A exp=C29B455A
7676.50ns INFO [00007678] Port=0 WR @0B=0B0C8616
7676.50ns INFO [00007678] Port=0 RD @19
7677.50ns INFO [00007679] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3
7677.50ns INFO [00007679] Port=0 WR @13=340E7066
7677.50ns INFO [00007679] Port=1 RD @0C
7678.50ns INFO [00007680] * RD COMPARE * port=0 adr=19 act=EEED3CE3 exp=EEED3CE3
7678.50ns INFO [00007680] Port=1 RD @0D
7679.50ns INFO [00007681] * RD COMPARE * port=1 adr=0C act=8F48C811 exp=8F48C811
7679.50ns INFO [00007681] Port=0 WR @19=996E3B43
7680.50ns INFO [00007682] * RD COMPARE * port=1 adr=0D act=0FFC3DD3 exp=0FFC3DD3
7680.50ns INFO [00007682] Port=0 WR @0D=6243CCDF
7681.50ns INFO [00007683] Port=0 RD @08
7681.50ns INFO [00007683] Port=1 RD @0B
7682.50ns INFO [00007684] Port=0 RD @1E
7683.50ns INFO [00007685] * RD COMPARE * port=0 adr=08 act=06242247 exp=06242247
7683.50ns INFO [00007685] * RD COMPARE * port=1 adr=0B act=0B0C8616 exp=0B0C8616
7684.50ns INFO [00007686] * RD COMPARE * port=0 adr=1E act=D47CF199 exp=D47CF199
7685.50ns INFO [00007687] Port=0 WR @01=2EB02C07
7685.50ns INFO [00007687] Port=0 RD @11
7685.50ns INFO [00007687] Port=1 RD @12
7686.50ns INFO [00007688] Port=0 WR @04=66C742B6
7686.50ns INFO [00007688] Port=0 RD @0E
7687.50ns INFO [00007689] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B
7687.50ns INFO [00007689] * RD COMPARE * port=1 adr=12 act=8A2DB95B exp=8A2DB95B
7687.50ns INFO [00007689] Port=0 RD @19
7688.50ns INFO [00007690] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10
7688.50ns INFO [00007690] Port=1 RD @04
7689.50ns INFO [00007691] * RD COMPARE * port=0 adr=19 act=996E3B43 exp=996E3B43
7689.50ns INFO [00007691] Port=0 WR @1E=CB71B237
7689.50ns INFO [00007691] Port=0 RD @0F
7689.50ns INFO [00007691] Port=1 RD @01
7690.50ns INFO [00007692] * RD COMPARE * port=1 adr=04 act=66C742B6 exp=66C742B6
7690.50ns INFO [00007692] Port=1 RD @07
7691.50ns INFO [00007693] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E
7691.50ns INFO [00007693] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7691.50ns INFO [00007693] Port=0 RD @00
7691.50ns INFO [00007693] Port=1 RD @16
7692.50ns INFO [00007694] * RD COMPARE * port=1 adr=07 act=863AAA70 exp=863AAA70
7692.50ns INFO [00007694] Port=0 RD @05
7693.50ns INFO [00007695] * RD COMPARE * port=0 adr=00 act=4671A17D exp=4671A17D
7693.50ns INFO [00007695] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA
7693.50ns INFO [00007695] Port=0 WR @1A=64878985
7694.50ns INFO [00007696] * RD COMPARE * port=0 adr=05 act=94BE35E6 exp=94BE35E6
7694.50ns INFO [00007696] Port=0 WR @1D=F6553DE3
7694.50ns INFO [00007696] Port=0 RD @02
7695.50ns INFO [00007697] Port=0 RD @11
7696.50ns INFO [00007698] * RD COMPARE * port=0 adr=02 act=36A12437 exp=36A12437
7697.50ns INFO [00007699] * RD COMPARE * port=0 adr=11 act=2D4B006B exp=2D4B006B
7697.50ns INFO [00007699] Port=0 WR @02=91FD2CF8
7697.50ns INFO [00007699] Port=1 RD @1A
7698.00ns INFO [00007700] [00007700] ...tick...
7698.50ns INFO [00007700] Port=0 WR @17=9949ADA5
7698.50ns INFO [00007700] Port=0 RD @03
7699.50ns INFO [00007701] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985
7699.50ns INFO [00007701] Port=0 RD @0D
7699.50ns INFO [00007701] Port=1 RD @08
7700.50ns INFO [00007702] * RD COMPARE * port=0 adr=03 act=15031C14 exp=15031C14
7700.50ns INFO [00007702] Port=0 WR @17=0226E0F7
7700.50ns INFO [00007702] Port=0 RD @07
7701.50ns INFO [00007703] * RD COMPARE * port=0 adr=0D act=6243CCDF exp=6243CCDF
7701.50ns INFO [00007703] * RD COMPARE * port=1 adr=08 act=06242247 exp=06242247
7701.50ns INFO [00007703] Port=0 WR @00=62B5D8B5
7702.50ns INFO [00007704] * RD COMPARE * port=0 adr=07 act=863AAA70 exp=863AAA70
7703.50ns INFO [00007705] Port=0 RD @1C
7703.50ns INFO [00007705] Port=1 RD @17
7704.50ns INFO [00007706] Port=0 WR @14=F1A26CFB
7704.50ns INFO [00007706] Port=0 RD @13
7705.50ns INFO [00007707] * RD COMPARE * port=0 adr=1C act=0314C360 exp=0314C360
7705.50ns INFO [00007707] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7
7705.50ns INFO [00007707] Port=0 WR @05=F2F74A9C
7705.50ns INFO [00007707] Port=0 RD @09
7706.50ns INFO [00007708] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066
7706.50ns INFO [00007708] Port=0 RD @12
7707.50ns INFO [00007709] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A
7708.50ns INFO [00007710] * RD COMPARE * port=0 adr=12 act=8A2DB95B exp=8A2DB95B
7708.50ns INFO [00007710] Port=0 RD @13
7708.50ns INFO [00007710] Port=1 RD @1A
7709.50ns INFO [00007711] Port=0 WR @19=C178D966
7710.50ns INFO [00007712] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066
7710.50ns INFO [00007712] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985
7710.50ns INFO [00007712] Port=0 RD @14
7710.50ns INFO [00007712] Port=1 RD @19
7711.50ns INFO [00007713] Port=0 RD @05
7711.50ns INFO [00007713] Port=1 RD @09
7712.50ns INFO [00007714] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB
7712.50ns INFO [00007714] * RD COMPARE * port=1 adr=19 act=C178D966 exp=C178D966
7712.50ns INFO [00007714] Port=0 WR @02=7A009ACA
7712.50ns INFO [00007714] Port=0 RD @03
7713.50ns INFO [00007715] * RD COMPARE * port=0 adr=05 act=F2F74A9C exp=F2F74A9C
7713.50ns INFO [00007715] * RD COMPARE * port=1 adr=09 act=3AA79D3A exp=3AA79D3A
7713.50ns INFO [00007715] Port=0 RD @01
7714.50ns INFO [00007716] * RD COMPARE * port=0 adr=03 act=15031C14 exp=15031C14
7714.50ns INFO [00007716] Port=0 RD @0D
7715.50ns INFO [00007717] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07
7716.50ns INFO [00007718] * RD COMPARE * port=0 adr=0D act=6243CCDF exp=6243CCDF
7716.50ns INFO [00007718] Port=0 WR @00=A6B099EB
7717.50ns INFO [00007719] Port=1 RD @13
7718.50ns INFO [00007720] Port=0 RD @0B
7719.50ns INFO [00007721] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066
7719.50ns INFO [00007721] Port=1 RD @0D
7720.50ns INFO [00007722] * RD COMPARE * port=0 adr=0B act=0B0C8616 exp=0B0C8616
7720.50ns INFO [00007722] Port=0 RD @19
7721.50ns INFO [00007723] * RD COMPARE * port=1 adr=0D act=6243CCDF exp=6243CCDF
7721.50ns INFO [00007723] Port=1 RD @01
7722.50ns INFO [00007724] * RD COMPARE * port=0 adr=19 act=C178D966 exp=C178D966
7722.50ns INFO [00007724] Port=0 WR @06=153ABC4C
7722.50ns INFO [00007724] Port=1 RD @00
7723.50ns INFO [00007725] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7723.50ns INFO [00007725] Port=0 WR @19=C7AD2F31
7723.50ns INFO [00007725] Port=0 RD @12
7723.50ns INFO [00007725] Port=1 RD @0B
7724.50ns INFO [00007726] * RD COMPARE * port=1 adr=00 act=A6B099EB exp=A6B099EB
7724.50ns INFO [00007726] Port=0 RD @02
7725.50ns INFO [00007727] * RD COMPARE * port=0 adr=12 act=8A2DB95B exp=8A2DB95B
7725.50ns INFO [00007727] * RD COMPARE * port=1 adr=0B act=0B0C8616 exp=0B0C8616
7725.50ns INFO [00007727] Port=0 RD @14
7725.50ns INFO [00007727] Port=1 RD @13
7726.50ns INFO [00007728] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA
7726.50ns INFO [00007728] Port=0 RD @0B
7727.50ns INFO [00007729] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB
7727.50ns INFO [00007729] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066
7727.50ns INFO [00007729] Port=0 WR @1D=3EDE6FB5
7727.50ns INFO [00007729] Port=0 RD @06
7728.50ns INFO [00007730] * RD COMPARE * port=0 adr=0B act=0B0C8616 exp=0B0C8616
7728.50ns INFO [00007730] Port=0 RD @09
7728.50ns INFO [00007730] Port=1 RD @1F
7729.50ns INFO [00007731] * RD COMPARE * port=0 adr=06 act=153ABC4C exp=153ABC4C
7729.50ns INFO [00007731] Port=0 RD @13
7729.50ns INFO [00007731] Port=1 RD @16
7730.50ns INFO [00007732] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A
7730.50ns INFO [00007732] * RD COMPARE * port=1 adr=1F act=7D661F5E exp=7D661F5E
7731.50ns INFO [00007733] * RD COMPARE * port=0 adr=13 act=340E7066 exp=340E7066
7731.50ns INFO [00007733] * RD COMPARE * port=1 adr=16 act=3E61A0DA exp=3E61A0DA
7731.50ns INFO [00007733] Port=0 WR @0B=C79C54BD
7732.50ns INFO [00007734] Port=0 RD @00
7733.50ns INFO [00007735] Port=0 RD @0E
7733.50ns INFO [00007735] Port=1 RD @13
7734.50ns INFO [00007736] * RD COMPARE * port=0 adr=00 act=A6B099EB exp=A6B099EB
7735.50ns INFO [00007737] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10
7735.50ns INFO [00007737] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066
7735.50ns INFO [00007737] Port=0 RD @14
7737.50ns INFO [00007739] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB
7738.50ns INFO [00007740] Port=0 WR @10=A51616BD
7738.50ns INFO [00007740] Port=0 RD @17
7739.50ns INFO [00007741] Port=0 WR @1C=4131125C
7739.50ns INFO [00007741] Port=0 RD @06
7739.50ns INFO [00007741] Port=1 RD @05
7740.50ns INFO [00007742] * RD COMPARE * port=0 adr=17 act=0226E0F7 exp=0226E0F7
7740.50ns INFO [00007742] Port=0 RD @09
7740.50ns INFO [00007742] Port=1 RD @17
7741.50ns INFO [00007743] * RD COMPARE * port=0 adr=06 act=153ABC4C exp=153ABC4C
7741.50ns INFO [00007743] * RD COMPARE * port=1 adr=05 act=F2F74A9C exp=F2F74A9C
7741.50ns INFO [00007743] Port=0 WR @05=A6E754D7
7742.50ns INFO [00007744] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A
7742.50ns INFO [00007744] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7
7742.50ns INFO [00007744] Port=0 RD @0E
7742.50ns INFO [00007744] Port=1 RD @17
7743.50ns INFO [00007745] Port=1 RD @04
7744.50ns INFO [00007746] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10
7744.50ns INFO [00007746] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7
7744.50ns INFO [00007746] Port=1 RD @01
7745.50ns INFO [00007747] * RD COMPARE * port=1 adr=04 act=66C742B6 exp=66C742B6
7746.50ns INFO [00007748] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7746.50ns INFO [00007748] Port=0 WR @0B=D568B99B
7746.50ns INFO [00007748] Port=1 RD @01
7747.50ns INFO [00007749] Port=0 RD @04
7748.50ns INFO [00007750] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7749.50ns INFO [00007751] * RD COMPARE * port=0 adr=04 act=66C742B6 exp=66C742B6
7749.50ns INFO [00007751] Port=0 WR @0B=7C23EACC
7749.50ns INFO [00007751] Port=0 RD @19
7751.50ns INFO [00007753] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31
7752.50ns INFO [00007754] Port=0 RD @0F
7753.50ns INFO [00007755] Port=0 RD @18
7753.50ns INFO [00007755] Port=1 RD @01
7754.50ns INFO [00007756] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E
7754.50ns INFO [00007756] Port=0 WR @0A=0C319244
7754.50ns INFO [00007756] Port=0 RD @0C
7754.50ns INFO [00007756] Port=1 RD @00
7755.50ns INFO [00007757] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7755.50ns INFO [00007757] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7756.50ns INFO [00007758] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7756.50ns INFO [00007758] * RD COMPARE * port=1 adr=00 act=A6B099EB exp=A6B099EB
7756.50ns INFO [00007758] Port=0 WR @16=31CBE7C7
7757.50ns INFO [00007759] Port=0 WR @10=96AC2D43
7758.50ns INFO [00007760] Port=0 RD @0C
7758.50ns INFO [00007760] Port=1 RD @0F
7759.50ns INFO [00007761] Port=0 WR @11=412102DE
7759.50ns INFO [00007761] Port=0 RD @01
7760.50ns INFO [00007762] * RD COMPARE * port=0 adr=0C act=8F48C811 exp=8F48C811
7760.50ns INFO [00007762] * RD COMPARE * port=1 adr=0F act=0469507E exp=0469507E
7760.50ns INFO [00007762] Port=0 WR @07=56EA9DB1
7760.50ns INFO [00007762] Port=0 RD @0E
7761.50ns INFO [00007763] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07
7761.50ns INFO [00007763] Port=0 WR @10=1597765D
7761.50ns INFO [00007763] Port=0 RD @19
7762.50ns INFO [00007764] * RD COMPARE * port=0 adr=0E act=6047FF10 exp=6047FF10
7762.50ns INFO [00007764] Port=0 WR @0B=2B4A247F
7763.50ns INFO [00007765] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31
7763.50ns INFO [00007765] Port=0 RD @1F
7764.50ns INFO [00007766] Port=0 WR @0C=FED1E518
7764.50ns INFO [00007766] Port=0 RD @10
7764.50ns INFO [00007766] Port=1 RD @0B
7765.50ns INFO [00007767] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7765.50ns INFO [00007767] Port=1 RD @15
7766.50ns INFO [00007768] * RD COMPARE * port=0 adr=10 act=1597765D exp=1597765D
7766.50ns INFO [00007768] * RD COMPARE * port=1 adr=0B act=2B4A247F exp=2B4A247F
7766.50ns INFO [00007768] Port=1 RD @13
7767.50ns INFO [00007769] * RD COMPARE * port=1 adr=15 act=F710A783 exp=F710A783
7767.50ns INFO [00007769] Port=0 WR @16=53E09E0D
7767.50ns INFO [00007769] Port=0 RD @09
7767.50ns INFO [00007769] Port=1 RD @17
7768.50ns INFO [00007770] * RD COMPARE * port=1 adr=13 act=340E7066 exp=340E7066
7768.50ns INFO [00007770] Port=1 RD @06
7769.50ns INFO [00007771] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A
7769.50ns INFO [00007771] * RD COMPARE * port=1 adr=17 act=0226E0F7 exp=0226E0F7
7769.50ns INFO [00007771] Port=0 RD @0F
7770.50ns INFO [00007772] * RD COMPARE * port=1 adr=06 act=153ABC4C exp=153ABC4C
7770.50ns INFO [00007772] Port=0 WR @08=E5B4ED76
7771.50ns INFO [00007773] * RD COMPARE * port=0 adr=0F act=0469507E exp=0469507E
7772.50ns INFO [00007774] Port=0 WR @0D=AAAC94D5
7772.50ns INFO [00007774] Port=0 RD @11
7773.50ns INFO [00007775] Port=0 WR @0D=C4A91C0C
7773.50ns INFO [00007775] Port=0 RD @1C
7774.50ns INFO [00007776] * RD COMPARE * port=0 adr=11 act=412102DE exp=412102DE
7775.50ns INFO [00007777] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C
7775.50ns INFO [00007777] Port=0 RD @0A
7776.50ns INFO [00007778] Port=0 RD @1B
7776.50ns INFO [00007778] Port=1 RD @0E
7777.50ns INFO [00007779] * RD COMPARE * port=0 adr=0A act=0C319244 exp=0C319244
7777.50ns INFO [00007779] Port=0 RD @0D
7777.50ns INFO [00007779] Port=1 RD @15
7778.50ns INFO [00007780] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D
7778.50ns INFO [00007780] * RD COMPARE * port=1 adr=0E act=6047FF10 exp=6047FF10
7778.50ns INFO [00007780] Port=0 RD @19
7779.50ns INFO [00007781] * RD COMPARE * port=0 adr=0D act=C4A91C0C exp=C4A91C0C
7779.50ns INFO [00007781] * RD COMPARE * port=1 adr=15 act=F710A783 exp=F710A783
7780.50ns INFO [00007782] * RD COMPARE * port=0 adr=19 act=C7AD2F31 exp=C7AD2F31
7780.50ns INFO [00007782] Port=0 WR @13=F83A6510
7781.50ns INFO [00007783] Port=0 WR @10=C960EE03
7781.50ns INFO [00007783] Port=0 RD @02
7782.50ns INFO [00007784] Port=0 WR @17=1F3342B8
7782.50ns INFO [00007784] Port=1 RD @1B
7783.50ns INFO [00007785] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA
7783.50ns INFO [00007785] Port=0 WR @04=05B77709
7784.50ns INFO [00007786] * RD COMPARE * port=1 adr=1B act=B6D6193D exp=B6D6193D
7784.50ns INFO [00007786] Port=1 RD @0A
7785.50ns INFO [00007787] Port=0 RD @02
7785.50ns INFO [00007787] Port=1 RD @1F
7786.50ns INFO [00007788] * RD COMPARE * port=1 adr=0A act=0C319244 exp=0C319244
7786.50ns INFO [00007788] Port=0 WR @19=8A22776F
7787.50ns INFO [00007789] * RD COMPARE * port=0 adr=02 act=7A009ACA exp=7A009ACA
7787.50ns INFO [00007789] * RD COMPARE * port=1 adr=1F act=7D661F5E exp=7D661F5E
7787.50ns INFO [00007789] Port=0 WR @03=F51E8478
7787.50ns INFO [00007789] Port=0 RD @1F
7788.50ns INFO [00007790] Port=0 WR @0E=D5A72383
7788.50ns INFO [00007790] Port=0 RD @1C
7788.50ns INFO [00007790] Port=1 RD @03
7789.50ns INFO [00007791] * RD COMPARE * port=0 adr=1F act=7D661F5E exp=7D661F5E
7789.50ns INFO [00007791] Port=0 WR @0A=9EBFECB7
7790.50ns INFO [00007792] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C
7790.50ns INFO [00007792] * RD COMPARE * port=1 adr=03 act=F51E8478 exp=F51E8478
7790.50ns INFO [00007792] Port=0 WR @15=19777C74
7790.50ns INFO [00007792] Port=0 RD @1D
7790.50ns INFO [00007792] Port=1 RD @0A
7792.50ns INFO [00007794] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7792.50ns INFO [00007794] * RD COMPARE * port=1 adr=0A act=9EBFECB7 exp=9EBFECB7
7792.50ns INFO [00007794] Port=0 RD @0D
7794.50ns INFO [00007796] * RD COMPARE * port=0 adr=0D act=C4A91C0C exp=C4A91C0C
7794.50ns INFO [00007796] Port=0 WR @05=0EC61190
7794.50ns INFO [00007796] Port=0 RD @11
7795.50ns INFO [00007797] Port=0 WR @05=077D8F13
7795.50ns INFO [00007797] Port=0 RD @09
7796.50ns INFO [00007798] * RD COMPARE * port=0 adr=11 act=412102DE exp=412102DE
7796.50ns INFO [00007798] Port=0 WR @00=2A2BE05A
7796.50ns INFO [00007798] Port=1 RD @19
7797.50ns INFO [00007799] * RD COMPARE * port=0 adr=09 act=3AA79D3A exp=3AA79D3A
7797.50ns INFO [00007799] Port=0 WR @1F=6BDA8054
7797.50ns INFO [00007799] Port=0 RD @1E
7798.00ns INFO [00007800] [00007800] ...tick...
7798.50ns INFO [00007800] * RD COMPARE * port=1 adr=19 act=8A22776F exp=8A22776F
7799.50ns INFO [00007801] * RD COMPARE * port=0 adr=1E act=CB71B237 exp=CB71B237
7799.50ns INFO [00007801] Port=0 WR @10=317D27A0
7800.50ns INFO [00007802] Port=0 WR @0E=3E3FC719
7800.50ns INFO [00007802] Port=0 RD @1A
7800.50ns INFO [00007802] Port=1 RD @05
7801.50ns INFO [00007803] Port=0 WR @06=87543361
7802.50ns INFO [00007804] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985
7802.50ns INFO [00007804] * RD COMPARE * port=1 adr=05 act=077D8F13 exp=077D8F13
7802.50ns INFO [00007804] Port=0 WR @03=EC14916C
7802.50ns INFO [00007804] Port=0 RD @05
7802.50ns INFO [00007804] Port=1 RD @1C
7803.50ns INFO [00007805] Port=0 RD @17
7804.50ns INFO [00007806] * RD COMPARE * port=0 adr=05 act=077D8F13 exp=077D8F13
7804.50ns INFO [00007806] * RD COMPARE * port=1 adr=1C act=4131125C exp=4131125C
7804.50ns INFO [00007806] Port=1 RD @05
7805.50ns INFO [00007807] * RD COMPARE * port=0 adr=17 act=1F3342B8 exp=1F3342B8
7805.50ns INFO [00007807] Port=0 WR @05=09F23F9F
7805.50ns INFO [00007807] Port=0 RD @1C
7805.50ns INFO [00007807] Port=1 RD @02
7806.50ns INFO [00007808] * RD COMPARE * port=1 adr=05 act=077D8F13 exp=077D8F13
7806.50ns INFO [00007808] Port=0 WR @19=90864C20
7807.50ns INFO [00007809] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C
7807.50ns INFO [00007809] * RD COMPARE * port=1 adr=02 act=7A009ACA exp=7A009ACA
7807.50ns INFO [00007809] Port=0 RD @1B
7808.50ns INFO [00007810] Port=0 RD @15
7808.50ns INFO [00007810] Port=1 RD @12
7809.50ns INFO [00007811] * RD COMPARE * port=0 adr=1B act=B6D6193D exp=B6D6193D
7810.50ns INFO [00007812] * RD COMPARE * port=0 adr=15 act=19777C74 exp=19777C74
7810.50ns INFO [00007812] * RD COMPARE * port=1 adr=12 act=8A2DB95B exp=8A2DB95B
7810.50ns INFO [00007812] Port=0 WR @02=F86F94BA
7810.50ns INFO [00007812] Port=0 RD @1A
7810.50ns INFO [00007812] Port=1 RD @1A
7811.50ns INFO [00007813] Port=0 WR @0C=3931EFFB
7811.50ns INFO [00007813] Port=0 RD @03
7812.50ns INFO [00007814] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985
7812.50ns INFO [00007814] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985
7812.50ns INFO [00007814] Port=0 WR @17=196F21F5
7813.50ns INFO [00007815] * RD COMPARE * port=0 adr=03 act=EC14916C exp=EC14916C
7813.50ns INFO [00007815] Port=0 WR @0F=2F080389
7814.50ns INFO [00007816] Port=0 RD @0E
7814.50ns INFO [00007816] Port=1 RD @10
7815.50ns INFO [00007817] Port=0 WR @05=ED2DBC84
7815.50ns INFO [00007817] Port=0 RD @18
7816.50ns INFO [00007818] * RD COMPARE * port=0 adr=0E act=3E3FC719 exp=3E3FC719
7816.50ns INFO [00007818] * RD COMPARE * port=1 adr=10 act=317D27A0 exp=317D27A0
7817.50ns INFO [00007819] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7817.50ns INFO [00007819] Port=0 WR @1E=B2DF90AB
7818.50ns INFO [00007820] Port=0 RD @07
7819.50ns INFO [00007821] Port=0 WR @0A=E2C93CDA
7819.50ns INFO [00007821] Port=0 RD @04
7820.50ns INFO [00007822] * RD COMPARE * port=0 adr=07 act=56EA9DB1 exp=56EA9DB1
7820.50ns INFO [00007822] Port=0 WR @11=7DB62B36
7821.50ns INFO [00007823] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709
7821.50ns INFO [00007823] Port=1 RD @0C
7822.50ns INFO [00007824] Port=1 RD @08
7823.50ns INFO [00007825] * RD COMPARE * port=1 adr=0C act=3931EFFB exp=3931EFFB
7823.50ns INFO [00007825] Port=0 RD @04
7824.50ns INFO [00007826] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7824.50ns INFO [00007826] Port=0 RD @14
7824.50ns INFO [00007826] Port=1 RD @02
7825.50ns INFO [00007827] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709
7825.50ns INFO [00007827] Port=0 WR @12=BDC39933
7826.50ns INFO [00007828] * RD COMPARE * port=0 adr=14 act=F1A26CFB exp=F1A26CFB
7826.50ns INFO [00007828] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA
7827.50ns INFO [00007829] Port=0 RD @08
7828.50ns INFO [00007830] Port=0 RD @08
7829.50ns INFO [00007831] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7829.50ns INFO [00007831] Port=1 RD @06
7830.50ns INFO [00007832] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7831.50ns INFO [00007833] * RD COMPARE * port=1 adr=06 act=87543361 exp=87543361
7831.50ns INFO [00007833] Port=1 RD @03
7832.50ns INFO [00007834] Port=0 WR @0B=1E4A9654
7832.50ns INFO [00007834] Port=0 RD @04
7832.50ns INFO [00007834] Port=1 RD @08
7833.50ns INFO [00007835] * RD COMPARE * port=1 adr=03 act=EC14916C exp=EC14916C
7833.50ns INFO [00007835] Port=0 WR @12=A70581CC
7833.50ns INFO [00007835] Port=0 RD @08
7834.50ns INFO [00007836] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709
7834.50ns INFO [00007836] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7835.50ns INFO [00007837] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7835.50ns INFO [00007837] Port=0 WR @0D=9BFDB7FC
7835.50ns INFO [00007837] Port=0 RD @02
7835.50ns INFO [00007837] Port=1 RD @1A
7837.50ns INFO [00007839] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA
7837.50ns INFO [00007839] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985
7837.50ns INFO [00007839] Port=0 WR @1B=2E790F64
7837.50ns INFO [00007839] Port=0 RD @0F
7838.50ns INFO [00007840] Port=0 WR @06=00258D96
7838.50ns INFO [00007840] Port=0 RD @18
7839.50ns INFO [00007841] * RD COMPARE * port=0 adr=0F act=2F080389 exp=2F080389
7839.50ns INFO [00007841] Port=0 RD @1D
7839.50ns INFO [00007841] Port=1 RD @14
7840.50ns INFO [00007842] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7841.50ns INFO [00007843] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7841.50ns INFO [00007843] * RD COMPARE * port=1 adr=14 act=F1A26CFB exp=F1A26CFB
7841.50ns INFO [00007843] Port=1 RD @01
7843.50ns INFO [00007845] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7843.50ns INFO [00007845] Port=1 RD @08
7844.50ns INFO [00007846] Port=0 WR @1B=729C8EA3
7844.50ns INFO [00007846] Port=1 RD @19
7845.50ns INFO [00007847] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7846.50ns INFO [00007848] * RD COMPARE * port=1 adr=19 act=90864C20 exp=90864C20
7846.50ns INFO [00007848] Port=0 WR @19=77656C90
7846.50ns INFO [00007848] Port=1 RD @00
7847.50ns INFO [00007849] Port=0 WR @16=8ECD8EE1
7847.50ns INFO [00007849] Port=0 RD @1E
7847.50ns INFO [00007849] Port=1 RD @15
7848.50ns INFO [00007850] * RD COMPARE * port=1 adr=00 act=2A2BE05A exp=2A2BE05A
7848.50ns INFO [00007850] Port=0 WR @05=0BFB226A
7849.50ns INFO [00007851] * RD COMPARE * port=0 adr=1E act=B2DF90AB exp=B2DF90AB
7849.50ns INFO [00007851] * RD COMPARE * port=1 adr=15 act=19777C74 exp=19777C74
7849.50ns INFO [00007851] Port=0 WR @17=02A0B34E
7849.50ns INFO [00007851] Port=1 RD @04
7850.50ns INFO [00007852] Port=1 RD @16
7851.50ns INFO [00007853] * RD COMPARE * port=1 adr=04 act=05B77709 exp=05B77709
7851.50ns INFO [00007853] Port=0 WR @10=F4C9BB63
7852.50ns INFO [00007854] * RD COMPARE * port=1 adr=16 act=8ECD8EE1 exp=8ECD8EE1
7852.50ns INFO [00007854] Port=1 RD @01
7853.50ns INFO [00007855] Port=0 WR @03=5EC311FD
7854.50ns INFO [00007856] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7854.50ns INFO [00007856] Port=0 WR @17=BA937958
7854.50ns INFO [00007856] Port=0 RD @1C
7854.50ns INFO [00007856] Port=1 RD @0D
7856.50ns INFO [00007858] * RD COMPARE * port=0 adr=1C act=4131125C exp=4131125C
7856.50ns INFO [00007858] * RD COMPARE * port=1 adr=0D act=9BFDB7FC exp=9BFDB7FC
7857.50ns INFO [00007859] Port=0 RD @10
7858.50ns INFO [00007860] Port=0 WR @00=E1DC4796
7859.50ns INFO [00007861] * RD COMPARE * port=0 adr=10 act=F4C9BB63 exp=F4C9BB63
7860.50ns INFO [00007862] Port=0 RD @02
7861.50ns INFO [00007863] Port=1 RD @08
7862.50ns INFO [00007864] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA
7862.50ns INFO [00007864] Port=0 RD @15
7863.50ns INFO [00007865] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7863.50ns INFO [00007865] Port=0 WR @13=8EE665F4
7864.50ns INFO [00007866] * RD COMPARE * port=0 adr=15 act=19777C74 exp=19777C74
7864.50ns INFO [00007866] Port=0 RD @0D
7864.50ns INFO [00007866] Port=1 RD @1E
7865.50ns INFO [00007867] Port=0 RD @18
7865.50ns INFO [00007867] Port=1 RD @17
7866.50ns INFO [00007868] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC
7866.50ns INFO [00007868] * RD COMPARE * port=1 adr=1E act=B2DF90AB exp=B2DF90AB
7867.50ns INFO [00007869] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7867.50ns INFO [00007869] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958
7867.50ns INFO [00007869] Port=0 WR @05=8A776025
7867.50ns INFO [00007869] Port=1 RD @11
7868.50ns INFO [00007870] Port=0 WR @06=F8273092
7869.50ns INFO [00007871] * RD COMPARE * port=1 adr=11 act=7DB62B36 exp=7DB62B36
7869.50ns INFO [00007871] Port=0 WR @0B=A383F776
7870.50ns INFO [00007872] Port=0 WR @13=B3820E41
7872.50ns INFO [00007874] Port=1 RD @1B
7873.50ns INFO [00007875] Port=0 WR @15=592C227C
7873.50ns INFO [00007875] Port=0 RD @1F
7873.50ns INFO [00007875] Port=1 RD @0C
7874.50ns INFO [00007876] * RD COMPARE * port=1 adr=1B act=729C8EA3 exp=729C8EA3
7875.50ns INFO [00007877] * RD COMPARE * port=0 adr=1F act=6BDA8054 exp=6BDA8054
7875.50ns INFO [00007877] * RD COMPARE * port=1 adr=0C act=3931EFFB exp=3931EFFB
7875.50ns INFO [00007877] Port=0 WR @0E=064ED053
7876.50ns INFO [00007878] Port=0 WR @1C=E6A69D37
7876.50ns INFO [00007878] Port=0 RD @0E
7878.50ns INFO [00007880] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053
7879.50ns INFO [00007881] Port=0 WR @1C=2D53D932
7879.50ns INFO [00007881] Port=1 RD @1D
7880.50ns INFO [00007882] Port=0 WR @0F=9661AD17
7880.50ns INFO [00007882] Port=0 RD @0D
7880.50ns INFO [00007882] Port=1 RD @00
7881.50ns INFO [00007883] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7881.50ns INFO [00007883] Port=0 RD @0D
7882.50ns INFO [00007884] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC
7882.50ns INFO [00007884] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796
7882.50ns INFO [00007884] Port=1 RD @0F
7883.50ns INFO [00007885] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC
7884.50ns INFO [00007886] * RD COMPARE * port=1 adr=0F act=9661AD17 exp=9661AD17
7885.50ns INFO [00007887] Port=0 WR @09=93834C75
7885.50ns INFO [00007887] Port=0 RD @1A
7886.50ns INFO [00007888] Port=0 WR @15=9C1F0242
7886.50ns INFO [00007888] Port=0 RD @0B
7887.50ns INFO [00007889] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985
7887.50ns INFO [00007889] Port=0 RD @0C
7888.50ns INFO [00007890] * RD COMPARE * port=0 adr=0B act=A383F776 exp=A383F776
7888.50ns INFO [00007890] Port=0 RD @01
7888.50ns INFO [00007890] Port=1 RD @00
7889.50ns INFO [00007891] * RD COMPARE * port=0 adr=0C act=3931EFFB exp=3931EFFB
7889.50ns INFO [00007891] Port=0 WR @0C=C2DC26F1
7889.50ns INFO [00007891] Port=0 RD @01
7889.50ns INFO [00007891] Port=1 RD @02
7890.50ns INFO [00007892] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07
7890.50ns INFO [00007892] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796
7890.50ns INFO [00007892] Port=0 WR @10=C2C19320
7891.50ns INFO [00007893] * RD COMPARE * port=0 adr=01 act=2EB02C07 exp=2EB02C07
7891.50ns INFO [00007893] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA
7892.50ns INFO [00007894] Port=0 RD @1D
7892.50ns INFO [00007894] Port=1 RD @07
7893.50ns INFO [00007895] Port=1 RD @19
7894.50ns INFO [00007896] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7894.50ns INFO [00007896] * RD COMPARE * port=1 adr=07 act=56EA9DB1 exp=56EA9DB1
7894.50ns INFO [00007896] Port=1 RD @1B
7895.50ns INFO [00007897] * RD COMPARE * port=1 adr=19 act=77656C90 exp=77656C90
7895.50ns INFO [00007897] Port=0 RD @00
7895.50ns INFO [00007897] Port=1 RD @07
7896.50ns INFO [00007898] * RD COMPARE * port=1 adr=1B act=729C8EA3 exp=729C8EA3
7896.50ns INFO [00007898] Port=0 WR @1F=EF263887
7896.50ns INFO [00007898] Port=0 RD @11
7897.50ns INFO [00007899] * RD COMPARE * port=0 adr=00 act=E1DC4796 exp=E1DC4796
7897.50ns INFO [00007899] * RD COMPARE * port=1 adr=07 act=56EA9DB1 exp=56EA9DB1
7897.50ns INFO [00007899] Port=0 WR @14=74ED27A2
7898.00ns INFO [00007900] [00007900] ...tick...
7898.50ns INFO [00007900] * RD COMPARE * port=0 adr=11 act=7DB62B36 exp=7DB62B36
7898.50ns INFO [00007900] Port=0 WR @05=46B826A2
7899.50ns INFO [00007901] Port=0 RD @15
7899.50ns INFO [00007901] Port=1 RD @09
7900.50ns INFO [00007902] Port=1 RD @0F
7901.50ns INFO [00007903] * RD COMPARE * port=0 adr=15 act=9C1F0242 exp=9C1F0242
7901.50ns INFO [00007903] * RD COMPARE * port=1 adr=09 act=93834C75 exp=93834C75
7902.50ns INFO [00007904] * RD COMPARE * port=1 adr=0F act=9661AD17 exp=9661AD17
7902.50ns INFO [00007904] Port=0 WR @1C=8C32BAA8
7902.50ns INFO [00007904] Port=1 RD @1F
7903.50ns INFO [00007905] Port=0 WR @1B=32D4E909
7903.50ns INFO [00007905] Port=0 RD @03
7904.50ns INFO [00007906] * RD COMPARE * port=1 adr=1F act=EF263887 exp=EF263887
7904.50ns INFO [00007906] Port=0 RD @18
7904.50ns INFO [00007906] Port=1 RD @1A
7905.50ns INFO [00007907] * RD COMPARE * port=0 adr=03 act=5EC311FD exp=5EC311FD
7906.50ns INFO [00007908] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7906.50ns INFO [00007908] * RD COMPARE * port=1 adr=1A act=64878985 exp=64878985
7906.50ns INFO [00007908] Port=0 WR @09=5C9076F9
7906.50ns INFO [00007908] Port=1 RD @14
7907.50ns INFO [00007909] Port=1 RD @0B
7908.50ns INFO [00007910] * RD COMPARE * port=1 adr=14 act=74ED27A2 exp=74ED27A2
7908.50ns INFO [00007910] Port=1 RD @08
7909.50ns INFO [00007911] * RD COMPARE * port=1 adr=0B act=A383F776 exp=A383F776
7909.50ns INFO [00007911] Port=0 RD @09
7909.50ns INFO [00007911] Port=1 RD @1B
7910.50ns INFO [00007912] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7910.50ns INFO [00007912] Port=0 RD @08
7911.50ns INFO [00007913] * RD COMPARE * port=0 adr=09 act=5C9076F9 exp=5C9076F9
7911.50ns INFO [00007913] * RD COMPARE * port=1 adr=1B act=32D4E909 exp=32D4E909
7912.50ns INFO [00007914] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7912.50ns INFO [00007914] Port=0 WR @15=91746AA8
7912.50ns INFO [00007914] Port=0 RD @1A
7912.50ns INFO [00007914] Port=1 RD @16
7914.50ns INFO [00007916] * RD COMPARE * port=0 adr=1A act=64878985 exp=64878985
7914.50ns INFO [00007916] * RD COMPARE * port=1 adr=16 act=8ECD8EE1 exp=8ECD8EE1
7914.50ns INFO [00007916] Port=0 WR @15=71600333
7914.50ns INFO [00007916] Port=0 RD @11
7914.50ns INFO [00007916] Port=1 RD @00
7916.50ns INFO [00007918] * RD COMPARE * port=0 adr=11 act=7DB62B36 exp=7DB62B36
7916.50ns INFO [00007918] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796
7917.50ns INFO [00007919] Port=0 WR @10=1DB927A9
7917.50ns INFO [00007919] Port=0 RD @18
7919.50ns INFO [00007921] * RD COMPARE * port=0 adr=18 act=CA128C08 exp=CA128C08
7919.50ns INFO [00007921] Port=0 RD @0F
7920.50ns INFO [00007922] Port=0 WR @1E=50CDD9AA
7921.50ns INFO [00007923] * RD COMPARE * port=0 adr=0F act=9661AD17 exp=9661AD17
7921.50ns INFO [00007923] Port=0 WR @0B=3F458481
7922.50ns INFO [00007924] Port=0 WR @11=EF436C4D
7922.50ns INFO [00007924] Port=0 RD @06
7924.50ns INFO [00007926] * RD COMPARE * port=0 adr=06 act=F8273092 exp=F8273092
7925.50ns INFO [00007927] Port=0 WR @19=D85BCBBE
7925.50ns INFO [00007927] Port=0 RD @1D
7926.50ns INFO [00007928] Port=0 WR @1C=EAF5F30A
7926.50ns INFO [00007928] Port=0 RD @08
7926.50ns INFO [00007928] Port=1 RD @10
7927.50ns INFO [00007929] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7927.50ns INFO [00007929] Port=0 RD @1D
7928.50ns INFO [00007930] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7928.50ns INFO [00007930] * RD COMPARE * port=1 adr=10 act=1DB927A9 exp=1DB927A9
7928.50ns INFO [00007930] Port=0 WR @09=464E8C12
7928.50ns INFO [00007930] Port=0 RD @1F
7929.50ns INFO [00007931] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7929.50ns INFO [00007931] Port=1 RD @17
7930.50ns INFO [00007932] * RD COMPARE * port=0 adr=1F act=EF263887 exp=EF263887
7930.50ns INFO [00007932] Port=1 RD @10
7931.50ns INFO [00007933] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958
7931.50ns INFO [00007933] Port=0 RD @0E
7932.50ns INFO [00007934] * RD COMPARE * port=1 adr=10 act=1DB927A9 exp=1DB927A9
7932.50ns INFO [00007934] Port=0 WR @03=EB03AFBF
7933.50ns INFO [00007935] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053
7933.50ns INFO [00007935] Port=0 WR @1B=88C216B2
7934.50ns INFO [00007936] Port=0 RD @0C
7934.50ns INFO [00007936] Port=1 RD @11
7935.50ns INFO [00007937] Port=0 WR @0A=FFF17258
7935.50ns INFO [00007937] Port=0 RD @19
7935.50ns INFO [00007937] Port=1 RD @08
7936.50ns INFO [00007938] * RD COMPARE * port=0 adr=0C act=C2DC26F1 exp=C2DC26F1
7936.50ns INFO [00007938] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D
7937.50ns INFO [00007939] * RD COMPARE * port=0 adr=19 act=D85BCBBE exp=D85BCBBE
7937.50ns INFO [00007939] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
7937.50ns INFO [00007939] Port=0 RD @02
7938.50ns INFO [00007940] Port=0 RD @11
7939.50ns INFO [00007941] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA
7940.50ns INFO [00007942] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D
7940.50ns INFO [00007942] Port=0 WR @10=B3AE058D
7941.50ns INFO [00007943] Port=1 RD @11
7943.50ns INFO [00007945] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D
7943.50ns INFO [00007945] Port=1 RD @10
7944.50ns INFO [00007946] Port=0 WR @18=3B255E23
7944.50ns INFO [00007946] Port=1 RD @03
7945.50ns INFO [00007947] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D
7946.50ns INFO [00007948] * RD COMPARE * port=1 adr=03 act=EB03AFBF exp=EB03AFBF
7946.50ns INFO [00007948] Port=0 RD @16
7946.50ns INFO [00007948] Port=1 RD @1D
7947.50ns INFO [00007949] Port=0 RD @15
7948.50ns INFO [00007950] * RD COMPARE * port=0 adr=16 act=8ECD8EE1 exp=8ECD8EE1
7948.50ns INFO [00007950] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7948.50ns INFO [00007950] Port=1 RD @01
7949.50ns INFO [00007951] * RD COMPARE * port=0 adr=15 act=71600333 exp=71600333
7949.50ns INFO [00007951] Port=0 WR @1B=5783DAF8
7949.50ns INFO [00007951] Port=0 RD @03
7950.50ns INFO [00007952] * RD COMPARE * port=1 adr=01 act=2EB02C07 exp=2EB02C07
7950.50ns INFO [00007952] Port=0 RD @1E
7951.50ns INFO [00007953] * RD COMPARE * port=0 adr=03 act=EB03AFBF exp=EB03AFBF
7951.50ns INFO [00007953] Port=0 WR @0F=42A7FE86
7951.50ns INFO [00007953] Port=0 RD @1F
7951.50ns INFO [00007953] Port=1 RD @06
7952.50ns INFO [00007954] * RD COMPARE * port=0 adr=1E act=50CDD9AA exp=50CDD9AA
7952.50ns INFO [00007954] Port=0 RD @1B
7953.50ns INFO [00007955] * RD COMPARE * port=0 adr=1F act=EF263887 exp=EF263887
7953.50ns INFO [00007955] * RD COMPARE * port=1 adr=06 act=F8273092 exp=F8273092
7953.50ns INFO [00007955] Port=0 RD @17
7954.50ns INFO [00007956] * RD COMPARE * port=0 adr=1B act=5783DAF8 exp=5783DAF8
7954.50ns INFO [00007956] Port=0 RD @1D
7954.50ns INFO [00007956] Port=1 RD @18
7955.50ns INFO [00007957] * RD COMPARE * port=0 adr=17 act=BA937958 exp=BA937958
7955.50ns INFO [00007957] Port=0 RD @14
7955.50ns INFO [00007957] Port=1 RD @00
7956.50ns INFO [00007958] * RD COMPARE * port=0 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7956.50ns INFO [00007958] * RD COMPARE * port=1 adr=18 act=3B255E23 exp=3B255E23
7956.50ns INFO [00007958] Port=0 WR @1F=90DEAC0A
7956.50ns INFO [00007958] Port=1 RD @1D
7957.50ns INFO [00007959] * RD COMPARE * port=0 adr=14 act=74ED27A2 exp=74ED27A2
7957.50ns INFO [00007959] * RD COMPARE * port=1 adr=00 act=E1DC4796 exp=E1DC4796
7958.50ns INFO [00007960] * RD COMPARE * port=1 adr=1D act=3EDE6FB5 exp=3EDE6FB5
7959.50ns INFO [00007961] Port=0 RD @08
7960.50ns INFO [00007962] Port=0 RD @14
7961.50ns INFO [00007963] * RD COMPARE * port=0 adr=08 act=E5B4ED76 exp=E5B4ED76
7961.50ns INFO [00007963] Port=0 WR @06=5FADCA48
7961.50ns INFO [00007963] Port=0 RD @0B
7962.50ns INFO [00007964] * RD COMPARE * port=0 adr=14 act=74ED27A2 exp=74ED27A2
7962.50ns INFO [00007964] Port=0 RD @00
7963.50ns INFO [00007965] * RD COMPARE * port=0 adr=0B act=3F458481 exp=3F458481
7963.50ns INFO [00007965] Port=0 RD @06
7964.50ns INFO [00007966] * RD COMPARE * port=0 adr=00 act=E1DC4796 exp=E1DC4796
7964.50ns INFO [00007966] Port=0 WR @1D=D4AAE32D
7964.50ns INFO [00007966] Port=0 RD @16
7965.50ns INFO [00007967] * RD COMPARE * port=0 adr=06 act=5FADCA48 exp=5FADCA48
7965.50ns INFO [00007967] Port=0 RD @0C
7965.50ns INFO [00007967] Port=1 RD @17
7966.50ns INFO [00007968] * RD COMPARE * port=0 adr=16 act=8ECD8EE1 exp=8ECD8EE1
7966.50ns INFO [00007968] Port=0 WR @1A=5D0175BD
7966.50ns INFO [00007968] Port=0 RD @1C
7967.50ns INFO [00007969] * RD COMPARE * port=0 adr=0C act=C2DC26F1 exp=C2DC26F1
7967.50ns INFO [00007969] * RD COMPARE * port=1 adr=17 act=BA937958 exp=BA937958
7968.50ns INFO [00007970] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A
7968.50ns INFO [00007970] Port=0 RD @07
7970.50ns INFO [00007972] * RD COMPARE * port=0 adr=07 act=56EA9DB1 exp=56EA9DB1
7970.50ns INFO [00007972] Port=0 WR @17=F3AA6CF2
7970.50ns INFO [00007972] Port=1 RD @14
7971.50ns INFO [00007973] Port=0 RD @1C
7972.50ns INFO [00007974] * RD COMPARE * port=1 adr=14 act=74ED27A2 exp=74ED27A2
7972.50ns INFO [00007974] Port=0 RD @02
7973.50ns INFO [00007975] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A
7973.50ns INFO [00007975] Port=1 RD @0C
7974.50ns INFO [00007976] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA
7975.50ns INFO [00007977] * RD COMPARE * port=1 adr=0C act=C2DC26F1 exp=C2DC26F1
7975.50ns INFO [00007977] Port=0 WR @16=9363A0ED
7976.50ns INFO [00007978] Port=0 WR @07=0DB5064C
7976.50ns INFO [00007978] Port=0 RD @04
7976.50ns INFO [00007978] Port=1 RD @09
7977.50ns INFO [00007979] Port=0 WR @0B=6505A0BD
7978.50ns INFO [00007980] * RD COMPARE * port=0 adr=04 act=05B77709 exp=05B77709
7978.50ns INFO [00007980] * RD COMPARE * port=1 adr=09 act=464E8C12 exp=464E8C12
7978.50ns INFO [00007980] Port=1 RD @18
7980.50ns INFO [00007982] * RD COMPARE * port=1 adr=18 act=3B255E23 exp=3B255E23
7980.50ns INFO [00007982] Port=0 WR @09=511C7A63
7981.50ns INFO [00007983] Port=0 RD @19
7981.50ns INFO [00007983] Port=1 RD @16
7982.50ns INFO [00007984] Port=0 RD @1E
7982.50ns INFO [00007984] Port=1 RD @0A
7983.50ns INFO [00007985] * RD COMPARE * port=0 adr=19 act=D85BCBBE exp=D85BCBBE
7983.50ns INFO [00007985] * RD COMPARE * port=1 adr=16 act=9363A0ED exp=9363A0ED
7983.50ns INFO [00007985] Port=0 WR @0C=F9CC7392
7984.50ns INFO [00007986] * RD COMPARE * port=0 adr=1E act=50CDD9AA exp=50CDD9AA
7984.50ns INFO [00007986] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258
7984.50ns INFO [00007986] Port=0 RD @0D
7985.50ns INFO [00007987] Port=0 WR @03=159BBAA5
7985.50ns INFO [00007987] Port=1 RD @11
7986.50ns INFO [00007988] * RD COMPARE * port=0 adr=0D act=9BFDB7FC exp=9BFDB7FC
7987.50ns INFO [00007989] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D
7987.50ns INFO [00007989] Port=0 WR @14=A236F217
7987.50ns INFO [00007989] Port=1 RD @10
7988.50ns INFO [00007990] Port=0 WR @19=433BC510
7988.50ns INFO [00007990] Port=0 RD @0E
7989.50ns INFO [00007991] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D
7989.50ns INFO [00007991] Port=0 RD @1C
7989.50ns INFO [00007991] Port=1 RD @15
7990.50ns INFO [00007992] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053
7990.50ns INFO [00007992] Port=0 RD @0C
7990.50ns INFO [00007992] Port=1 RD @19
7991.50ns INFO [00007993] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A
7991.50ns INFO [00007993] * RD COMPARE * port=1 adr=15 act=71600333 exp=71600333
7992.50ns INFO [00007994] * RD COMPARE * port=0 adr=0C act=F9CC7392 exp=F9CC7392
7992.50ns INFO [00007994] * RD COMPARE * port=1 adr=19 act=433BC510 exp=433BC510
7994.50ns INFO [00007996] Port=1 RD @13
7996.50ns INFO [00007998] * RD COMPARE * port=1 adr=13 act=B3820E41 exp=B3820E41
7996.50ns INFO [00007998] Port=0 WR @15=1B3F0C35
7996.50ns INFO [00007998] Port=0 RD @07
7998.00ns INFO [00008000] [00008000] ...tick...
7998.50ns INFO [00008000] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C
7998.50ns INFO [00008000] Port=0 WR @18=799564CD
7998.50ns INFO [00008000] Port=0 RD @11
7999.50ns INFO [00008001] Port=0 WR @0D=FE0BCCB4
7999.50ns INFO [00008001] Port=1 RD @15
8000.50ns INFO [00008002] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D
8000.50ns INFO [00008002] Port=0 WR @00=531A95E7
8000.50ns INFO [00008002] Port=1 RD @11
8001.50ns INFO [00008003] * RD COMPARE * port=1 adr=15 act=1B3F0C35 exp=1B3F0C35
8002.50ns INFO [00008004] * RD COMPARE * port=1 adr=11 act=EF436C4D exp=EF436C4D
8002.50ns INFO [00008004] Port=0 WR @16=7626B770
8002.50ns INFO [00008004] Port=0 RD @07
8004.50ns INFO [00008006] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C
8004.50ns INFO [00008006] Port=0 RD @0D
8005.50ns INFO [00008007] Port=0 WR @18=DD5F8207
8006.50ns INFO [00008008] * RD COMPARE * port=0 adr=0D act=FE0BCCB4 exp=FE0BCCB4
8007.50ns INFO [00008009] Port=0 WR @14=90D6C48B
8007.50ns INFO [00008009] Port=1 RD @09
8008.50ns INFO [00008010] Port=0 WR @14=F0D2E05B
8008.50ns INFO [00008010] Port=0 RD @18
8008.50ns INFO [00008010] Port=1 RD @1D
8009.50ns INFO [00008011] * RD COMPARE * port=1 adr=09 act=511C7A63 exp=511C7A63
8009.50ns INFO [00008011] Port=0 WR @16=63161E84
8009.50ns INFO [00008011] Port=0 RD @18
8010.50ns INFO [00008012] * RD COMPARE * port=0 adr=18 act=DD5F8207 exp=DD5F8207
8010.50ns INFO [00008012] * RD COMPARE * port=1 adr=1D act=D4AAE32D exp=D4AAE32D
8010.50ns INFO [00008012] Port=0 WR @03=51477239
8010.50ns INFO [00008012] Port=0 RD @0E
8011.50ns INFO [00008013] * RD COMPARE * port=0 adr=18 act=DD5F8207 exp=DD5F8207
8011.50ns INFO [00008013] Port=0 WR @0B=175DF4D3
8011.50ns INFO [00008013] Port=0 RD @16
8012.50ns INFO [00008014] * RD COMPARE * port=0 adr=0E act=064ED053 exp=064ED053
8013.50ns INFO [00008015] * RD COMPARE * port=0 adr=16 act=63161E84 exp=63161E84
8014.50ns INFO [00008016] Port=0 WR @1E=B9BD3DA7
8014.50ns INFO [00008016] Port=1 RD @08
8015.50ns INFO [00008017] Port=0 WR @1B=C99D2790
8016.50ns INFO [00008018] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8017.50ns INFO [00008019] Port=0 WR @1E=CC81659C
8017.50ns INFO [00008019] Port=0 RD @1D
8017.50ns INFO [00008019] Port=1 RD @1A
8018.50ns INFO [00008020] Port=0 RD @03
8019.50ns INFO [00008021] * RD COMPARE * port=0 adr=1D act=D4AAE32D exp=D4AAE32D
8019.50ns INFO [00008021] * RD COMPARE * port=1 adr=1A act=5D0175BD exp=5D0175BD
8020.50ns INFO [00008022] * RD COMPARE * port=0 adr=03 act=51477239 exp=51477239
8021.50ns INFO [00008023] Port=0 WR @1D=75F566F0
8022.50ns INFO [00008024] Port=0 RD @06
8024.50ns INFO [00008026] * RD COMPARE * port=0 adr=06 act=5FADCA48 exp=5FADCA48
8024.50ns INFO [00008026] Port=0 RD @11
8025.50ns INFO [00008027] Port=0 WR @01=42AB543F
8025.50ns INFO [00008027] Port=0 RD @09
8025.50ns INFO [00008027] Port=1 RD @0B
8026.50ns INFO [00008028] * RD COMPARE * port=0 adr=11 act=EF436C4D exp=EF436C4D
8026.50ns INFO [00008028] Port=0 WR @13=EE678615
8026.50ns INFO [00008028] Port=0 RD @1C
8027.50ns INFO [00008029] * RD COMPARE * port=0 adr=09 act=511C7A63 exp=511C7A63
8027.50ns INFO [00008029] * RD COMPARE * port=1 adr=0B act=175DF4D3 exp=175DF4D3
8028.50ns INFO [00008030] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A
8032.50ns INFO [00008034] Port=0 WR @15=EDE9088E
8032.50ns INFO [00008034] Port=1 RD @01
8033.50ns INFO [00008035] Port=0 WR @04=4975F5FA
8033.50ns INFO [00008035] Port=1 RD @0A
8034.50ns INFO [00008036] * RD COMPARE * port=1 adr=01 act=42AB543F exp=42AB543F
8034.50ns INFO [00008036] Port=0 RD @0D
8035.50ns INFO [00008037] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258
8035.50ns INFO [00008037] Port=0 WR @13=DCC187E7
8035.50ns INFO [00008037] Port=0 RD @02
8035.50ns INFO [00008037] Port=1 RD @05
8036.50ns INFO [00008038] * RD COMPARE * port=0 adr=0D act=FE0BCCB4 exp=FE0BCCB4
8036.50ns INFO [00008038] Port=1 RD @12
8037.50ns INFO [00008039] * RD COMPARE * port=0 adr=02 act=F86F94BA exp=F86F94BA
8037.50ns INFO [00008039] * RD COMPARE * port=1 adr=05 act=46B826A2 exp=46B826A2
8037.50ns INFO [00008039] Port=1 RD @10
8038.50ns INFO [00008040] * RD COMPARE * port=1 adr=12 act=A70581CC exp=A70581CC
8038.50ns INFO [00008040] Port=0 RD @01
8039.50ns INFO [00008041] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D
8040.50ns INFO [00008042] * RD COMPARE * port=0 adr=01 act=42AB543F exp=42AB543F
8041.50ns INFO [00008043] Port=0 WR @1F=9E59E233
8042.50ns INFO [00008044] Port=0 WR @06=212C584D
8042.50ns INFO [00008044] Port=1 RD @02
8044.50ns INFO [00008046] * RD COMPARE * port=1 adr=02 act=F86F94BA exp=F86F94BA
8044.50ns INFO [00008046] Port=0 WR @18=5984F702
8044.50ns INFO [00008046] Port=1 RD @08
8045.50ns INFO [00008047] Port=0 RD @1E
8046.50ns INFO [00008048] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8046.50ns INFO [00008048] Port=0 RD @05
8047.50ns INFO [00008049] * RD COMPARE * port=0 adr=1E act=CC81659C exp=CC81659C
8047.50ns INFO [00008049] Port=1 RD @08
8048.50ns INFO [00008050] * RD COMPARE * port=0 adr=05 act=46B826A2 exp=46B826A2
8048.50ns INFO [00008050] Port=1 RD @1A
8049.50ns INFO [00008051] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8049.50ns INFO [00008051] Port=0 WR @0E=F030EBE2
8050.50ns INFO [00008052] * RD COMPARE * port=1 adr=1A act=5D0175BD exp=5D0175BD
8051.50ns INFO [00008053] Port=1 RD @10
8053.50ns INFO [00008055] * RD COMPARE * port=1 adr=10 act=B3AE058D exp=B3AE058D
8054.50ns INFO [00008056] Port=0 WR @04=D07BA1D0
8054.50ns INFO [00008056] Port=0 RD @03
8055.50ns INFO [00008057] Port=0 RD @1B
8055.50ns INFO [00008057] Port=1 RD @0B
8056.50ns INFO [00008058] * RD COMPARE * port=0 adr=03 act=51477239 exp=51477239
8056.50ns INFO [00008058] Port=1 RD @1B
8057.50ns INFO [00008059] * RD COMPARE * port=0 adr=1B act=C99D2790 exp=C99D2790
8057.50ns INFO [00008059] * RD COMPARE * port=1 adr=0B act=175DF4D3 exp=175DF4D3
8057.50ns INFO [00008059] Port=1 RD @14
8058.50ns INFO [00008060] * RD COMPARE * port=1 adr=1B act=C99D2790 exp=C99D2790
8058.50ns INFO [00008060] Port=0 WR @0D=7D704AA7
8058.50ns INFO [00008060] Port=0 RD @19
8059.50ns INFO [00008061] * RD COMPARE * port=1 adr=14 act=F0D2E05B exp=F0D2E05B
8060.50ns INFO [00008062] * RD COMPARE * port=0 adr=19 act=433BC510 exp=433BC510
8060.50ns INFO [00008062] Port=0 RD @10
8060.50ns INFO [00008062] Port=1 RD @0E
8061.50ns INFO [00008063] Port=0 WR @06=27DA90CA
8062.50ns INFO [00008064] * RD COMPARE * port=0 adr=10 act=B3AE058D exp=B3AE058D
8062.50ns INFO [00008064] * RD COMPARE * port=1 adr=0E act=F030EBE2 exp=F030EBE2
8062.50ns INFO [00008064] Port=0 WR @03=0353BA03
8063.50ns INFO [00008065] Port=0 WR @18=87E0D451
8064.50ns INFO [00008066] Port=0 RD @07
8065.50ns INFO [00008067] Port=0 WR @0B=76D7E094
8065.50ns INFO [00008067] Port=0 RD @10
8066.50ns INFO [00008068] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C
8066.50ns INFO [00008068] Port=0 WR @1F=32D3024E
8067.50ns INFO [00008069] * RD COMPARE * port=0 adr=10 act=B3AE058D exp=B3AE058D
8067.50ns INFO [00008069] Port=0 RD @00
8068.50ns INFO [00008070] Port=1 RD @1C
8069.50ns INFO [00008071] * RD COMPARE * port=0 adr=00 act=531A95E7 exp=531A95E7
8070.50ns INFO [00008072] * RD COMPARE * port=1 adr=1C act=EAF5F30A exp=EAF5F30A
8070.50ns INFO [00008072] Port=0 WR @14=7DA14A63
8070.50ns INFO [00008072] Port=1 RD @0A
8071.50ns INFO [00008073] Port=0 WR @01=E8051443
8071.50ns INFO [00008073] Port=0 RD @16
8072.50ns INFO [00008074] * RD COMPARE * port=1 adr=0A act=FFF17258 exp=FFF17258
8072.50ns INFO [00008074] Port=1 RD @0D
8073.50ns INFO [00008075] * RD COMPARE * port=0 adr=16 act=63161E84 exp=63161E84
8073.50ns INFO [00008075] Port=0 WR @11=2B016926
8073.50ns INFO [00008075] Port=0 RD @1C
8073.50ns INFO [00008075] Port=1 RD @17
8074.50ns INFO [00008076] * RD COMPARE * port=1 adr=0D act=7D704AA7 exp=7D704AA7
8074.50ns INFO [00008076] Port=0 RD @01
8074.50ns INFO [00008076] Port=1 RD @13
8075.50ns INFO [00008077] * RD COMPARE * port=0 adr=1C act=EAF5F30A exp=EAF5F30A
8075.50ns INFO [00008077] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2
8075.50ns INFO [00008077] Port=0 WR @04=0BCC9C7B
8075.50ns INFO [00008077] Port=0 RD @0A
8076.50ns INFO [00008078] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443
8076.50ns INFO [00008078] * RD COMPARE * port=1 adr=13 act=DCC187E7 exp=DCC187E7
8076.50ns INFO [00008078] Port=1 RD @14
8077.50ns INFO [00008079] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258
8077.50ns INFO [00008079] Port=0 RD @01
8077.50ns INFO [00008079] Port=1 RD @08
8078.50ns INFO [00008080] * RD COMPARE * port=1 adr=14 act=7DA14A63 exp=7DA14A63
8078.50ns INFO [00008080] Port=0 RD @0F
8079.50ns INFO [00008081] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443
8079.50ns INFO [00008081] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8079.50ns INFO [00008081] Port=0 WR @0D=CC29B0CA
8079.50ns INFO [00008081] Port=0 RD @1F
8080.50ns INFO [00008082] * RD COMPARE * port=0 adr=0F act=42A7FE86 exp=42A7FE86
8081.50ns INFO [00008083] * RD COMPARE * port=0 adr=1F act=32D3024E exp=32D3024E
8081.50ns INFO [00008083] Port=0 WR @1C=36476AA5
8081.50ns INFO [00008083] Port=0 RD @0E
8081.50ns INFO [00008083] Port=1 RD @04
8082.50ns INFO [00008084] Port=0 WR @15=CF984ABD
8083.50ns INFO [00008085] * RD COMPARE * port=0 adr=0E act=F030EBE2 exp=F030EBE2
8083.50ns INFO [00008085] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8083.50ns INFO [00008085] Port=1 RD @0D
8084.50ns INFO [00008086] Port=0 RD @05
8085.50ns INFO [00008087] * RD COMPARE * port=1 adr=0D act=CC29B0CA exp=CC29B0CA
8085.50ns INFO [00008087] Port=0 WR @1F=83624F19
8085.50ns INFO [00008087] Port=0 RD @0B
8086.50ns INFO [00008088] * RD COMPARE * port=0 adr=05 act=46B826A2 exp=46B826A2
8086.50ns INFO [00008088] Port=0 WR @16=23DAAC02
8087.50ns INFO [00008089] * RD COMPARE * port=0 adr=0B act=76D7E094 exp=76D7E094
8087.50ns INFO [00008089] Port=0 WR @15=F06E4B2C
8089.50ns INFO [00008091] Port=0 RD @0A
8089.50ns INFO [00008091] Port=1 RD @08
8090.50ns INFO [00008092] Port=0 WR @1B=A96BD786
8091.50ns INFO [00008093] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258
8091.50ns INFO [00008093] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8091.50ns INFO [00008093] Port=0 WR @1B=E80349F7
8093.50ns INFO [00008095] Port=1 RD @04
8094.50ns INFO [00008096] Port=0 WR @0C=F55BDAB0
8095.50ns INFO [00008097] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8096.50ns INFO [00008098] Port=0 RD @1B
8096.50ns INFO [00008098] Port=1 RD @1E
8097.50ns INFO [00008099] Port=0 WR @12=45CDC162
8098.00ns INFO [00008100] [00008100] ...tick...
8098.50ns INFO [00008100] * RD COMPARE * port=0 adr=1B act=E80349F7 exp=E80349F7
8098.50ns INFO [00008100] * RD COMPARE * port=1 adr=1E act=CC81659C exp=CC81659C
8099.50ns INFO [00008101] Port=1 RD @15
8100.50ns INFO [00008102] Port=0 RD @15
8101.50ns INFO [00008103] * RD COMPARE * port=1 adr=15 act=F06E4B2C exp=F06E4B2C
8101.50ns INFO [00008103] Port=0 RD @09
8101.50ns INFO [00008103] Port=1 RD @04
8102.50ns INFO [00008104] * RD COMPARE * port=0 adr=15 act=F06E4B2C exp=F06E4B2C
8102.50ns INFO [00008104] Port=1 RD @17
8103.50ns INFO [00008105] * RD COMPARE * port=0 adr=09 act=511C7A63 exp=511C7A63
8103.50ns INFO [00008105] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8103.50ns INFO [00008105] Port=0 RD @1B
8104.50ns INFO [00008106] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2
8104.50ns INFO [00008106] Port=0 WR @0E=2B719DF1
8105.50ns INFO [00008107] * RD COMPARE * port=0 adr=1B act=E80349F7 exp=E80349F7
8105.50ns INFO [00008107] Port=0 WR @10=AF9F917B
8106.50ns INFO [00008108] Port=0 WR @18=317C9587
8106.50ns INFO [00008108] Port=1 RD @17
8107.50ns INFO [00008109] Port=1 RD @10
8108.50ns INFO [00008110] * RD COMPARE * port=1 adr=17 act=F3AA6CF2 exp=F3AA6CF2
8108.50ns INFO [00008110] Port=0 WR @11=CE2E58C4
8108.50ns INFO [00008110] Port=1 RD @09
8109.50ns INFO [00008111] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B
8110.50ns INFO [00008112] * RD COMPARE * port=1 adr=09 act=511C7A63 exp=511C7A63
8110.50ns INFO [00008112] Port=0 WR @1B=6C7E9B72
8110.50ns INFO [00008112] Port=1 RD @1F
8111.50ns INFO [00008113] Port=0 RD @0A
8112.50ns INFO [00008114] * RD COMPARE * port=1 adr=1F act=83624F19 exp=83624F19
8113.50ns INFO [00008115] * RD COMPARE * port=0 adr=0A act=FFF17258 exp=FFF17258
8114.50ns INFO [00008116] Port=0 RD @13
8114.50ns INFO [00008116] Port=1 RD @07
8116.50ns INFO [00008118] * RD COMPARE * port=0 adr=13 act=DCC187E7 exp=DCC187E7
8116.50ns INFO [00008118] * RD COMPARE * port=1 adr=07 act=0DB5064C exp=0DB5064C
8116.50ns INFO [00008118] Port=0 WR @1D=5B5D6B52
8117.50ns INFO [00008119] Port=0 RD @14
8117.50ns INFO [00008119] Port=1 RD @1C
8119.50ns INFO [00008121] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63
8119.50ns INFO [00008121] * RD COMPARE * port=1 adr=1C act=36476AA5 exp=36476AA5
8119.50ns INFO [00008121] Port=0 WR @15=2BB30538
8119.50ns INFO [00008121] Port=0 RD @14
8120.50ns INFO [00008122] Port=0 RD @10
8121.50ns INFO [00008123] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63
8121.50ns INFO [00008123] Port=0 RD @07
8122.50ns INFO [00008124] * RD COMPARE * port=0 adr=10 act=AF9F917B exp=AF9F917B
8123.50ns INFO [00008125] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C
8123.50ns INFO [00008125] Port=0 RD @12
8125.50ns INFO [00008127] * RD COMPARE * port=0 adr=12 act=45CDC162 exp=45CDC162
8125.50ns INFO [00008127] Port=0 WR @03=70EFFCDB
8126.50ns INFO [00008128] Port=0 WR @19=14EDB58D
8126.50ns INFO [00008128] Port=0 RD @10
8128.50ns INFO [00008130] * RD COMPARE * port=0 adr=10 act=AF9F917B exp=AF9F917B
8128.50ns INFO [00008130] Port=0 WR @1D=2D3E0838
8129.50ns INFO [00008131] Port=1 RD @11
8130.50ns INFO [00008132] Port=1 RD @19
8131.50ns INFO [00008133] * RD COMPARE * port=1 adr=11 act=CE2E58C4 exp=CE2E58C4
8132.50ns INFO [00008134] * RD COMPARE * port=1 adr=19 act=14EDB58D exp=14EDB58D
8132.50ns INFO [00008134] Port=0 WR @00=16A64993
8133.50ns INFO [00008135] Port=1 RD @15
8135.50ns INFO [00008137] * RD COMPARE * port=1 adr=15 act=2BB30538 exp=2BB30538
8135.50ns INFO [00008137] Port=0 RD @1B
8136.50ns INFO [00008138] Port=1 RD @0F
8137.50ns INFO [00008139] * RD COMPARE * port=0 adr=1B act=6C7E9B72 exp=6C7E9B72
8137.50ns INFO [00008139] Port=0 WR @11=5F0BA43C
8137.50ns INFO [00008139] Port=0 RD @1E
8137.50ns INFO [00008139] Port=1 RD @15
8138.50ns INFO [00008140] * RD COMPARE * port=1 adr=0F act=42A7FE86 exp=42A7FE86
8138.50ns INFO [00008140] Port=0 WR @1D=5DF550F2
8139.50ns INFO [00008141] * RD COMPARE * port=0 adr=1E act=CC81659C exp=CC81659C
8139.50ns INFO [00008141] * RD COMPARE * port=1 adr=15 act=2BB30538 exp=2BB30538
8139.50ns INFO [00008141] Port=0 WR @17=C44D4A4A
8139.50ns INFO [00008141] Port=1 RD @04
8140.50ns INFO [00008142] Port=0 WR @1A=EF1D615C
8140.50ns INFO [00008142] Port=0 RD @14
8140.50ns INFO [00008142] Port=1 RD @08
8141.50ns INFO [00008143] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8141.50ns INFO [00008143] Port=0 RD @00
8141.50ns INFO [00008143] Port=1 RD @0F
8142.50ns INFO [00008144] * RD COMPARE * port=0 adr=14 act=7DA14A63 exp=7DA14A63
8142.50ns INFO [00008144] * RD COMPARE * port=1 adr=08 act=E5B4ED76 exp=E5B4ED76
8142.50ns INFO [00008144] Port=0 RD @01
8143.50ns INFO [00008145] * RD COMPARE * port=0 adr=00 act=16A64993 exp=16A64993
8143.50ns INFO [00008145] * RD COMPARE * port=1 adr=0F act=42A7FE86 exp=42A7FE86
8143.50ns INFO [00008145] Port=0 WR @02=9E902CE1
8143.50ns INFO [00008145] Port=0 RD @01
8143.50ns INFO [00008145] Port=1 RD @16
8144.50ns INFO [00008146] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443
8144.50ns INFO [00008146] Port=0 RD @1F
8144.50ns INFO [00008146] Port=1 RD @12
8145.50ns INFO [00008147] * RD COMPARE * port=0 adr=01 act=E8051443 exp=E8051443
8145.50ns INFO [00008147] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02
8146.50ns INFO [00008148] * RD COMPARE * port=0 adr=1F act=83624F19 exp=83624F19
8146.50ns INFO [00008148] * RD COMPARE * port=1 adr=12 act=45CDC162 exp=45CDC162
8146.50ns INFO [00008148] Port=0 RD @1B
8146.50ns INFO [00008148] Port=1 RD @18
8148.50ns INFO [00008150] * RD COMPARE * port=0 adr=1B act=6C7E9B72 exp=6C7E9B72
8148.50ns INFO [00008150] * RD COMPARE * port=1 adr=18 act=317C9587 exp=317C9587
8148.50ns INFO [00008150] Port=0 WR @0A=CC56E7FE
8148.50ns INFO [00008150] Port=0 RD @02
8149.50ns INFO [00008151] Port=0 RD @02
8149.50ns INFO [00008151] Port=1 RD @1D
8150.50ns INFO [00008152] * RD COMPARE * port=0 adr=02 act=9E902CE1 exp=9E902CE1
8150.50ns INFO [00008152] Port=0 RD @15
8151.50ns INFO [00008153] * RD COMPARE * port=0 adr=02 act=9E902CE1 exp=9E902CE1
8151.50ns INFO [00008153] * RD COMPARE * port=1 adr=1D act=5DF550F2 exp=5DF550F2
8151.50ns INFO [00008153] Port=0 WR @09=1C93E1DE
8152.50ns INFO [00008154] * RD COMPARE * port=0 adr=15 act=2BB30538 exp=2BB30538
8152.50ns INFO [00008154] Port=1 RD @1B
8153.50ns INFO [00008155] Port=0 WR @1F=7B1AA252
8153.50ns INFO [00008155] Port=0 RD @07
8154.50ns INFO [00008156] * RD COMPARE * port=1 adr=1B act=6C7E9B72 exp=6C7E9B72
8154.50ns INFO [00008156] Port=1 RD @0C
8155.50ns INFO [00008157] * RD COMPARE * port=0 adr=07 act=0DB5064C exp=0DB5064C
8155.50ns INFO [00008157] Port=0 WR @02=DBCA325A
8155.50ns INFO [00008157] Port=0 RD @0A
8156.50ns INFO [00008158] * RD COMPARE * port=1 adr=0C act=F55BDAB0 exp=F55BDAB0
8156.50ns INFO [00008158] Port=0 WR @1B=E33E5AF0
8156.50ns INFO [00008158] Port=0 RD @0D
8156.50ns INFO [00008158] Port=1 RD @05
8157.50ns INFO [00008159] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE
8157.50ns INFO [00008159] Port=0 RD @0B
8157.50ns INFO [00008159] Port=1 RD @10
8158.50ns INFO [00008160] * RD COMPARE * port=0 adr=0D act=CC29B0CA exp=CC29B0CA
8158.50ns INFO [00008160] * RD COMPARE * port=1 adr=05 act=46B826A2 exp=46B826A2
8158.50ns INFO [00008160] Port=0 WR @13=9B328DAD
8159.50ns INFO [00008161] * RD COMPARE * port=0 adr=0B act=76D7E094 exp=76D7E094
8159.50ns INFO [00008161] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B
8159.50ns INFO [00008161] Port=0 WR @05=9E378B7E
8159.50ns INFO [00008161] Port=0 RD @1C
8159.50ns INFO [00008161] Port=1 RD @02
8160.50ns INFO [00008162] Port=0 RD @17
8161.50ns INFO [00008163] * RD COMPARE * port=0 adr=1C act=36476AA5 exp=36476AA5
8161.50ns INFO [00008163] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A
8162.50ns INFO [00008164] * RD COMPARE * port=0 adr=17 act=C44D4A4A exp=C44D4A4A
8162.50ns INFO [00008164] Port=0 WR @00=E50C3019
8163.50ns INFO [00008165] Port=0 WR @0D=7FFDEA8A
8164.50ns INFO [00008166] Port=0 WR @06=65D31D8C
8164.50ns INFO [00008166] Port=0 RD @05
8165.50ns INFO [00008167] Port=0 RD @12
8165.50ns INFO [00008167] Port=1 RD @10
8166.50ns INFO [00008168] * RD COMPARE * port=0 adr=05 act=9E378B7E exp=9E378B7E
8166.50ns INFO [00008168] Port=0 WR @14=377CC79F
8167.50ns INFO [00008169] * RD COMPARE * port=0 adr=12 act=45CDC162 exp=45CDC162
8167.50ns INFO [00008169] * RD COMPARE * port=1 adr=10 act=AF9F917B exp=AF9F917B
8167.50ns INFO [00008169] Port=0 RD @18
8168.50ns INFO [00008170] Port=0 WR @1A=B8288C5B
8169.50ns INFO [00008171] * RD COMPARE * port=0 adr=18 act=317C9587 exp=317C9587
8169.50ns INFO [00008171] Port=0 RD @19
8169.50ns INFO [00008171] Port=1 RD @12
8171.50ns INFO [00008173] * RD COMPARE * port=0 adr=19 act=14EDB58D exp=14EDB58D
8171.50ns INFO [00008173] * RD COMPARE * port=1 adr=12 act=45CDC162 exp=45CDC162
8171.50ns INFO [00008173] Port=0 WR @17=6C5C2141
8172.50ns INFO [00008174] Port=0 WR @1D=7E5C865F
8173.50ns INFO [00008175] Port=0 WR @0E=6AEB5E68
8173.50ns INFO [00008175] Port=0 RD @0F
8173.50ns INFO [00008175] Port=1 RD @1A
8174.50ns INFO [00008176] Port=0 RD @09
8174.50ns INFO [00008176] Port=1 RD @11
8175.50ns INFO [00008177] * RD COMPARE * port=0 adr=0F act=42A7FE86 exp=42A7FE86
8175.50ns INFO [00008177] * RD COMPARE * port=1 adr=1A act=B8288C5B exp=B8288C5B
8175.50ns INFO [00008177] Port=0 WR @0B=02920FA8
8175.50ns INFO [00008177] Port=0 RD @1B
8176.50ns INFO [00008178] * RD COMPARE * port=0 adr=09 act=1C93E1DE exp=1C93E1DE
8176.50ns INFO [00008178] * RD COMPARE * port=1 adr=11 act=5F0BA43C exp=5F0BA43C
8177.50ns INFO [00008179] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0
8177.50ns INFO [00008179] Port=0 WR @17=600B21AE
8177.50ns INFO [00008179] Port=1 RD @0A
8178.50ns INFO [00008180] Port=0 WR @18=E772D8FB
8178.50ns INFO [00008180] Port=1 RD @00
8179.50ns INFO [00008181] * RD COMPARE * port=1 adr=0A act=CC56E7FE exp=CC56E7FE
8179.50ns INFO [00008181] Port=0 WR @1E=0C6AE80C
8179.50ns INFO [00008181] Port=0 RD @1B
8180.50ns INFO [00008182] * RD COMPARE * port=1 adr=00 act=E50C3019 exp=E50C3019
8180.50ns INFO [00008182] Port=0 WR @0F=E7D42BA8
8181.50ns INFO [00008183] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0
8182.50ns INFO [00008184] Port=0 WR @08=CBBC0F93
8183.50ns INFO [00008185] Port=0 WR @10=66538DB5
8183.50ns INFO [00008185] Port=0 RD @04
8185.50ns INFO [00008187] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B
8185.50ns INFO [00008187] Port=1 RD @1F
8186.50ns INFO [00008188] Port=0 WR @13=AFD2206C
8187.50ns INFO [00008189] * RD COMPARE * port=1 adr=1F act=7B1AA252 exp=7B1AA252
8187.50ns INFO [00008189] Port=0 RD @1D
8188.50ns INFO [00008190] Port=0 RD @0D
8189.50ns INFO [00008191] * RD COMPARE * port=0 adr=1D act=7E5C865F exp=7E5C865F
8189.50ns INFO [00008191] Port=0 RD @0D
8189.50ns INFO [00008191] Port=1 RD @0A
8190.50ns INFO [00008192] * RD COMPARE * port=0 adr=0D act=7FFDEA8A exp=7FFDEA8A
8190.50ns INFO [00008192] Port=0 RD @1E
8190.50ns INFO [00008192] Port=1 RD @04
8191.50ns INFO [00008193] * RD COMPARE * port=0 adr=0D act=7FFDEA8A exp=7FFDEA8A
8191.50ns INFO [00008193] * RD COMPARE * port=1 adr=0A act=CC56E7FE exp=CC56E7FE
8191.50ns INFO [00008193] Port=0 RD @15
8191.50ns INFO [00008193] Port=1 RD @0D
8192.50ns INFO [00008194] * RD COMPARE * port=0 adr=1E act=0C6AE80C exp=0C6AE80C
8192.50ns INFO [00008194] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8192.50ns INFO [00008194] Port=1 RD @04
8193.50ns INFO [00008195] * RD COMPARE * port=0 adr=15 act=2BB30538 exp=2BB30538
8193.50ns INFO [00008195] * RD COMPARE * port=1 adr=0D act=7FFDEA8A exp=7FFDEA8A
8193.50ns INFO [00008195] Port=0 RD @0C
8193.50ns INFO [00008195] Port=1 RD @1E
8194.50ns INFO [00008196] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8194.50ns INFO [00008196] Port=1 RD @0F
8195.50ns INFO [00008197] * RD COMPARE * port=0 adr=0C act=F55BDAB0 exp=F55BDAB0
8195.50ns INFO [00008197] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C
8196.50ns INFO [00008198] * RD COMPARE * port=1 adr=0F act=E7D42BA8 exp=E7D42BA8
8196.50ns INFO [00008198] Port=0 WR @13=E4E77BFE
8196.50ns INFO [00008198] Port=0 RD @04
8198.00ns INFO [00008200] [00008200] ...tick...
8198.50ns INFO [00008200] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B
8198.50ns INFO [00008200] Port=1 RD @02
8199.50ns INFO [00008201] Port=1 RD @04
8200.50ns INFO [00008202] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A
8200.50ns INFO [00008202] Port=0 WR @15=DD208DC3
8200.50ns INFO [00008202] Port=1 RD @1B
8201.50ns INFO [00008203] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8201.50ns INFO [00008203] Port=0 WR @09=F139C9C7
8201.50ns INFO [00008203] Port=0 RD @17
8201.50ns INFO [00008203] Port=1 RD @0D
8202.50ns INFO [00008204] * RD COMPARE * port=1 adr=1B act=E33E5AF0 exp=E33E5AF0
8202.50ns INFO [00008204] Port=0 WR @17=98048FC5
8202.50ns INFO [00008204] Port=0 RD @06
8202.50ns INFO [00008204] Port=1 RD @04
8203.50ns INFO [00008205] * RD COMPARE * port=0 adr=17 act=600B21AE exp=600B21AE
8203.50ns INFO [00008205] * RD COMPARE * port=1 adr=0D act=7FFDEA8A exp=7FFDEA8A
8203.50ns INFO [00008205] Port=0 WR @10=83CDA239
8204.50ns INFO [00008206] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C
8204.50ns INFO [00008206] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8204.50ns INFO [00008206] Port=1 RD @16
8205.50ns INFO [00008207] Port=0 WR @05=A8F06316
8205.50ns INFO [00008207] Port=1 RD @18
8206.50ns INFO [00008208] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02
8206.50ns INFO [00008208] Port=0 RD @0B
8207.50ns INFO [00008209] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8207.50ns INFO [00008209] Port=0 RD @10
8208.50ns INFO [00008210] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8208.50ns INFO [00008210] Port=0 WR @0C=9BDB7CDF
8208.50ns INFO [00008210] Port=0 RD @0B
8208.50ns INFO [00008210] Port=1 RD @1B
8209.50ns INFO [00008211] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239
8210.50ns INFO [00008212] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8210.50ns INFO [00008212] * RD COMPARE * port=1 adr=1B act=E33E5AF0 exp=E33E5AF0
8212.50ns INFO [00008214] Port=0 WR @1A=54BEDAA9
8212.50ns INFO [00008214] Port=0 RD @10
8212.50ns INFO [00008214] Port=1 RD @19
8214.50ns INFO [00008216] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239
8214.50ns INFO [00008216] * RD COMPARE * port=1 adr=19 act=14EDB58D exp=14EDB58D
8214.50ns INFO [00008216] Port=0 WR @03=F4432C85
8214.50ns INFO [00008216] Port=1 RD @0C
8215.50ns INFO [00008217] Port=0 WR @0D=38224647
8215.50ns INFO [00008217] Port=0 RD @03
8216.50ns INFO [00008218] * RD COMPARE * port=1 adr=0C act=9BDB7CDF exp=9BDB7CDF
8216.50ns INFO [00008218] Port=0 RD @1B
8216.50ns INFO [00008218] Port=1 RD @11
8217.50ns INFO [00008219] * RD COMPARE * port=0 adr=03 act=F4432C85 exp=F4432C85
8217.50ns INFO [00008219] Port=0 WR @07=65ED2FE1
8218.50ns INFO [00008220] * RD COMPARE * port=0 adr=1B act=E33E5AF0 exp=E33E5AF0
8218.50ns INFO [00008220] * RD COMPARE * port=1 adr=11 act=5F0BA43C exp=5F0BA43C
8220.50ns INFO [00008222] Port=0 RD @0D
8220.50ns INFO [00008222] Port=1 RD @0B
8221.50ns INFO [00008223] Port=1 RD @16
8222.50ns INFO [00008224] * RD COMPARE * port=0 adr=0D act=38224647 exp=38224647
8222.50ns INFO [00008224] * RD COMPARE * port=1 adr=0B act=02920FA8 exp=02920FA8
8223.50ns INFO [00008225] * RD COMPARE * port=1 adr=16 act=23DAAC02 exp=23DAAC02
8223.50ns INFO [00008225] Port=0 WR @11=993CA442
8224.50ns INFO [00008226] Port=1 RD @03
8226.50ns INFO [00008228] * RD COMPARE * port=1 adr=03 act=F4432C85 exp=F4432C85
8226.50ns INFO [00008228] Port=1 RD @15
8227.50ns INFO [00008229] Port=0 WR @0F=3101F665
8227.50ns INFO [00008229] Port=1 RD @02
8228.50ns INFO [00008230] * RD COMPARE * port=1 adr=15 act=DD208DC3 exp=DD208DC3
8228.50ns INFO [00008230] Port=0 WR @12=D931D1F5
8228.50ns INFO [00008230] Port=0 RD @0C
8229.50ns INFO [00008231] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A
8229.50ns INFO [00008231] Port=0 WR @17=CCECAEA8
8229.50ns INFO [00008231] Port=1 RD @01
8230.50ns INFO [00008232] * RD COMPARE * port=0 adr=0C act=9BDB7CDF exp=9BDB7CDF
8230.50ns INFO [00008232] Port=0 RD @0D
8231.50ns INFO [00008233] * RD COMPARE * port=1 adr=01 act=E8051443 exp=E8051443
8231.50ns INFO [00008233] Port=0 RD @17
8231.50ns INFO [00008233] Port=1 RD @1E
8232.50ns INFO [00008234] * RD COMPARE * port=0 adr=0D act=38224647 exp=38224647
8232.50ns INFO [00008234] Port=0 RD @13
8233.50ns INFO [00008235] * RD COMPARE * port=0 adr=17 act=CCECAEA8 exp=CCECAEA8
8233.50ns INFO [00008235] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C
8233.50ns INFO [00008235] Port=0 WR @01=4026D9CA
8233.50ns INFO [00008235] Port=0 RD @0E
8233.50ns INFO [00008235] Port=1 RD @0E
8234.50ns INFO [00008236] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE
8234.50ns INFO [00008236] Port=0 RD @02
8234.50ns INFO [00008236] Port=1 RD @10
8235.50ns INFO [00008237] * RD COMPARE * port=0 adr=0E act=6AEB5E68 exp=6AEB5E68
8235.50ns INFO [00008237] * RD COMPARE * port=1 adr=0E act=6AEB5E68 exp=6AEB5E68
8236.50ns INFO [00008238] * RD COMPARE * port=0 adr=02 act=DBCA325A exp=DBCA325A
8236.50ns INFO [00008238] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8236.50ns INFO [00008238] Port=0 WR @16=CB50C577
8236.50ns INFO [00008238] Port=0 RD @1F
8237.50ns INFO [00008239] Port=0 RD @07
8238.50ns INFO [00008240] * RD COMPARE * port=0 adr=1F act=7B1AA252 exp=7B1AA252
8238.50ns INFO [00008240] Port=0 RD @0B
8238.50ns INFO [00008240] Port=1 RD @00
8239.50ns INFO [00008241] * RD COMPARE * port=0 adr=07 act=65ED2FE1 exp=65ED2FE1
8240.50ns INFO [00008242] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8240.50ns INFO [00008242] * RD COMPARE * port=1 adr=00 act=E50C3019 exp=E50C3019
8240.50ns INFO [00008242] Port=0 WR @15=2CD60F67
8241.50ns INFO [00008243] Port=0 WR @1B=842F3299
8242.50ns INFO [00008244] Port=0 WR @01=AC434C80
8242.50ns INFO [00008244] Port=1 RD @1A
8243.50ns INFO [00008245] Port=0 WR @0D=9BDB9123
8243.50ns INFO [00008245] Port=0 RD @12
8244.50ns INFO [00008246] * RD COMPARE * port=1 adr=1A act=54BEDAA9 exp=54BEDAA9
8245.50ns INFO [00008247] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5
8245.50ns INFO [00008247] Port=0 RD @13
8245.50ns INFO [00008247] Port=1 RD @13
8246.50ns INFO [00008248] Port=0 RD @1B
8247.50ns INFO [00008249] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE
8247.50ns INFO [00008249] * RD COMPARE * port=1 adr=13 act=E4E77BFE exp=E4E77BFE
8247.50ns INFO [00008249] Port=0 WR @11=B8F615A2
8247.50ns INFO [00008249] Port=0 RD @14
8247.50ns INFO [00008249] Port=1 RD @04
8248.50ns INFO [00008250] * RD COMPARE * port=0 adr=1B act=842F3299 exp=842F3299
8248.50ns INFO [00008250] Port=1 RD @02
8249.50ns INFO [00008251] * RD COMPARE * port=0 adr=14 act=377CC79F exp=377CC79F
8249.50ns INFO [00008251] * RD COMPARE * port=1 adr=04 act=0BCC9C7B exp=0BCC9C7B
8249.50ns INFO [00008251] Port=0 WR @05=37442039
8250.50ns INFO [00008252] * RD COMPARE * port=1 adr=02 act=DBCA325A exp=DBCA325A
8250.50ns INFO [00008252] Port=1 RD @14
8251.50ns INFO [00008253] Port=0 RD @09
8252.50ns INFO [00008254] * RD COMPARE * port=1 adr=14 act=377CC79F exp=377CC79F
8252.50ns INFO [00008254] Port=0 RD @10
8253.50ns INFO [00008255] * RD COMPARE * port=0 adr=09 act=F139C9C7 exp=F139C9C7
8253.50ns INFO [00008255] Port=0 WR @02=5729304C
8253.50ns INFO [00008255] Port=0 RD @0B
8254.50ns INFO [00008256] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239
8254.50ns INFO [00008256] Port=0 WR @08=EDCCBF41
8254.50ns INFO [00008256] Port=0 RD @18
8255.50ns INFO [00008257] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8255.50ns INFO [00008257] Port=0 WR @1C=8896B861
8255.50ns INFO [00008257] Port=1 RD @16
8256.50ns INFO [00008258] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8256.50ns INFO [00008258] Port=0 WR @0C=D53909CB
8256.50ns INFO [00008258] Port=0 RD @10
8257.50ns INFO [00008259] * RD COMPARE * port=1 adr=16 act=CB50C577 exp=CB50C577
8257.50ns INFO [00008259] Port=0 RD @1A
8257.50ns INFO [00008259] Port=1 RD @05
8258.50ns INFO [00008260] * RD COMPARE * port=0 adr=10 act=83CDA239 exp=83CDA239
8258.50ns INFO [00008260] Port=0 RD @0B
8259.50ns INFO [00008261] * RD COMPARE * port=0 adr=1A act=54BEDAA9 exp=54BEDAA9
8259.50ns INFO [00008261] * RD COMPARE * port=1 adr=05 act=37442039 exp=37442039
8259.50ns INFO [00008261] Port=0 WR @1A=3BF689BA
8259.50ns INFO [00008261] Port=0 RD @12
8260.50ns INFO [00008262] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8260.50ns INFO [00008262] Port=0 RD @13
8261.50ns INFO [00008263] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5
8262.50ns INFO [00008264] * RD COMPARE * port=0 adr=13 act=E4E77BFE exp=E4E77BFE
8262.50ns INFO [00008264] Port=1 RD @11
8263.50ns INFO [00008265] Port=0 RD @18
8264.50ns INFO [00008266] * RD COMPARE * port=1 adr=11 act=B8F615A2 exp=B8F615A2
8264.50ns INFO [00008266] Port=0 WR @0C=B56E810C
8264.50ns INFO [00008266] Port=1 RD @1C
8265.50ns INFO [00008267] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8266.50ns INFO [00008268] * RD COMPARE * port=1 adr=1C act=8896B861 exp=8896B861
8266.50ns INFO [00008268] Port=0 WR @0F=C7F2C099
8266.50ns INFO [00008268] Port=0 RD @16
8267.50ns INFO [00008269] Port=0 RD @15
8268.50ns INFO [00008270] * RD COMPARE * port=0 adr=16 act=CB50C577 exp=CB50C577
8268.50ns INFO [00008270] Port=0 WR @1D=90E5D605
8269.50ns INFO [00008271] * RD COMPARE * port=0 adr=15 act=2CD60F67 exp=2CD60F67
8269.50ns INFO [00008271] Port=0 WR @11=5FE90200
8269.50ns INFO [00008271] Port=0 RD @1A
8270.50ns INFO [00008272] Port=0 WR @02=0A4706EE
8270.50ns INFO [00008272] Port=1 RD @18
8271.50ns INFO [00008273] * RD COMPARE * port=0 adr=1A act=3BF689BA exp=3BF689BA
8271.50ns INFO [00008273] Port=0 RD @01
8272.50ns INFO [00008274] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8272.50ns INFO [00008274] Port=0 RD @12
8272.50ns INFO [00008274] Port=1 RD @03
8273.50ns INFO [00008275] * RD COMPARE * port=0 adr=01 act=AC434C80 exp=AC434C80
8273.50ns INFO [00008275] Port=0 WR @14=53ED8D06
8274.50ns INFO [00008276] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5
8274.50ns INFO [00008276] * RD COMPARE * port=1 adr=03 act=F4432C85 exp=F4432C85
8274.50ns INFO [00008276] Port=0 WR @11=787984BC
8274.50ns INFO [00008276] Port=0 RD @05
8275.50ns INFO [00008277] Port=0 WR @03=82BA78B3
8275.50ns INFO [00008277] Port=0 RD @14
8276.50ns INFO [00008278] * RD COMPARE * port=0 adr=05 act=37442039 exp=37442039
8276.50ns INFO [00008278] Port=0 WR @1C=EA05D2A1
8276.50ns INFO [00008278] Port=0 RD @17
8276.50ns INFO [00008278] Port=1 RD @1D
8277.50ns INFO [00008279] * RD COMPARE * port=0 adr=14 act=53ED8D06 exp=53ED8D06
8277.50ns INFO [00008279] Port=0 RD @1F
8278.50ns INFO [00008280] * RD COMPARE * port=0 adr=17 act=CCECAEA8 exp=CCECAEA8
8278.50ns INFO [00008280] * RD COMPARE * port=1 adr=1D act=90E5D605 exp=90E5D605
8278.50ns INFO [00008280] Port=1 RD @18
8279.50ns INFO [00008281] * RD COMPARE * port=0 adr=1F act=7B1AA252 exp=7B1AA252
8279.50ns INFO [00008281] Port=0 WR @0E=220C2C70
8280.50ns INFO [00008282] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8280.50ns INFO [00008282] Port=0 WR @00=F67E7DA8
8280.50ns INFO [00008282] Port=0 RD @01
8281.50ns INFO [00008283] Port=0 WR @07=A2BAB84D
8281.50ns INFO [00008283] Port=0 RD @18
8281.50ns INFO [00008283] Port=1 RD @1A
8282.50ns INFO [00008284] * RD COMPARE * port=0 adr=01 act=AC434C80 exp=AC434C80
8282.50ns INFO [00008284] Port=0 WR @17=B8DC63E3
8282.50ns INFO [00008284] Port=0 RD @00
8282.50ns INFO [00008284] Port=1 RD @1D
8283.50ns INFO [00008285] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8283.50ns INFO [00008285] * RD COMPARE * port=1 adr=1A act=3BF689BA exp=3BF689BA
8283.50ns INFO [00008285] Port=0 WR @14=4D27DC72
8284.50ns INFO [00008286] * RD COMPARE * port=0 adr=00 act=F67E7DA8 exp=F67E7DA8
8284.50ns INFO [00008286] * RD COMPARE * port=1 adr=1D act=90E5D605 exp=90E5D605
8285.50ns INFO [00008287] Port=1 RD @1E
8287.50ns INFO [00008289] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C
8287.50ns INFO [00008289] Port=0 WR @07=BF83C3C6
8287.50ns INFO [00008289] Port=0 RD @0A
8287.50ns INFO [00008289] Port=1 RD @1C
8288.50ns INFO [00008290] Port=0 RD @06
8288.50ns INFO [00008290] Port=1 RD @10
8289.50ns INFO [00008291] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE
8289.50ns INFO [00008291] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1
8289.50ns INFO [00008291] Port=0 RD @1E
8289.50ns INFO [00008291] Port=1 RD @17
8290.50ns INFO [00008292] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C
8290.50ns INFO [00008292] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8290.50ns INFO [00008292] Port=0 WR @08=16A371D4
8290.50ns INFO [00008292] Port=1 RD @07
8291.50ns INFO [00008293] * RD COMPARE * port=0 adr=1E act=0C6AE80C exp=0C6AE80C
8291.50ns INFO [00008293] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3
8291.50ns INFO [00008293] Port=0 WR @1B=72A7E1B0
8292.50ns INFO [00008294] * RD COMPARE * port=1 adr=07 act=BF83C3C6 exp=BF83C3C6
8292.50ns INFO [00008294] Port=0 RD @18
8292.50ns INFO [00008294] Port=1 RD @05
8293.50ns INFO [00008295] Port=0 WR @0D=F1C57390
8293.50ns INFO [00008295] Port=0 RD @07
8294.50ns INFO [00008296] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8294.50ns INFO [00008296] * RD COMPARE * port=1 adr=05 act=37442039 exp=37442039
8294.50ns INFO [00008296] Port=0 RD @1A
8295.50ns INFO [00008297] * RD COMPARE * port=0 adr=07 act=BF83C3C6 exp=BF83C3C6
8295.50ns INFO [00008297] Port=0 WR @1B=F7EE75DF
8295.50ns INFO [00008297] Port=1 RD @17
8296.50ns INFO [00008298] * RD COMPARE * port=0 adr=1A act=3BF689BA exp=3BF689BA
8297.50ns INFO [00008299] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3
8297.50ns INFO [00008299] Port=0 WR @15=963272A0
8297.50ns INFO [00008299] Port=0 RD @06
8298.00ns INFO [00008300] [00008300] ...tick...
8298.50ns INFO [00008300] Port=0 RD @0A
8299.50ns INFO [00008301] * RD COMPARE * port=0 adr=06 act=65D31D8C exp=65D31D8C
8299.50ns INFO [00008301] Port=0 WR @0A=26D15854
8299.50ns INFO [00008301] Port=0 RD @18
8300.50ns INFO [00008302] * RD COMPARE * port=0 adr=0A act=CC56E7FE exp=CC56E7FE
8300.50ns INFO [00008302] Port=0 WR @0F=C37B46C2
8300.50ns INFO [00008302] Port=0 RD @08
8301.50ns INFO [00008303] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8302.50ns INFO [00008304] * RD COMPARE * port=0 adr=08 act=16A371D4 exp=16A371D4
8303.50ns INFO [00008305] Port=0 WR @1F=3DCDCEA7
8303.50ns INFO [00008305] Port=1 RD @00
8304.50ns INFO [00008306] Port=0 WR @16=100D1D72
8304.50ns INFO [00008306] Port=0 RD @0D
8305.50ns INFO [00008307] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8
8305.50ns INFO [00008307] Port=0 RD @12
8306.50ns INFO [00008308] * RD COMPARE * port=0 adr=0D act=F1C57390 exp=F1C57390
8306.50ns INFO [00008308] Port=0 RD @05
8307.50ns INFO [00008309] * RD COMPARE * port=0 adr=12 act=D931D1F5 exp=D931D1F5
8307.50ns INFO [00008309] Port=0 WR @03=7A6CAD05
8308.50ns INFO [00008310] * RD COMPARE * port=0 adr=05 act=37442039 exp=37442039
8308.50ns INFO [00008310] Port=0 WR @1B=B243975B
8309.50ns INFO [00008311] Port=1 RD @18
8310.50ns INFO [00008312] Port=0 WR @1A=6F0EF32B
8310.50ns INFO [00008312] Port=1 RD @16
8311.50ns INFO [00008313] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8311.50ns INFO [00008313] Port=1 RD @06
8312.50ns INFO [00008314] * RD COMPARE * port=1 adr=16 act=100D1D72 exp=100D1D72
8312.50ns INFO [00008314] Port=0 RD @04
8313.50ns INFO [00008315] * RD COMPARE * port=1 adr=06 act=65D31D8C exp=65D31D8C
8313.50ns INFO [00008315] Port=1 RD @1C
8314.50ns INFO [00008316] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B
8314.50ns INFO [00008316] Port=1 RD @00
8315.50ns INFO [00008317] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1
8315.50ns INFO [00008317] Port=0 WR @1A=8787551C
8316.50ns INFO [00008318] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8
8316.50ns INFO [00008318] Port=1 RD @06
8317.50ns INFO [00008319] Port=0 WR @1F=1B0835BB
8317.50ns INFO [00008319] Port=1 RD @14
8318.50ns INFO [00008320] * RD COMPARE * port=1 adr=06 act=65D31D8C exp=65D31D8C
8318.50ns INFO [00008320] Port=0 WR @05=45E042DE
8318.50ns INFO [00008320] Port=1 RD @0D
8319.50ns INFO [00008321] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72
8319.50ns INFO [00008321] Port=0 WR @08=DEFE9595
8319.50ns INFO [00008321] Port=0 RD @0B
8320.50ns INFO [00008322] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390
8320.50ns INFO [00008322] Port=0 WR @01=80ED6386
8320.50ns INFO [00008322] Port=0 RD @0F
8320.50ns INFO [00008322] Port=1 RD @1B
8321.50ns INFO [00008323] * RD COMPARE * port=0 adr=0B act=02920FA8 exp=02920FA8
8321.50ns INFO [00008323] Port=0 RD @0E
8321.50ns INFO [00008323] Port=1 RD @1E
8322.50ns INFO [00008324] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2
8322.50ns INFO [00008324] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B
8322.50ns INFO [00008324] Port=0 RD @01
8323.50ns INFO [00008325] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70
8323.50ns INFO [00008325] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C
8323.50ns INFO [00008325] Port=0 WR @16=7C3ADAA6
8323.50ns INFO [00008325] Port=0 RD @17
8324.50ns INFO [00008326] * RD COMPARE * port=0 adr=01 act=80ED6386 exp=80ED6386
8324.50ns INFO [00008326] Port=1 RD @18
8325.50ns INFO [00008327] * RD COMPARE * port=0 adr=17 act=B8DC63E3 exp=B8DC63E3
8325.50ns INFO [00008327] Port=0 WR @06=4EF54525
8325.50ns INFO [00008327] Port=1 RD @16
8326.50ns INFO [00008328] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8326.50ns INFO [00008328] Port=1 RD @0E
8327.50ns INFO [00008329] * RD COMPARE * port=1 adr=16 act=7C3ADAA6 exp=7C3ADAA6
8327.50ns INFO [00008329] Port=0 WR @03=44F1E70F
8327.50ns INFO [00008329] Port=0 RD @06
8328.50ns INFO [00008330] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70
8328.50ns INFO [00008330] Port=1 RD @08
8329.50ns INFO [00008331] * RD COMPARE * port=0 adr=06 act=4EF54525 exp=4EF54525
8329.50ns INFO [00008331] Port=0 RD @05
8329.50ns INFO [00008331] Port=1 RD @03
8330.50ns INFO [00008332] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595
8330.50ns INFO [00008332] Port=1 RD @10
8331.50ns INFO [00008333] * RD COMPARE * port=0 adr=05 act=45E042DE exp=45E042DE
8331.50ns INFO [00008333] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F
8332.50ns INFO [00008334] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8334.50ns INFO [00008336] Port=0 WR @0A=53F1C493
8334.50ns INFO [00008336] Port=0 RD @0F
8335.50ns INFO [00008337] Port=0 RD @0D
8336.50ns INFO [00008338] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2
8336.50ns INFO [00008338] Port=0 WR @0B=FAEC02D3
8337.50ns INFO [00008339] * RD COMPARE * port=0 adr=0D act=F1C57390 exp=F1C57390
8337.50ns INFO [00008339] Port=1 RD @00
8338.50ns INFO [00008340] Port=0 WR @1A=9D31EF8E
8338.50ns INFO [00008340] Port=0 RD @02
8339.50ns INFO [00008341] * RD COMPARE * port=1 adr=00 act=F67E7DA8 exp=F67E7DA8
8339.50ns INFO [00008341] Port=0 WR @16=5F674522
8340.50ns INFO [00008342] * RD COMPARE * port=0 adr=02 act=0A4706EE exp=0A4706EE
8340.50ns INFO [00008342] Port=0 WR @1F=A8ADD9BA
8340.50ns INFO [00008342] Port=0 RD @02
8340.50ns INFO [00008342] Port=1 RD @10
8341.50ns INFO [00008343] Port=1 RD @1B
8342.50ns INFO [00008344] * RD COMPARE * port=0 adr=02 act=0A4706EE exp=0A4706EE
8342.50ns INFO [00008344] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8342.50ns INFO [00008344] Port=1 RD @01
8343.50ns INFO [00008345] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B
8343.50ns INFO [00008345] Port=0 RD @19
8343.50ns INFO [00008345] Port=1 RD @08
8344.50ns INFO [00008346] * RD COMPARE * port=1 adr=01 act=80ED6386 exp=80ED6386
8345.50ns INFO [00008347] * RD COMPARE * port=0 adr=19 act=14EDB58D exp=14EDB58D
8345.50ns INFO [00008347] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595
8345.50ns INFO [00008347] Port=1 RD @03
8346.50ns INFO [00008348] Port=0 WR @19=BDF50E79
8346.50ns INFO [00008348] Port=0 RD @04
8346.50ns INFO [00008348] Port=1 RD @05
8347.50ns INFO [00008349] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F
8347.50ns INFO [00008349] Port=1 RD @18
8348.50ns INFO [00008350] * RD COMPARE * port=0 adr=04 act=0BCC9C7B exp=0BCC9C7B
8348.50ns INFO [00008350] * RD COMPARE * port=1 adr=05 act=45E042DE exp=45E042DE
8348.50ns INFO [00008350] Port=0 RD @18
8349.50ns INFO [00008351] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8350.50ns INFO [00008352] * RD COMPARE * port=0 adr=18 act=E772D8FB exp=E772D8FB
8350.50ns INFO [00008352] Port=1 RD @0F
8351.50ns INFO [00008353] Port=1 RD @1B
8352.50ns INFO [00008354] * RD COMPARE * port=1 adr=0F act=C37B46C2 exp=C37B46C2
8352.50ns INFO [00008354] Port=0 WR @00=2010A0A8
8352.50ns INFO [00008354] Port=1 RD @1A
8353.50ns INFO [00008355] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B
8353.50ns INFO [00008355] Port=0 WR @04=DD72E138
8353.50ns INFO [00008355] Port=1 RD @1C
8354.50ns INFO [00008356] * RD COMPARE * port=1 adr=1A act=9D31EF8E exp=9D31EF8E
8354.50ns INFO [00008356] Port=0 WR @13=7C18E450
8355.50ns INFO [00008357] * RD COMPARE * port=1 adr=1C act=EA05D2A1 exp=EA05D2A1
8357.50ns INFO [00008359] Port=1 RD @08
8359.50ns INFO [00008361] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595
8360.50ns INFO [00008362] Port=0 RD @03
8361.50ns INFO [00008363] Port=0 WR @1C=C4A09D6B
8362.50ns INFO [00008364] * RD COMPARE * port=0 adr=03 act=44F1E70F exp=44F1E70F
8364.50ns INFO [00008366] Port=1 RD @12
8366.50ns INFO [00008368] * RD COMPARE * port=1 adr=12 act=D931D1F5 exp=D931D1F5
8367.50ns INFO [00008369] Port=0 RD @0B
8368.50ns INFO [00008370] Port=0 WR @12=97F47533
8368.50ns INFO [00008370] Port=1 RD @10
8369.50ns INFO [00008371] * RD COMPARE * port=0 adr=0B act=FAEC02D3 exp=FAEC02D3
8369.50ns INFO [00008371] Port=0 RD @0B
8369.50ns INFO [00008371] Port=1 RD @1B
8370.50ns INFO [00008372] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8370.50ns INFO [00008372] Port=0 WR @1A=0028E4C3
8370.50ns INFO [00008372] Port=1 RD @17
8371.50ns INFO [00008373] * RD COMPARE * port=0 adr=0B act=FAEC02D3 exp=FAEC02D3
8371.50ns INFO [00008373] * RD COMPARE * port=1 adr=1B act=B243975B exp=B243975B
8371.50ns INFO [00008373] Port=0 RD @0F
8371.50ns INFO [00008373] Port=1 RD @11
8372.50ns INFO [00008374] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3
8373.50ns INFO [00008375] * RD COMPARE * port=0 adr=0F act=C37B46C2 exp=C37B46C2
8373.50ns INFO [00008375] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC
8373.50ns INFO [00008375] Port=0 WR @16=06CE33EC
8375.50ns INFO [00008377] Port=0 WR @1F=63460E98
8376.50ns INFO [00008378] Port=1 RD @1F
8377.50ns INFO [00008379] Port=0 WR @07=F1BE53F7
8378.50ns INFO [00008380] * RD COMPARE * port=1 adr=1F act=63460E98 exp=63460E98
8378.50ns INFO [00008380] Port=0 WR @1B=E0DB5C55
8379.50ns INFO [00008381] Port=1 RD @0B
8380.50ns INFO [00008382] Port=0 WR @05=8B428FBA
8381.50ns INFO [00008383] * RD COMPARE * port=1 adr=0B act=FAEC02D3 exp=FAEC02D3
8382.50ns INFO [00008384] Port=0 WR @02=094F142F
8383.50ns INFO [00008385] Port=0 RD @07
8384.50ns INFO [00008386] Port=1 RD @10
8385.50ns INFO [00008387] * RD COMPARE * port=0 adr=07 act=F1BE53F7 exp=F1BE53F7
8385.50ns INFO [00008387] Port=0 WR @09=26DD4AD6
8386.50ns INFO [00008388] * RD COMPARE * port=1 adr=10 act=83CDA239 exp=83CDA239
8386.50ns INFO [00008388] Port=1 RD @1E
8387.50ns INFO [00008389] Port=1 RD @1B
8388.50ns INFO [00008390] * RD COMPARE * port=1 adr=1E act=0C6AE80C exp=0C6AE80C
8389.50ns INFO [00008391] * RD COMPARE * port=1 adr=1B act=E0DB5C55 exp=E0DB5C55
8390.50ns INFO [00008392] Port=0 RD @04
8391.50ns INFO [00008393] Port=0 RD @1A
8392.50ns INFO [00008394] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138
8392.50ns INFO [00008394] Port=0 WR @1E=EE9F628D
8392.50ns INFO [00008394] Port=1 RD @12
8393.50ns INFO [00008395] * RD COMPARE * port=0 adr=1A act=0028E4C3 exp=0028E4C3
8393.50ns INFO [00008395] Port=1 RD @14
8394.50ns INFO [00008396] * RD COMPARE * port=1 adr=12 act=97F47533 exp=97F47533
8395.50ns INFO [00008397] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72
8395.50ns INFO [00008397] Port=1 RD @0E
8396.50ns INFO [00008398] Port=0 WR @1D=CDA529F7
8396.50ns INFO [00008398] Port=1 RD @06
8397.50ns INFO [00008399] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70
8397.50ns INFO [00008399] Port=1 RD @09
8398.00ns INFO [00008400] [00008400] ...tick...
8398.50ns INFO [00008400] * RD COMPARE * port=1 adr=06 act=4EF54525 exp=4EF54525
8398.50ns INFO [00008400] Port=0 RD @11
8399.50ns INFO [00008401] * RD COMPARE * port=1 adr=09 act=26DD4AD6 exp=26DD4AD6
8400.50ns INFO [00008402] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8400.50ns INFO [00008402] Port=1 RD @05
8402.50ns INFO [00008404] * RD COMPARE * port=1 adr=05 act=8B428FBA exp=8B428FBA
8402.50ns INFO [00008404] Port=0 WR @0B=42FE34AB
8402.50ns INFO [00008404] Port=1 RD @16
8403.50ns INFO [00008405] Port=0 WR @1B=952DF9FF
8403.50ns INFO [00008405] Port=0 RD @0B
8403.50ns INFO [00008405] Port=1 RD @08
8404.50ns INFO [00008406] * RD COMPARE * port=1 adr=16 act=06CE33EC exp=06CE33EC
8404.50ns INFO [00008406] Port=1 RD @0B
8405.50ns INFO [00008407] * RD COMPARE * port=0 adr=0B act=42FE34AB exp=42FE34AB
8405.50ns INFO [00008407] * RD COMPARE * port=1 adr=08 act=DEFE9595 exp=DEFE9595
8405.50ns INFO [00008407] Port=0 WR @0B=565F9703
8406.50ns INFO [00008408] * RD COMPARE * port=1 adr=0B act=42FE34AB exp=42FE34AB
8406.50ns INFO [00008408] Port=0 WR @0F=FCA2084E
8406.50ns INFO [00008408] Port=0 RD @00
8407.50ns INFO [00008409] Port=1 RD @09
8408.50ns INFO [00008410] * RD COMPARE * port=0 adr=00 act=2010A0A8 exp=2010A0A8
8408.50ns INFO [00008410] Port=1 RD @0D
8409.50ns INFO [00008411] * RD COMPARE * port=1 adr=09 act=26DD4AD6 exp=26DD4AD6
8409.50ns INFO [00008411] Port=0 WR @10=76BC4D71
8409.50ns INFO [00008411] Port=0 RD @06
8409.50ns INFO [00008411] Port=1 RD @1C
8410.50ns INFO [00008412] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390
8411.50ns INFO [00008413] * RD COMPARE * port=0 adr=06 act=4EF54525 exp=4EF54525
8411.50ns INFO [00008413] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8411.50ns INFO [00008413] Port=0 RD @13
8412.50ns INFO [00008414] Port=0 WR @15=21C0A1D1
8413.50ns INFO [00008415] * RD COMPARE * port=0 adr=13 act=7C18E450 exp=7C18E450
8413.50ns INFO [00008415] Port=0 WR @06=65B969E3
8413.50ns INFO [00008415] Port=0 RD @15
8413.50ns INFO [00008415] Port=1 RD @04
8414.50ns INFO [00008416] Port=0 RD @0A
8415.50ns INFO [00008417] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1
8415.50ns INFO [00008417] * RD COMPARE * port=1 adr=04 act=DD72E138 exp=DD72E138
8416.50ns INFO [00008418] * RD COMPARE * port=0 adr=0A act=53F1C493 exp=53F1C493
8416.50ns INFO [00008418] Port=0 WR @07=3588A455
8417.50ns INFO [00008419] Port=0 WR @01=3F5B57C0
8418.50ns INFO [00008420] Port=0 WR @08=8D6E1D2B
8418.50ns INFO [00008420] Port=0 RD @1E
8419.50ns INFO [00008421] Port=0 WR @08=FBB2AEBB
8420.50ns INFO [00008422] * RD COMPARE * port=0 adr=1E act=EE9F628D exp=EE9F628D
8420.50ns INFO [00008422] Port=1 RD @15
8421.50ns INFO [00008423] Port=1 RD @08
8422.50ns INFO [00008424] * RD COMPARE * port=1 adr=15 act=21C0A1D1 exp=21C0A1D1
8422.50ns INFO [00008424] Port=0 RD @14
8422.50ns INFO [00008424] Port=1 RD @18
8423.50ns INFO [00008425] * RD COMPARE * port=1 adr=08 act=FBB2AEBB exp=FBB2AEBB
8423.50ns INFO [00008425] Port=0 RD @00
8424.50ns INFO [00008426] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72
8424.50ns INFO [00008426] * RD COMPARE * port=1 adr=18 act=E772D8FB exp=E772D8FB
8424.50ns INFO [00008426] Port=1 RD @17
8425.50ns INFO [00008427] * RD COMPARE * port=0 adr=00 act=2010A0A8 exp=2010A0A8
8425.50ns INFO [00008427] Port=0 RD @0E
8426.50ns INFO [00008428] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3
8427.50ns INFO [00008429] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70
8428.50ns INFO [00008430] Port=0 RD @10
8429.50ns INFO [00008431] Port=0 WR @19=62AC8CB5
8430.50ns INFO [00008432] * RD COMPARE * port=0 adr=10 act=76BC4D71 exp=76BC4D71
8430.50ns INFO [00008432] Port=0 WR @08=9B36E2ED
8430.50ns INFO [00008432] Port=0 RD @13
8430.50ns INFO [00008432] Port=1 RD @0E
8432.50ns INFO [00008434] * RD COMPARE * port=0 adr=13 act=7C18E450 exp=7C18E450
8432.50ns INFO [00008434] * RD COMPARE * port=1 adr=0E act=220C2C70 exp=220C2C70
8432.50ns INFO [00008434] Port=1 RD @1A
8434.50ns INFO [00008436] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3
8434.50ns INFO [00008436] Port=0 RD @05
8434.50ns INFO [00008436] Port=1 RD @1D
8435.50ns INFO [00008437] Port=0 WR @0B=27E4EAF1
8436.50ns INFO [00008438] * RD COMPARE * port=0 adr=05 act=8B428FBA exp=8B428FBA
8436.50ns INFO [00008438] * RD COMPARE * port=1 adr=1D act=CDA529F7 exp=CDA529F7
8436.50ns INFO [00008438] Port=0 WR @02=A0175853
8437.50ns INFO [00008439] Port=0 WR @09=94C348F1
8437.50ns INFO [00008439] Port=0 RD @0B
8439.50ns INFO [00008441] * RD COMPARE * port=0 adr=0B act=27E4EAF1 exp=27E4EAF1
8439.50ns INFO [00008441] Port=0 WR @08=F6634F58
8440.50ns INFO [00008442] Port=0 WR @19=2E290133
8441.50ns INFO [00008443] Port=1 RD @19
8443.50ns INFO [00008445] * RD COMPARE * port=1 adr=19 act=2E290133 exp=2E290133
8443.50ns INFO [00008445] Port=0 RD @1D
8444.50ns INFO [00008446] Port=0 WR @0C=2EDE26C6
8444.50ns INFO [00008446] Port=1 RD @16
8445.50ns INFO [00008447] * RD COMPARE * port=0 adr=1D act=CDA529F7 exp=CDA529F7
8445.50ns INFO [00008447] Port=0 WR @01=D8A2B35A
8445.50ns INFO [00008447] Port=0 RD @1B
8445.50ns INFO [00008447] Port=1 RD @1A
8446.50ns INFO [00008448] * RD COMPARE * port=1 adr=16 act=06CE33EC exp=06CE33EC
8446.50ns INFO [00008448] Port=1 RD @03
8447.50ns INFO [00008449] * RD COMPARE * port=0 adr=1B act=952DF9FF exp=952DF9FF
8447.50ns INFO [00008449] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3
8447.50ns INFO [00008449] Port=0 WR @05=AD82F588
8447.50ns INFO [00008449] Port=0 RD @15
8447.50ns INFO [00008449] Port=1 RD @15
8448.50ns INFO [00008450] * RD COMPARE * port=1 adr=03 act=44F1E70F exp=44F1E70F
8448.50ns INFO [00008450] Port=0 RD @1F
8448.50ns INFO [00008450] Port=1 RD @1E
8449.50ns INFO [00008451] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1
8449.50ns INFO [00008451] * RD COMPARE * port=1 adr=15 act=21C0A1D1 exp=21C0A1D1
8449.50ns INFO [00008451] Port=0 RD @0F
8450.50ns INFO [00008452] * RD COMPARE * port=0 adr=1F act=63460E98 exp=63460E98
8450.50ns INFO [00008452] * RD COMPARE * port=1 adr=1E act=EE9F628D exp=EE9F628D
8450.50ns INFO [00008452] Port=1 RD @0F
8451.50ns INFO [00008453] * RD COMPARE * port=0 adr=0F act=FCA2084E exp=FCA2084E
8452.50ns INFO [00008454] * RD COMPARE * port=1 adr=0F act=FCA2084E exp=FCA2084E
8452.50ns INFO [00008454] Port=0 RD @04
8452.50ns INFO [00008454] Port=1 RD @0B
8453.50ns INFO [00008455] Port=0 WR @0A=FD2F1756
8453.50ns INFO [00008455] Port=0 RD @0E
8454.50ns INFO [00008456] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138
8454.50ns INFO [00008456] * RD COMPARE * port=1 adr=0B act=27E4EAF1 exp=27E4EAF1
8454.50ns INFO [00008456] Port=0 RD @16
8454.50ns INFO [00008456] Port=1 RD @1B
8455.50ns INFO [00008457] * RD COMPARE * port=0 adr=0E act=220C2C70 exp=220C2C70
8456.50ns INFO [00008458] * RD COMPARE * port=0 adr=16 act=06CE33EC exp=06CE33EC
8456.50ns INFO [00008458] * RD COMPARE * port=1 adr=1B act=952DF9FF exp=952DF9FF
8456.50ns INFO [00008458] Port=1 RD @04
8457.50ns INFO [00008459] Port=0 WR @0E=FC7C13C5
8457.50ns INFO [00008459] Port=0 RD @04
8458.50ns INFO [00008460] * RD COMPARE * port=1 adr=04 act=DD72E138 exp=DD72E138
8458.50ns INFO [00008460] Port=0 WR @1D=DBC07B40
8459.50ns INFO [00008461] * RD COMPARE * port=0 adr=04 act=DD72E138 exp=DD72E138
8459.50ns INFO [00008461] Port=0 WR @16=F77F3897
8459.50ns INFO [00008461] Port=1 RD @17
8460.50ns INFO [00008462] Port=1 RD @0F
8461.50ns INFO [00008463] * RD COMPARE * port=1 adr=17 act=B8DC63E3 exp=B8DC63E3
8462.50ns INFO [00008464] * RD COMPARE * port=1 adr=0F act=FCA2084E exp=FCA2084E
8462.50ns INFO [00008464] Port=0 WR @00=DDC76FD5
8463.50ns INFO [00008465] Port=0 WR @0F=D71DF6DB
8463.50ns INFO [00008465] Port=1 RD @1A
8465.50ns INFO [00008467] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3
8465.50ns INFO [00008467] Port=0 WR @16=4A58A055
8465.50ns INFO [00008467] Port=0 RD @08
8465.50ns INFO [00008467] Port=1 RD @06
8466.50ns INFO [00008468] Port=0 WR @13=629143A5
8466.50ns INFO [00008468] Port=1 RD @14
8467.50ns INFO [00008469] * RD COMPARE * port=0 adr=08 act=F6634F58 exp=F6634F58
8467.50ns INFO [00008469] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8467.50ns INFO [00008469] Port=0 WR @16=2AEF7F27
8467.50ns INFO [00008469] Port=0 RD @05
8468.50ns INFO [00008470] * RD COMPARE * port=1 adr=14 act=4D27DC72 exp=4D27DC72
8468.50ns INFO [00008470] Port=0 WR @18=7864DC37
8469.50ns INFO [00008471] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588
8469.50ns INFO [00008471] Port=1 RD @1A
8470.50ns INFO [00008472] Port=0 WR @04=DDCFCBF6
8470.50ns INFO [00008472] Port=1 RD @1D
8471.50ns INFO [00008473] * RD COMPARE * port=1 adr=1A act=0028E4C3 exp=0028E4C3
8471.50ns INFO [00008473] Port=0 RD @17
8472.50ns INFO [00008474] * RD COMPARE * port=1 adr=1D act=DBC07B40 exp=DBC07B40
8472.50ns INFO [00008474] Port=0 WR @0A=475D31EE
8472.50ns INFO [00008474] Port=1 RD @01
8473.50ns INFO [00008475] * RD COMPARE * port=0 adr=17 act=B8DC63E3 exp=B8DC63E3
8474.50ns INFO [00008476] * RD COMPARE * port=1 adr=01 act=D8A2B35A exp=D8A2B35A
8474.50ns INFO [00008476] Port=1 RD @18
8475.50ns INFO [00008477] Port=0 WR @1D=6EA9D0F4
8476.50ns INFO [00008478] * RD COMPARE * port=1 adr=18 act=7864DC37 exp=7864DC37
8476.50ns INFO [00008478] Port=0 WR @1F=47FDF39E
8476.50ns INFO [00008478] Port=1 RD @02
8477.50ns INFO [00008479] Port=0 WR @09=E444DDFA
8477.50ns INFO [00008479] Port=0 RD @13
8477.50ns INFO [00008479] Port=1 RD @18
8478.50ns INFO [00008480] * RD COMPARE * port=1 adr=02 act=A0175853 exp=A0175853
8478.50ns INFO [00008480] Port=1 RD @19
8479.50ns INFO [00008481] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5
8479.50ns INFO [00008481] * RD COMPARE * port=1 adr=18 act=7864DC37 exp=7864DC37
8479.50ns INFO [00008481] Port=0 WR @10=9D0363C1
8479.50ns INFO [00008481] Port=1 RD @05
8480.50ns INFO [00008482] * RD COMPARE * port=1 adr=19 act=2E290133 exp=2E290133
8481.50ns INFO [00008483] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588
8481.50ns INFO [00008483] Port=0 RD @10
8481.50ns INFO [00008483] Port=1 RD @05
8482.50ns INFO [00008484] Port=0 WR @1E=D4CA0D0F
8483.50ns INFO [00008485] * RD COMPARE * port=0 adr=10 act=9D0363C1 exp=9D0363C1
8483.50ns INFO [00008485] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588
8483.50ns INFO [00008485] Port=1 RD @0E
8484.50ns INFO [00008486] Port=0 WR @0E=931ABDFC
8484.50ns INFO [00008486] Port=0 RD @14
8484.50ns INFO [00008486] Port=1 RD @0F
8485.50ns INFO [00008487] * RD COMPARE * port=1 adr=0E act=FC7C13C5 exp=FC7C13C5
8485.50ns INFO [00008487] Port=0 RD @01
8485.50ns INFO [00008487] Port=1 RD @1E
8486.50ns INFO [00008488] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72
8486.50ns INFO [00008488] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB
8486.50ns INFO [00008488] Port=1 RD @1C
8487.50ns INFO [00008489] * RD COMPARE * port=0 adr=01 act=D8A2B35A exp=D8A2B35A
8487.50ns INFO [00008489] * RD COMPARE * port=1 adr=1E act=D4CA0D0F exp=D4CA0D0F
8487.50ns INFO [00008489] Port=0 WR @0B=1AE38D67
8487.50ns INFO [00008489] Port=0 RD @05
8487.50ns INFO [00008489] Port=1 RD @00
8488.50ns INFO [00008490] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8488.50ns INFO [00008490] Port=0 WR @09=2924102A
8488.50ns INFO [00008490] Port=0 RD @15
8488.50ns INFO [00008490] Port=1 RD @0F
8489.50ns INFO [00008491] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588
8489.50ns INFO [00008491] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5
8489.50ns INFO [00008491] Port=0 WR @15=8C8FE08E
8489.50ns INFO [00008491] Port=0 RD @14
8490.50ns INFO [00008492] * RD COMPARE * port=0 adr=15 act=21C0A1D1 exp=21C0A1D1
8490.50ns INFO [00008492] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB
8490.50ns INFO [00008492] Port=0 WR @03=00181504
8490.50ns INFO [00008492] Port=0 RD @05
8491.50ns INFO [00008493] * RD COMPARE * port=0 adr=14 act=4D27DC72 exp=4D27DC72
8491.50ns INFO [00008493] Port=0 RD @04
8492.50ns INFO [00008494] * RD COMPARE * port=0 adr=05 act=AD82F588 exp=AD82F588
8492.50ns INFO [00008494] Port=0 WR @15=F5F5BD75
8492.50ns INFO [00008494] Port=1 RD @0D
8493.50ns INFO [00008495] * RD COMPARE * port=0 adr=04 act=DDCFCBF6 exp=DDCFCBF6
8493.50ns INFO [00008495] Port=0 RD @00
8494.50ns INFO [00008496] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390
8495.50ns INFO [00008497] * RD COMPARE * port=0 adr=00 act=DDC76FD5 exp=DDC76FD5
8496.50ns INFO [00008498] Port=0 WR @17=FDE6E7A6
8496.50ns INFO [00008498] Port=0 RD @07
8497.50ns INFO [00008499] Port=0 WR @10=E8CF0D01
8497.50ns INFO [00008499] Port=0 RD @0C
8498.00ns INFO [00008500] [00008500] ...tick...
8498.50ns INFO [00008500] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455
8498.50ns INFO [00008500] Port=0 WR @09=AD103125
8498.50ns INFO [00008500] Port=1 RD @0F
8499.50ns INFO [00008501] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6
8499.50ns INFO [00008501] Port=1 RD @13
8500.50ns INFO [00008502] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB
8500.50ns INFO [00008502] Port=0 WR @03=013A95D2
8501.50ns INFO [00008503] * RD COMPARE * port=1 adr=13 act=629143A5 exp=629143A5
8501.50ns INFO [00008503] Port=1 RD @16
8502.50ns INFO [00008504] Port=0 WR @02=92A07E9F
8503.50ns INFO [00008505] * RD COMPARE * port=1 adr=16 act=2AEF7F27 exp=2AEF7F27
8503.50ns INFO [00008505] Port=0 WR @14=9F0A2E0C
8503.50ns INFO [00008505] Port=1 RD @07
8504.50ns INFO [00008506] Port=0 RD @1F
8505.50ns INFO [00008507] * RD COMPARE * port=1 adr=07 act=3588A455 exp=3588A455
8505.50ns INFO [00008507] Port=0 WR @14=7E62670A
8506.50ns INFO [00008508] * RD COMPARE * port=0 adr=1F act=47FDF39E exp=47FDF39E
8506.50ns INFO [00008508] Port=0 WR @18=0414EF46
8506.50ns INFO [00008508] Port=0 RD @13
8506.50ns INFO [00008508] Port=1 RD @0F
8508.50ns INFO [00008510] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5
8508.50ns INFO [00008510] * RD COMPARE * port=1 adr=0F act=D71DF6DB exp=D71DF6DB
8508.50ns INFO [00008510] Port=1 RD @05
8509.50ns INFO [00008511] Port=0 WR @1A=D5EC69F9
8510.50ns INFO [00008512] * RD COMPARE * port=1 adr=05 act=AD82F588 exp=AD82F588
8510.50ns INFO [00008512] Port=0 WR @16=1E21CF9F
8510.50ns INFO [00008512] Port=0 RD @1C
8511.50ns INFO [00008513] Port=0 WR @16=8B795F4E
8511.50ns INFO [00008513] Port=0 RD @18
8511.50ns INFO [00008513] Port=1 RD @09
8512.50ns INFO [00008514] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8513.50ns INFO [00008515] * RD COMPARE * port=0 adr=18 act=0414EF46 exp=0414EF46
8513.50ns INFO [00008515] * RD COMPARE * port=1 adr=09 act=AD103125 exp=AD103125
8513.50ns INFO [00008515] Port=0 RD @17
8513.50ns INFO [00008515] Port=1 RD @04
8515.50ns INFO [00008517] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8515.50ns INFO [00008517] * RD COMPARE * port=1 adr=04 act=DDCFCBF6 exp=DDCFCBF6
8515.50ns INFO [00008517] Port=1 RD @1C
8517.50ns INFO [00008519] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8517.50ns INFO [00008519] Port=0 WR @1B=076BE86D
8518.50ns INFO [00008520] Port=0 WR @05=4A83DEAD
8518.50ns INFO [00008520] Port=0 RD @11
8518.50ns INFO [00008520] Port=1 RD @06
8519.50ns INFO [00008521] Port=0 WR @10=C1B9A282
8519.50ns INFO [00008521] Port=0 RD @1E
8519.50ns INFO [00008521] Port=1 RD @0D
8520.50ns INFO [00008522] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8520.50ns INFO [00008522] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8520.50ns INFO [00008522] Port=1 RD @1D
8521.50ns INFO [00008523] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F
8521.50ns INFO [00008523] * RD COMPARE * port=1 adr=0D act=F1C57390 exp=F1C57390
8521.50ns INFO [00008523] Port=0 WR @14=16FBB850
8521.50ns INFO [00008523] Port=1 RD @05
8522.50ns INFO [00008524] * RD COMPARE * port=1 adr=1D act=6EA9D0F4 exp=6EA9D0F4
8522.50ns INFO [00008524] Port=0 WR @16=C6C6C34A
8522.50ns INFO [00008524] Port=0 RD @03
8522.50ns INFO [00008524] Port=1 RD @10
8523.50ns INFO [00008525] * RD COMPARE * port=1 adr=05 act=4A83DEAD exp=4A83DEAD
8523.50ns INFO [00008525] Port=0 WR @0A=FDCFD910
8524.50ns INFO [00008526] * RD COMPARE * port=0 adr=03 act=013A95D2 exp=013A95D2
8524.50ns INFO [00008526] * RD COMPARE * port=1 adr=10 act=C1B9A282 exp=C1B9A282
8524.50ns INFO [00008526] Port=0 RD @13
8526.50ns INFO [00008528] * RD COMPARE * port=0 adr=13 act=629143A5 exp=629143A5
8526.50ns INFO [00008528] Port=0 WR @01=1BE170E7
8526.50ns INFO [00008528] Port=0 RD @0B
8527.50ns INFO [00008529] Port=1 RD @16
8528.50ns INFO [00008530] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67
8528.50ns INFO [00008530] Port=0 RD @17
8529.50ns INFO [00008531] * RD COMPARE * port=1 adr=16 act=C6C6C34A exp=C6C6C34A
8529.50ns INFO [00008531] Port=0 WR @05=16BCAB30
8529.50ns INFO [00008531] Port=0 RD @0B
8530.50ns INFO [00008532] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8530.50ns INFO [00008532] Port=1 RD @06
8531.50ns INFO [00008533] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67
8531.50ns INFO [00008533] Port=0 WR @14=750E2F6C
8532.50ns INFO [00008534] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8532.50ns INFO [00008534] Port=1 RD @14
8533.50ns INFO [00008535] Port=0 WR @01=5A3046C1
8533.50ns INFO [00008535] Port=0 RD @1C
8534.50ns INFO [00008536] * RD COMPARE * port=1 adr=14 act=750E2F6C exp=750E2F6C
8534.50ns INFO [00008536] Port=0 WR @19=EEE28ABC
8534.50ns INFO [00008536] Port=0 RD @11
8534.50ns INFO [00008536] Port=1 RD @13
8535.50ns INFO [00008537] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8535.50ns INFO [00008537] Port=0 WR @0F=0C2980A0
8536.50ns INFO [00008538] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8536.50ns INFO [00008538] * RD COMPARE * port=1 adr=13 act=629143A5 exp=629143A5
8536.50ns INFO [00008538] Port=0 WR @16=508F9FFE
8538.50ns INFO [00008540] Port=0 WR @14=489D1218
8539.50ns INFO [00008541] Port=0 RD @01
8539.50ns INFO [00008541] Port=1 RD @00
8540.50ns INFO [00008542] Port=0 WR @16=D10891BF
8540.50ns INFO [00008542] Port=1 RD @1D
8541.50ns INFO [00008543] * RD COMPARE * port=0 adr=01 act=5A3046C1 exp=5A3046C1
8541.50ns INFO [00008543] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5
8542.50ns INFO [00008544] * RD COMPARE * port=1 adr=1D act=6EA9D0F4 exp=6EA9D0F4
8542.50ns INFO [00008544] Port=0 RD @0B
8543.50ns INFO [00008545] Port=0 WR @0B=A0E705AC
8544.50ns INFO [00008546] * RD COMPARE * port=0 adr=0B act=1AE38D67 exp=1AE38D67
8544.50ns INFO [00008546] Port=1 RD @01
8545.50ns INFO [00008547] Port=0 WR @19=CA3EC899
8545.50ns INFO [00008547] Port=1 RD @15
8546.50ns INFO [00008548] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1
8546.50ns INFO [00008548] Port=0 WR @15=DB1BE0B0
8546.50ns INFO [00008548] Port=1 RD @1A
8547.50ns INFO [00008549] * RD COMPARE * port=1 adr=15 act=F5F5BD75 exp=F5F5BD75
8547.50ns INFO [00008549] Port=1 RD @04
8548.50ns INFO [00008550] * RD COMPARE * port=1 adr=1A act=D5EC69F9 exp=D5EC69F9
8549.50ns INFO [00008551] * RD COMPARE * port=1 adr=04 act=DDCFCBF6 exp=DDCFCBF6
8550.50ns INFO [00008552] Port=1 RD @02
8552.50ns INFO [00008554] * RD COMPARE * port=1 adr=02 act=92A07E9F exp=92A07E9F
8552.50ns INFO [00008554] Port=0 RD @0F
8554.50ns INFO [00008556] * RD COMPARE * port=0 adr=0F act=0C2980A0 exp=0C2980A0
8554.50ns INFO [00008556] Port=0 RD @1E
8555.50ns INFO [00008557] Port=0 WR @0D=F6BDFF91
8555.50ns INFO [00008557] Port=0 RD @1F
8556.50ns INFO [00008558] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F
8557.50ns INFO [00008559] * RD COMPARE * port=0 adr=1F act=47FDF39E exp=47FDF39E
8558.50ns INFO [00008560] Port=0 WR @16=0D188765
8559.50ns INFO [00008561] Port=0 RD @19
8560.50ns INFO [00008562] Port=0 RD @02
8560.50ns INFO [00008562] Port=1 RD @17
8561.50ns INFO [00008563] * RD COMPARE * port=0 adr=19 act=CA3EC899 exp=CA3EC899
8561.50ns INFO [00008563] Port=1 RD @1F
8562.50ns INFO [00008564] * RD COMPARE * port=0 adr=02 act=92A07E9F exp=92A07E9F
8562.50ns INFO [00008564] * RD COMPARE * port=1 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8562.50ns INFO [00008564] Port=1 RD @19
8563.50ns INFO [00008565] * RD COMPARE * port=1 adr=1F act=47FDF39E exp=47FDF39E
8564.50ns INFO [00008566] * RD COMPARE * port=1 adr=19 act=CA3EC899 exp=CA3EC899
8565.50ns INFO [00008567] Port=0 WR @09=6C7C4046
8565.50ns INFO [00008567] Port=1 RD @02
8566.50ns INFO [00008568] Port=0 WR @12=431AD11B
8566.50ns INFO [00008568] Port=0 RD @14
8567.50ns INFO [00008569] * RD COMPARE * port=1 adr=02 act=92A07E9F exp=92A07E9F
8567.50ns INFO [00008569] Port=1 RD @00
8568.50ns INFO [00008570] * RD COMPARE * port=0 adr=14 act=489D1218 exp=489D1218
8568.50ns INFO [00008570] Port=0 RD @0C
8569.50ns INFO [00008571] * RD COMPARE * port=1 adr=00 act=DDC76FD5 exp=DDC76FD5
8569.50ns INFO [00008571] Port=0 WR @1A=6E15B9A5
8569.50ns INFO [00008571] Port=1 RD @1F
8570.50ns INFO [00008572] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6
8570.50ns INFO [00008572] Port=0 WR @19=BA869BD6
8570.50ns INFO [00008572] Port=1 RD @01
8571.50ns INFO [00008573] * RD COMPARE * port=1 adr=1F act=47FDF39E exp=47FDF39E
8571.50ns INFO [00008573] Port=0 WR @14=331D776E
8571.50ns INFO [00008573] Port=0 RD @0F
8571.50ns INFO [00008573] Port=1 RD @06
8572.50ns INFO [00008574] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1
8572.50ns INFO [00008574] Port=0 WR @13=37EFC57A
8572.50ns INFO [00008574] Port=0 RD @09
8573.50ns INFO [00008575] * RD COMPARE * port=0 adr=0F act=0C2980A0 exp=0C2980A0
8573.50ns INFO [00008575] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8573.50ns INFO [00008575] Port=0 WR @12=50E66264
8574.50ns INFO [00008576] * RD COMPARE * port=0 adr=09 act=6C7C4046 exp=6C7C4046
8574.50ns INFO [00008576] Port=0 WR @1D=2BC20EEE
8574.50ns INFO [00008576] Port=0 RD @0C
8574.50ns INFO [00008576] Port=1 RD @1C
8575.50ns INFO [00008577] Port=0 WR @12=36557873
8575.50ns INFO [00008577] Port=1 RD @03
8576.50ns INFO [00008578] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6
8576.50ns INFO [00008578] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8576.50ns INFO [00008578] Port=0 WR @02=5F770930
8576.50ns INFO [00008578] Port=0 RD @17
8577.50ns INFO [00008579] * RD COMPARE * port=1 adr=03 act=013A95D2 exp=013A95D2
8577.50ns INFO [00008579] Port=0 RD @01
8578.50ns INFO [00008580] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8578.50ns INFO [00008580] Port=0 WR @10=D26D483B
8579.50ns INFO [00008581] * RD COMPARE * port=0 adr=01 act=5A3046C1 exp=5A3046C1
8580.50ns INFO [00008582] Port=0 WR @12=E6B3D6B2
8580.50ns INFO [00008582] Port=0 RD @0C
8581.50ns INFO [00008583] Port=0 WR @0B=9C23C147
8582.50ns INFO [00008584] * RD COMPARE * port=0 adr=0C act=2EDE26C6 exp=2EDE26C6
8582.50ns INFO [00008584] Port=0 RD @0D
8583.50ns INFO [00008585] Port=1 RD @0E
8584.50ns INFO [00008586] * RD COMPARE * port=0 adr=0D act=F6BDFF91 exp=F6BDFF91
8584.50ns INFO [00008586] Port=0 WR @04=87EC81AA
8584.50ns INFO [00008586] Port=1 RD @11
8585.50ns INFO [00008587] * RD COMPARE * port=1 adr=0E act=931ABDFC exp=931ABDFC
8585.50ns INFO [00008587] Port=0 RD @11
8585.50ns INFO [00008587] Port=1 RD @11
8586.50ns INFO [00008588] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC
8586.50ns INFO [00008588] Port=0 WR @12=A671AFA2
8587.50ns INFO [00008589] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8587.50ns INFO [00008589] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC
8587.50ns INFO [00008589] Port=1 RD @1A
8588.50ns INFO [00008590] Port=0 WR @1F=A126E40D
8589.50ns INFO [00008591] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5
8590.50ns INFO [00008592] Port=0 WR @00=5C087E79
8590.50ns INFO [00008592] Port=1 RD @0C
8592.50ns INFO [00008594] * RD COMPARE * port=1 adr=0C act=2EDE26C6 exp=2EDE26C6
8594.50ns INFO [00008596] Port=0 WR @16=14BD5D9A
8594.50ns INFO [00008596] Port=0 RD @0B
8594.50ns INFO [00008596] Port=1 RD @14
8595.50ns INFO [00008597] Port=0 RD @1B
8595.50ns INFO [00008597] Port=1 RD @1C
8596.50ns INFO [00008598] * RD COMPARE * port=0 adr=0B act=9C23C147 exp=9C23C147
8596.50ns INFO [00008598] * RD COMPARE * port=1 adr=14 act=331D776E exp=331D776E
8597.50ns INFO [00008599] * RD COMPARE * port=0 adr=1B act=076BE86D exp=076BE86D
8597.50ns INFO [00008599] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8597.50ns INFO [00008599] Port=0 RD @06
8598.00ns INFO [00008600] [00008600] ...tick...
8598.50ns INFO [00008600] Port=0 RD @11
8599.50ns INFO [00008601] * RD COMPARE * port=0 adr=06 act=65B969E3 exp=65B969E3
8599.50ns INFO [00008601] Port=0 RD @1E
8600.50ns INFO [00008602] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8600.50ns INFO [00008602] Port=0 WR @05=823105C6
8600.50ns INFO [00008602] Port=1 RD @17
8601.50ns INFO [00008603] * RD COMPARE * port=0 adr=1E act=D4CA0D0F exp=D4CA0D0F
8601.50ns INFO [00008603] Port=1 RD @0F
8602.50ns INFO [00008604] * RD COMPARE * port=1 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8602.50ns INFO [00008604] Port=0 WR @0D=34A00D61
8603.50ns INFO [00008605] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0
8603.50ns INFO [00008605] Port=1 RD @1A
8604.50ns INFO [00008606] Port=1 RD @0C
8605.50ns INFO [00008607] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5
8605.50ns INFO [00008607] Port=0 WR @0C=A3AC3A71
8606.50ns INFO [00008608] * RD COMPARE * port=1 adr=0C act=2EDE26C6 exp=2EDE26C6
8606.50ns INFO [00008608] Port=0 RD @16
8606.50ns INFO [00008608] Port=1 RD @12
8607.50ns INFO [00008609] Port=0 RD @05
8608.50ns INFO [00008610] * RD COMPARE * port=0 adr=16 act=14BD5D9A exp=14BD5D9A
8608.50ns INFO [00008610] * RD COMPARE * port=1 adr=12 act=A671AFA2 exp=A671AFA2
8608.50ns INFO [00008610] Port=0 RD @11
8608.50ns INFO [00008610] Port=1 RD @06
8609.50ns INFO [00008611] * RD COMPARE * port=0 adr=05 act=823105C6 exp=823105C6
8609.50ns INFO [00008611] Port=0 RD @03
8610.50ns INFO [00008612] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8610.50ns INFO [00008612] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8610.50ns INFO [00008612] Port=0 WR @18=AF568F8D
8610.50ns INFO [00008612] Port=0 RD @11
8610.50ns INFO [00008612] Port=1 RD @1E
8611.50ns INFO [00008613] * RD COMPARE * port=0 adr=03 act=013A95D2 exp=013A95D2
8611.50ns INFO [00008613] Port=1 RD @0F
8612.50ns INFO [00008614] * RD COMPARE * port=0 adr=11 act=787984BC exp=787984BC
8612.50ns INFO [00008614] * RD COMPARE * port=1 adr=1E act=D4CA0D0F exp=D4CA0D0F
8612.50ns INFO [00008614] Port=0 RD @0B
8612.50ns INFO [00008614] Port=1 RD @16
8613.50ns INFO [00008615] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0
8614.50ns INFO [00008616] * RD COMPARE * port=0 adr=0B act=9C23C147 exp=9C23C147
8614.50ns INFO [00008616] * RD COMPARE * port=1 adr=16 act=14BD5D9A exp=14BD5D9A
8615.50ns INFO [00008617] Port=0 WR @03=62CC9B2C
8615.50ns INFO [00008617] Port=0 RD @1D
8616.50ns INFO [00008618] Port=0 WR @10=3891DBEF
8616.50ns INFO [00008618] Port=0 RD @0E
8616.50ns INFO [00008618] Port=1 RD @1F
8617.50ns INFO [00008619] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE
8617.50ns INFO [00008619] Port=0 WR @13=9045CCDF
8617.50ns INFO [00008619] Port=0 RD @07
8617.50ns INFO [00008619] Port=1 RD @05
8618.50ns INFO [00008620] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC
8618.50ns INFO [00008620] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D
8618.50ns INFO [00008620] Port=0 WR @0C=DD84572E
8618.50ns INFO [00008620] Port=1 RD @0F
8619.50ns INFO [00008621] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455
8619.50ns INFO [00008621] * RD COMPARE * port=1 adr=05 act=823105C6 exp=823105C6
8619.50ns INFO [00008621] Port=0 RD @0A
8619.50ns INFO [00008621] Port=1 RD @15
8620.50ns INFO [00008622] * RD COMPARE * port=1 adr=0F act=0C2980A0 exp=0C2980A0
8620.50ns INFO [00008622] Port=0 WR @19=48B53068
8620.50ns INFO [00008622] Port=0 RD @1D
8621.50ns INFO [00008623] * RD COMPARE * port=0 adr=0A act=FDCFD910 exp=FDCFD910
8621.50ns INFO [00008623] * RD COMPARE * port=1 adr=15 act=DB1BE0B0 exp=DB1BE0B0
8621.50ns INFO [00008623] Port=0 RD @1C
8622.50ns INFO [00008624] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE
8622.50ns INFO [00008624] Port=0 WR @1E=E6F654B1
8623.50ns INFO [00008625] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8623.50ns INFO [00008625] Port=1 RD @16
8625.50ns INFO [00008627] * RD COMPARE * port=1 adr=16 act=14BD5D9A exp=14BD5D9A
8625.50ns INFO [00008627] Port=1 RD @02
8626.50ns INFO [00008628] Port=0 WR @1E=6DDD5D7C
8627.50ns INFO [00008629] * RD COMPARE * port=1 adr=02 act=5F770930 exp=5F770930
8627.50ns INFO [00008629] Port=1 RD @0C
8628.50ns INFO [00008630] Port=0 WR @04=B7FBD459
8628.50ns INFO [00008630] Port=1 RD @09
8629.50ns INFO [00008631] * RD COMPARE * port=1 adr=0C act=DD84572E exp=DD84572E
8629.50ns INFO [00008631] Port=1 RD @03
8630.50ns INFO [00008632] * RD COMPARE * port=1 adr=09 act=6C7C4046 exp=6C7C4046
8630.50ns INFO [00008632] Port=0 RD @17
8631.50ns INFO [00008633] * RD COMPARE * port=1 adr=03 act=62CC9B2C exp=62CC9B2C
8631.50ns INFO [00008633] Port=1 RD @1A
8632.50ns INFO [00008634] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8632.50ns INFO [00008634] Port=0 WR @1A=AE5222CD
8632.50ns INFO [00008634] Port=0 RD @10
8633.50ns INFO [00008635] * RD COMPARE * port=1 adr=1A act=6E15B9A5 exp=6E15B9A5
8633.50ns INFO [00008635] Port=0 RD @07
8634.50ns INFO [00008636] * RD COMPARE * port=0 adr=10 act=3891DBEF exp=3891DBEF
8635.50ns INFO [00008637] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455
8635.50ns INFO [00008637] Port=0 WR @0B=92C1CB19
8636.50ns INFO [00008638] Port=0 RD @17
8636.50ns INFO [00008638] Port=1 RD @09
8637.50ns INFO [00008639] Port=0 WR @1A=0C09343D
8638.50ns INFO [00008640] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8638.50ns INFO [00008640] * RD COMPARE * port=1 adr=09 act=6C7C4046 exp=6C7C4046
8640.50ns INFO [00008642] Port=1 RD @1D
8641.50ns INFO [00008643] Port=0 RD @09
8641.50ns INFO [00008643] Port=1 RD @13
8642.50ns INFO [00008644] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE
8642.50ns INFO [00008644] Port=0 WR @14=FA3C465F
8642.50ns INFO [00008644] Port=0 RD @1C
8643.50ns INFO [00008645] * RD COMPARE * port=0 adr=09 act=6C7C4046 exp=6C7C4046
8643.50ns INFO [00008645] * RD COMPARE * port=1 adr=13 act=9045CCDF exp=9045CCDF
8643.50ns INFO [00008645] Port=0 WR @16=28EB18C5
8643.50ns INFO [00008645] Port=0 RD @1A
8643.50ns INFO [00008645] Port=1 RD @11
8644.50ns INFO [00008646] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8644.50ns INFO [00008646] Port=0 WR @16=622BCDFE
8645.50ns INFO [00008647] * RD COMPARE * port=0 adr=1A act=0C09343D exp=0C09343D
8645.50ns INFO [00008647] * RD COMPARE * port=1 adr=11 act=787984BC exp=787984BC
8647.50ns INFO [00008649] Port=1 RD @14
8648.50ns INFO [00008650] Port=0 WR @02=FF84D872
8648.50ns INFO [00008650] Port=0 RD @1B
8648.50ns INFO [00008650] Port=1 RD @06
8649.50ns INFO [00008651] * RD COMPARE * port=1 adr=14 act=FA3C465F exp=FA3C465F
8649.50ns INFO [00008651] Port=0 RD @1F
8650.50ns INFO [00008652] * RD COMPARE * port=0 adr=1B act=076BE86D exp=076BE86D
8650.50ns INFO [00008652] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8650.50ns INFO [00008652] Port=0 RD @1A
8650.50ns INFO [00008652] Port=1 RD @13
8651.50ns INFO [00008653] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D
8651.50ns INFO [00008653] Port=0 WR @15=2E974981
8652.50ns INFO [00008654] * RD COMPARE * port=0 adr=1A act=0C09343D exp=0C09343D
8652.50ns INFO [00008654] * RD COMPARE * port=1 adr=13 act=9045CCDF exp=9045CCDF
8652.50ns INFO [00008654] Port=1 RD @15
8653.50ns INFO [00008655] Port=0 WR @11=D89DF4F5
8653.50ns INFO [00008655] Port=1 RD @0A
8654.50ns INFO [00008656] * RD COMPARE * port=1 adr=15 act=2E974981 exp=2E974981
8654.50ns INFO [00008656] Port=0 RD @1C
8655.50ns INFO [00008657] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910
8655.50ns INFO [00008657] Port=0 RD @02
8655.50ns INFO [00008657] Port=1 RD @1C
8656.50ns INFO [00008658] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8656.50ns INFO [00008658] Port=0 WR @0F=833AAD95
8657.50ns INFO [00008659] * RD COMPARE * port=0 adr=02 act=FF84D872 exp=FF84D872
8657.50ns INFO [00008659] * RD COMPARE * port=1 adr=1C act=C4A09D6B exp=C4A09D6B
8657.50ns INFO [00008659] Port=0 WR @05=FCF8728A
8657.50ns INFO [00008659] Port=0 RD @1C
8658.50ns INFO [00008660] Port=1 RD @1E
8659.50ns INFO [00008661] * RD COMPARE * port=0 adr=1C act=C4A09D6B exp=C4A09D6B
8660.50ns INFO [00008662] * RD COMPARE * port=1 adr=1E act=6DDD5D7C exp=6DDD5D7C
8660.50ns INFO [00008662] Port=0 WR @1C=E7E47796
8660.50ns INFO [00008662] Port=1 RD @1F
8662.50ns INFO [00008664] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D
8662.50ns INFO [00008664] Port=0 RD @1F
8662.50ns INFO [00008664] Port=1 RD @07
8663.50ns INFO [00008665] Port=0 RD @10
8664.50ns INFO [00008666] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D
8664.50ns INFO [00008666] * RD COMPARE * port=1 adr=07 act=3588A455 exp=3588A455
8664.50ns INFO [00008666] Port=0 WR @0F=A01D340B
8664.50ns INFO [00008666] Port=1 RD @0A
8665.50ns INFO [00008667] * RD COMPARE * port=0 adr=10 act=3891DBEF exp=3891DBEF
8665.50ns INFO [00008667] Port=0 WR @0B=E054A7DA
8665.50ns INFO [00008667] Port=1 RD @1D
8666.50ns INFO [00008668] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910
8667.50ns INFO [00008669] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE
8667.50ns INFO [00008669] Port=0 WR @09=D665F66C
8667.50ns INFO [00008669] Port=1 RD @1D
8669.50ns INFO [00008671] * RD COMPARE * port=1 adr=1D act=2BC20EEE exp=2BC20EEE
8669.50ns INFO [00008671] Port=0 WR @15=8D227838
8669.50ns INFO [00008671] Port=1 RD @18
8670.50ns INFO [00008672] Port=0 RD @04
8670.50ns INFO [00008672] Port=1 RD @16
8671.50ns INFO [00008673] * RD COMPARE * port=1 adr=18 act=AF568F8D exp=AF568F8D
8671.50ns INFO [00008673] Port=1 RD @0A
8672.50ns INFO [00008674] * RD COMPARE * port=0 adr=04 act=B7FBD459 exp=B7FBD459
8672.50ns INFO [00008674] * RD COMPARE * port=1 adr=16 act=622BCDFE exp=622BCDFE
8672.50ns INFO [00008674] Port=0 RD @06
8673.50ns INFO [00008675] * RD COMPARE * port=1 adr=0A act=FDCFD910 exp=FDCFD910
8673.50ns INFO [00008675] Port=0 WR @13=ABA537E9
8673.50ns INFO [00008675] Port=0 RD @14
8674.50ns INFO [00008676] * RD COMPARE * port=0 adr=06 act=65B969E3 exp=65B969E3
8674.50ns INFO [00008676] Port=0 WR @13=BB62C04C
8674.50ns INFO [00008676] Port=0 RD @03
8674.50ns INFO [00008676] Port=1 RD @01
8675.50ns INFO [00008677] * RD COMPARE * port=0 adr=14 act=FA3C465F exp=FA3C465F
8675.50ns INFO [00008677] Port=0 WR @1A=DBBB99D0
8676.50ns INFO [00008678] * RD COMPARE * port=0 adr=03 act=62CC9B2C exp=62CC9B2C
8676.50ns INFO [00008678] * RD COMPARE * port=1 adr=01 act=5A3046C1 exp=5A3046C1
8676.50ns INFO [00008678] Port=0 WR @09=12828489
8676.50ns INFO [00008678] Port=1 RD @00
8677.50ns INFO [00008679] Port=0 RD @0E
8677.50ns INFO [00008679] Port=1 RD @13
8678.50ns INFO [00008680] * RD COMPARE * port=1 adr=00 act=5C087E79 exp=5C087E79
8678.50ns INFO [00008680] Port=1 RD @0F
8679.50ns INFO [00008681] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC
8679.50ns INFO [00008681] * RD COMPARE * port=1 adr=13 act=BB62C04C exp=BB62C04C
8679.50ns INFO [00008681] Port=0 RD @0C
8680.50ns INFO [00008682] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8681.50ns INFO [00008683] * RD COMPARE * port=0 adr=0C act=DD84572E exp=DD84572E
8682.50ns INFO [00008684] Port=0 RD @17
8684.50ns INFO [00008686] * RD COMPARE * port=0 adr=17 act=FDE6E7A6 exp=FDE6E7A6
8684.50ns INFO [00008686] Port=0 WR @0C=2C9047B1
8684.50ns INFO [00008686] Port=0 RD @07
8684.50ns INFO [00008686] Port=1 RD @0F
8685.50ns INFO [00008687] Port=0 RD @00
8686.50ns INFO [00008688] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455
8686.50ns INFO [00008688] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8686.50ns INFO [00008688] Port=0 WR @1C=5C25DDBA
8687.50ns INFO [00008689] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79
8687.50ns INFO [00008689] Port=0 WR @09=6BB270FA
8687.50ns INFO [00008689] Port=0 RD @15
8687.50ns INFO [00008689] Port=1 RD @12
8689.50ns INFO [00008691] * RD COMPARE * port=0 adr=15 act=8D227838 exp=8D227838
8689.50ns INFO [00008691] * RD COMPARE * port=1 adr=12 act=A671AFA2 exp=A671AFA2
8689.50ns INFO [00008691] Port=0 RD @1D
8690.50ns INFO [00008692] Port=0 WR @08=73D14788
8690.50ns INFO [00008692] Port=0 RD @1E
8691.50ns INFO [00008693] * RD COMPARE * port=0 adr=1D act=2BC20EEE exp=2BC20EEE
8691.50ns INFO [00008693] Port=0 WR @0A=1BE72ED5
8692.50ns INFO [00008694] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C
8693.50ns INFO [00008695] Port=0 WR @09=C6F9D514
8694.50ns INFO [00008696] Port=0 WR @17=49FB65B5
8696.50ns INFO [00008698] Port=0 RD @02
8696.50ns INFO [00008698] Port=1 RD @0D
8698.00ns INFO [00008700] [00008700] ...tick...
8698.50ns INFO [00008700] * RD COMPARE * port=0 adr=02 act=FF84D872 exp=FF84D872
8698.50ns INFO [00008700] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61
8698.50ns INFO [00008700] Port=1 RD @0F
8699.50ns INFO [00008701] Port=0 WR @16=1A1F8093
8699.50ns INFO [00008701] Port=0 RD @1E
8699.50ns INFO [00008701] Port=1 RD @0C
8700.50ns INFO [00008702] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8700.50ns INFO [00008702] Port=0 RD @1E
8701.50ns INFO [00008703] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C
8701.50ns INFO [00008703] * RD COMPARE * port=1 adr=0C act=2C9047B1 exp=2C9047B1
8701.50ns INFO [00008703] Port=1 RD @13
8702.50ns INFO [00008704] * RD COMPARE * port=0 adr=1E act=6DDD5D7C exp=6DDD5D7C
8702.50ns INFO [00008704] Port=0 WR @10=D735F677
8702.50ns INFO [00008704] Port=0 RD @1A
8703.50ns INFO [00008705] * RD COMPARE * port=1 adr=13 act=BB62C04C exp=BB62C04C
8703.50ns INFO [00008705] Port=0 WR @1D=ADFD25D6
8703.50ns INFO [00008705] Port=1 RD @06
8704.50ns INFO [00008706] * RD COMPARE * port=0 adr=1A act=DBBB99D0 exp=DBBB99D0
8704.50ns INFO [00008706] Port=0 WR @04=AE374818
8705.50ns INFO [00008707] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8705.50ns INFO [00008707] Port=0 WR @1E=06E9AC60
8705.50ns INFO [00008707] Port=1 RD @0F
8707.50ns INFO [00008709] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8707.50ns INFO [00008709] Port=0 WR @15=19A58CC0
8708.50ns INFO [00008710] Port=0 WR @18=D8B1524A
8710.50ns INFO [00008712] Port=0 WR @01=6741471F
8710.50ns INFO [00008712] Port=0 RD @18
8710.50ns INFO [00008712] Port=1 RD @1E
8711.50ns INFO [00008713] Port=0 WR @19=3A4C0385
8712.50ns INFO [00008714] * RD COMPARE * port=0 adr=18 act=D8B1524A exp=D8B1524A
8712.50ns INFO [00008714] * RD COMPARE * port=1 adr=1E act=06E9AC60 exp=06E9AC60
8712.50ns INFO [00008714] Port=0 RD @19
8712.50ns INFO [00008714] Port=1 RD @1A
8714.50ns INFO [00008716] * RD COMPARE * port=0 adr=19 act=3A4C0385 exp=3A4C0385
8714.50ns INFO [00008716] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0
8714.50ns INFO [00008716] Port=0 WR @0A=6B9C9A37
8715.50ns INFO [00008717] Port=0 RD @1C
8715.50ns INFO [00008717] Port=1 RD @1A
8716.50ns INFO [00008718] Port=0 WR @02=C3BCBF50
8717.50ns INFO [00008719] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA
8717.50ns INFO [00008719] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0
8717.50ns INFO [00008719] Port=0 WR @19=240D3BB7
8717.50ns INFO [00008719] Port=1 RD @1C
8718.50ns INFO [00008720] Port=0 RD @09
8719.50ns INFO [00008721] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA
8719.50ns INFO [00008721] Port=1 RD @0D
8720.50ns INFO [00008722] * RD COMPARE * port=0 adr=09 act=C6F9D514 exp=C6F9D514
8721.50ns INFO [00008723] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61
8721.50ns INFO [00008723] Port=0 WR @17=0A6701DC
8723.50ns INFO [00008725] Port=0 RD @1F
8724.50ns INFO [00008726] Port=0 WR @08=5D34DA2E
8724.50ns INFO [00008726] Port=0 RD @02
8725.50ns INFO [00008727] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D
8725.50ns INFO [00008727] Port=0 RD @18
8726.50ns INFO [00008728] * RD COMPARE * port=0 adr=02 act=C3BCBF50 exp=C3BCBF50
8726.50ns INFO [00008728] Port=0 RD @03
8726.50ns INFO [00008728] Port=1 RD @02
8727.50ns INFO [00008729] * RD COMPARE * port=0 adr=18 act=D8B1524A exp=D8B1524A
8727.50ns INFO [00008729] Port=0 RD @05
8727.50ns INFO [00008729] Port=1 RD @06
8728.50ns INFO [00008730] * RD COMPARE * port=0 adr=03 act=62CC9B2C exp=62CC9B2C
8728.50ns INFO [00008730] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50
8728.50ns INFO [00008730] Port=0 RD @0E
8729.50ns INFO [00008731] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8729.50ns INFO [00008731] * RD COMPARE * port=1 adr=06 act=65B969E3 exp=65B969E3
8729.50ns INFO [00008731] Port=0 WR @13=68D9A4EE
8729.50ns INFO [00008731] Port=1 RD @04
8730.50ns INFO [00008732] * RD COMPARE * port=0 adr=0E act=931ABDFC exp=931ABDFC
8730.50ns INFO [00008732] Port=0 WR @12=78B4C82F
8730.50ns INFO [00008732] Port=1 RD @0C
8731.50ns INFO [00008733] * RD COMPARE * port=1 adr=04 act=AE374818 exp=AE374818
8731.50ns INFO [00008733] Port=0 WR @0A=0FFEBED1
8732.50ns INFO [00008734] * RD COMPARE * port=1 adr=0C act=2C9047B1 exp=2C9047B1
8732.50ns INFO [00008734] Port=0 WR @12=DCD987D8
8732.50ns INFO [00008734] Port=1 RD @15
8733.50ns INFO [00008735] Port=0 WR @06=D9039DDF
8733.50ns INFO [00008735] Port=0 RD @1E
8733.50ns INFO [00008735] Port=1 RD @18
8734.50ns INFO [00008736] * RD COMPARE * port=1 adr=15 act=19A58CC0 exp=19A58CC0
8735.50ns INFO [00008737] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60
8735.50ns INFO [00008737] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A
8735.50ns INFO [00008737] Port=0 WR @0E=162AE10B
8735.50ns INFO [00008737] Port=1 RD @02
8736.50ns INFO [00008738] Port=1 RD @1E
8737.50ns INFO [00008739] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50
8738.50ns INFO [00008740] * RD COMPARE * port=1 adr=1E act=06E9AC60 exp=06E9AC60
8738.50ns INFO [00008740] Port=0 WR @1B=7E11183B
8738.50ns INFO [00008740] Port=0 RD @08
8740.50ns INFO [00008742] * RD COMPARE * port=0 adr=08 act=5D34DA2E exp=5D34DA2E
8740.50ns INFO [00008742] Port=0 RD @1D
8740.50ns INFO [00008742] Port=1 RD @0D
8741.50ns INFO [00008743] Port=0 WR @1B=2500F939
8741.50ns INFO [00008743] Port=0 RD @14
8742.50ns INFO [00008744] * RD COMPARE * port=0 adr=1D act=ADFD25D6 exp=ADFD25D6
8742.50ns INFO [00008744] * RD COMPARE * port=1 adr=0D act=34A00D61 exp=34A00D61
8742.50ns INFO [00008744] Port=0 RD @10
8743.50ns INFO [00008745] * RD COMPARE * port=0 adr=14 act=FA3C465F exp=FA3C465F
8743.50ns INFO [00008745] Port=0 WR @0E=1C5777A9
8743.50ns INFO [00008745] Port=0 RD @0D
8743.50ns INFO [00008745] Port=1 RD @0F
8744.50ns INFO [00008746] * RD COMPARE * port=0 adr=10 act=D735F677 exp=D735F677
8744.50ns INFO [00008746] Port=0 WR @08=B6F7ABCC
8745.50ns INFO [00008747] * RD COMPARE * port=0 adr=0D act=34A00D61 exp=34A00D61
8745.50ns INFO [00008747] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8747.50ns INFO [00008749] Port=0 RD @0B
8749.50ns INFO [00008751] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA
8749.50ns INFO [00008751] Port=0 WR @10=FF829FA6
8749.50ns INFO [00008751] Port=0 RD @0A
8749.50ns INFO [00008751] Port=1 RD @0B
8750.50ns INFO [00008752] Port=0 WR @17=4EE36C56
8750.50ns INFO [00008752] Port=1 RD @1F
8751.50ns INFO [00008753] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
8751.50ns INFO [00008753] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA
8752.50ns INFO [00008754] * RD COMPARE * port=1 adr=1F act=A126E40D exp=A126E40D
8752.50ns INFO [00008754] Port=1 RD @0A
8753.50ns INFO [00008755] Port=0 RD @00
8753.50ns INFO [00008755] Port=1 RD @0F
8754.50ns INFO [00008756] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1
8754.50ns INFO [00008756] Port=0 WR @0F=206692B4
8754.50ns INFO [00008756] Port=0 RD @13
8755.50ns INFO [00008757] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79
8755.50ns INFO [00008757] * RD COMPARE * port=1 adr=0F act=A01D340B exp=A01D340B
8755.50ns INFO [00008757] Port=1 RD @18
8756.50ns INFO [00008758] * RD COMPARE * port=0 adr=13 act=68D9A4EE exp=68D9A4EE
8757.50ns INFO [00008759] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A
8757.50ns INFO [00008759] Port=0 WR @1D=E90B0812
8758.50ns INFO [00008760] Port=0 WR @0D=714DC3CF
8758.50ns INFO [00008760] Port=1 RD @18
8759.50ns INFO [00008761] Port=0 RD @1E
8760.50ns INFO [00008762] * RD COMPARE * port=1 adr=18 act=D8B1524A exp=D8B1524A
8760.50ns INFO [00008762] Port=0 RD @04
8761.50ns INFO [00008763] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60
8762.50ns INFO [00008764] * RD COMPARE * port=0 adr=04 act=AE374818 exp=AE374818
8762.50ns INFO [00008764] Port=0 RD @0E
8764.50ns INFO [00008766] * RD COMPARE * port=0 adr=0E act=1C5777A9 exp=1C5777A9
8765.50ns INFO [00008767] Port=0 RD @1F
8765.50ns INFO [00008767] Port=1 RD @0E
8767.50ns INFO [00008769] * RD COMPARE * port=0 adr=1F act=A126E40D exp=A126E40D
8767.50ns INFO [00008769] * RD COMPARE * port=1 adr=0E act=1C5777A9 exp=1C5777A9
8767.50ns INFO [00008769] Port=0 WR @18=47C813FD
8767.50ns INFO [00008769] Port=0 RD @0D
8767.50ns INFO [00008769] Port=1 RD @1B
8768.50ns INFO [00008770] Port=0 WR @0C=55813CBD
8768.50ns INFO [00008770] Port=0 RD @1E
8769.50ns INFO [00008771] * RD COMPARE * port=0 adr=0D act=714DC3CF exp=714DC3CF
8769.50ns INFO [00008771] * RD COMPARE * port=1 adr=1B act=2500F939 exp=2500F939
8769.50ns INFO [00008771] Port=0 WR @1F=0559E661
8769.50ns INFO [00008771] Port=0 RD @09
8770.50ns INFO [00008772] * RD COMPARE * port=0 adr=1E act=06E9AC60 exp=06E9AC60
8770.50ns INFO [00008772] Port=0 WR @0E=B6332E98
8770.50ns INFO [00008772] Port=1 RD @08
8771.50ns INFO [00008773] * RD COMPARE * port=0 adr=09 act=C6F9D514 exp=C6F9D514
8771.50ns INFO [00008773] Port=0 WR @17=7182175E
8772.50ns INFO [00008774] * RD COMPARE * port=1 adr=08 act=B6F7ABCC exp=B6F7ABCC
8772.50ns INFO [00008774] Port=0 RD @05
8772.50ns INFO [00008774] Port=1 RD @02
8774.50ns INFO [00008776] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8774.50ns INFO [00008776] * RD COMPARE * port=1 adr=02 act=C3BCBF50 exp=C3BCBF50
8775.50ns INFO [00008777] Port=0 WR @1E=736C15AA
8775.50ns INFO [00008777] Port=0 RD @15
8775.50ns INFO [00008777] Port=1 RD @19
8777.50ns INFO [00008779] * RD COMPARE * port=0 adr=15 act=19A58CC0 exp=19A58CC0
8777.50ns INFO [00008779] * RD COMPARE * port=1 adr=19 act=240D3BB7 exp=240D3BB7
8777.50ns INFO [00008779] Port=0 WR @08=F3BD116F
8779.50ns INFO [00008781] Port=0 WR @14=7F73B9E5
8779.50ns INFO [00008781] Port=0 RD @0A
8779.50ns INFO [00008781] Port=1 RD @1C
8780.50ns INFO [00008782] Port=0 WR @19=8CA6F23C
8780.50ns INFO [00008782] Port=0 RD @0B
8781.50ns INFO [00008783] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
8781.50ns INFO [00008783] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA
8781.50ns INFO [00008783] Port=0 RD @15
8782.50ns INFO [00008784] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA
8782.50ns INFO [00008784] Port=0 WR @17=46B60322
8783.50ns INFO [00008785] * RD COMPARE * port=0 adr=15 act=19A58CC0 exp=19A58CC0
8783.50ns INFO [00008785] Port=1 RD @0C
8784.50ns INFO [00008786] Port=0 RD @0D
8785.50ns INFO [00008787] * RD COMPARE * port=1 adr=0C act=55813CBD exp=55813CBD
8785.50ns INFO [00008787] Port=1 RD @00
8786.50ns INFO [00008788] * RD COMPARE * port=0 adr=0D act=714DC3CF exp=714DC3CF
8786.50ns INFO [00008788] Port=0 RD @0A
8787.50ns INFO [00008789] * RD COMPARE * port=1 adr=00 act=5C087E79 exp=5C087E79
8787.50ns INFO [00008789] Port=1 RD @0A
8788.50ns INFO [00008790] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
8788.50ns INFO [00008790] Port=1 RD @08
8789.50ns INFO [00008791] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1
8789.50ns INFO [00008791] Port=0 WR @1B=6AEB1256
8789.50ns INFO [00008791] Port=0 RD @04
8789.50ns INFO [00008791] Port=1 RD @1A
8790.50ns INFO [00008792] * RD COMPARE * port=1 adr=08 act=F3BD116F exp=F3BD116F
8790.50ns INFO [00008792] Port=0 RD @0F
8791.50ns INFO [00008793] * RD COMPARE * port=0 adr=04 act=AE374818 exp=AE374818
8791.50ns INFO [00008793] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0
8791.50ns INFO [00008793] Port=0 WR @03=5FCFFB28
8792.50ns INFO [00008794] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4
8792.50ns INFO [00008794] Port=0 WR @01=8F1D1277
8792.50ns INFO [00008794] Port=1 RD @1E
8793.50ns INFO [00008795] Port=0 WR @08=DB3CBD93
8793.50ns INFO [00008795] Port=0 RD @06
8794.50ns INFO [00008796] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8794.50ns INFO [00008796] Port=0 WR @1D=C9C60A07
8794.50ns INFO [00008796] Port=0 RD @05
8795.50ns INFO [00008797] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF
8795.50ns INFO [00008797] Port=1 RD @08
8796.50ns INFO [00008798] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8796.50ns INFO [00008798] Port=0 RD @00
8797.50ns INFO [00008799] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93
8798.00ns INFO [00008800] [00008800] ...tick...
8798.50ns INFO [00008800] * RD COMPARE * port=0 adr=00 act=5C087E79 exp=5C087E79
8799.50ns INFO [00008801] Port=1 RD @13
8801.50ns INFO [00008803] * RD COMPARE * port=1 adr=13 act=68D9A4EE exp=68D9A4EE
8801.50ns INFO [00008803] Port=1 RD @11
8802.50ns INFO [00008804] Port=0 WR @00=A9982EB3
8802.50ns INFO [00008804] Port=0 RD @1C
8802.50ns INFO [00008804] Port=1 RD @0E
8803.50ns INFO [00008805] * RD COMPARE * port=1 adr=11 act=D89DF4F5 exp=D89DF4F5
8803.50ns INFO [00008805] Port=0 RD @08
8804.50ns INFO [00008806] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA
8804.50ns INFO [00008806] * RD COMPARE * port=1 adr=0E act=B6332E98 exp=B6332E98
8804.50ns INFO [00008806] Port=0 WR @0E=B2D3AAE4
8804.50ns INFO [00008806] Port=1 RD @0F
8805.50ns INFO [00008807] * RD COMPARE * port=0 adr=08 act=DB3CBD93 exp=DB3CBD93
8806.50ns INFO [00008808] * RD COMPARE * port=1 adr=0F act=206692B4 exp=206692B4
8806.50ns INFO [00008808] Port=0 RD @07
8807.50ns INFO [00008809] Port=0 WR @07=09E7B556
8808.50ns INFO [00008810] * RD COMPARE * port=0 adr=07 act=3588A455 exp=3588A455
8808.50ns INFO [00008810] Port=0 WR @19=42F8FD41
8809.50ns INFO [00008811] Port=0 WR @0E=10F5C303
8809.50ns INFO [00008811] Port=1 RD @18
8810.50ns INFO [00008812] Port=1 RD @03
8811.50ns INFO [00008813] * RD COMPARE * port=1 adr=18 act=47C813FD exp=47C813FD
8812.50ns INFO [00008814] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28
8812.50ns INFO [00008814] Port=0 WR @02=3C671657
8813.50ns INFO [00008815] Port=1 RD @0B
8815.50ns INFO [00008817] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA
8819.50ns INFO [00008821] Port=0 RD @08
8820.50ns INFO [00008822] Port=0 RD @11
8821.50ns INFO [00008823] * RD COMPARE * port=0 adr=08 act=DB3CBD93 exp=DB3CBD93
8821.50ns INFO [00008823] Port=1 RD @14
8822.50ns INFO [00008824] * RD COMPARE * port=0 adr=11 act=D89DF4F5 exp=D89DF4F5
8822.50ns INFO [00008824] Port=0 WR @04=C32622D3
8822.50ns INFO [00008824] Port=0 RD @1F
8822.50ns INFO [00008824] Port=1 RD @1F
8823.50ns INFO [00008825] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5
8824.50ns INFO [00008826] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661
8824.50ns INFO [00008826] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661
8824.50ns INFO [00008826] Port=1 RD @05
8825.50ns INFO [00008827] Port=1 RD @0A
8826.50ns INFO [00008828] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8827.50ns INFO [00008829] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1
8827.50ns INFO [00008829] Port=0 RD @02
8827.50ns INFO [00008829] Port=1 RD @05
8828.50ns INFO [00008830] Port=0 WR @09=9C7D6902
8828.50ns INFO [00008830] Port=0 RD @02
8828.50ns INFO [00008830] Port=1 RD @0B
8829.50ns INFO [00008831] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657
8829.50ns INFO [00008831] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8829.50ns INFO [00008831] Port=0 WR @00=32B80729
8829.50ns INFO [00008831] Port=0 RD @1D
8829.50ns INFO [00008831] Port=1 RD @06
8830.50ns INFO [00008832] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657
8830.50ns INFO [00008832] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA
8830.50ns INFO [00008832] Port=0 WR @0C=AD9DCE2A
8830.50ns INFO [00008832] Port=0 RD @07
8831.50ns INFO [00008833] * RD COMPARE * port=0 adr=1D act=C9C60A07 exp=C9C60A07
8831.50ns INFO [00008833] * RD COMPARE * port=1 adr=06 act=D9039DDF exp=D9039DDF
8831.50ns INFO [00008833] Port=0 WR @0C=F82314F0
8832.50ns INFO [00008834] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556
8832.50ns INFO [00008834] Port=0 WR @0D=DFD15A1A
8832.50ns INFO [00008834] Port=1 RD @16
8833.50ns INFO [00008835] Port=0 WR @04=939568BF
8833.50ns INFO [00008835] Port=0 RD @05
8834.50ns INFO [00008836] * RD COMPARE * port=1 adr=16 act=1A1F8093 exp=1A1F8093
8834.50ns INFO [00008836] Port=1 RD @1F
8835.50ns INFO [00008837] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8836.50ns INFO [00008838] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661
8836.50ns INFO [00008838] Port=0 WR @15=5324ACDD
8836.50ns INFO [00008838] Port=0 RD @07
8837.50ns INFO [00008839] Port=0 WR @19=4BB69DED
8837.50ns INFO [00008839] Port=0 RD @00
8837.50ns INFO [00008839] Port=1 RD @1F
8838.50ns INFO [00008840] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556
8838.50ns INFO [00008840] Port=0 WR @0C=7C3FE4D4
8838.50ns INFO [00008840] Port=1 RD @16
8839.50ns INFO [00008841] * RD COMPARE * port=0 adr=00 act=32B80729 exp=32B80729
8839.50ns INFO [00008841] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661
8839.50ns INFO [00008841] Port=0 WR @04=506FAF8B
8839.50ns INFO [00008841] Port=1 RD @1F
8840.50ns INFO [00008842] * RD COMPARE * port=1 adr=16 act=1A1F8093 exp=1A1F8093
8840.50ns INFO [00008842] Port=1 RD @08
8841.50ns INFO [00008843] * RD COMPARE * port=1 adr=1F act=0559E661 exp=0559E661
8841.50ns INFO [00008843] Port=1 RD @05
8842.50ns INFO [00008844] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93
8842.50ns INFO [00008844] Port=0 WR @1D=6046373D
8842.50ns INFO [00008844] Port=1 RD @14
8843.50ns INFO [00008845] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8843.50ns INFO [00008845] Port=0 RD @1C
8844.50ns INFO [00008846] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5
8844.50ns INFO [00008846] Port=0 WR @1D=A837E181
8845.50ns INFO [00008847] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA
8846.50ns INFO [00008848] Port=0 WR @12=AD68A429
8846.50ns INFO [00008848] Port=0 RD @0A
8847.50ns INFO [00008849] Port=0 WR @0E=F5072388
8848.50ns INFO [00008850] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
8848.50ns INFO [00008850] Port=0 WR @19=5B357986
8848.50ns INFO [00008850] Port=0 RD @05
8848.50ns INFO [00008850] Port=1 RD @01
8850.50ns INFO [00008852] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8850.50ns INFO [00008852] * RD COMPARE * port=1 adr=01 act=8F1D1277 exp=8F1D1277
8851.50ns INFO [00008853] Port=1 RD @08
8852.50ns INFO [00008854] Port=0 RD @07
8852.50ns INFO [00008854] Port=1 RD @1B
8853.50ns INFO [00008855] * RD COMPARE * port=1 adr=08 act=DB3CBD93 exp=DB3CBD93
8853.50ns INFO [00008855] Port=0 WR @19=78181ED4
8853.50ns INFO [00008855] Port=1 RD @1E
8854.50ns INFO [00008856] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556
8854.50ns INFO [00008856] * RD COMPARE * port=1 adr=1B act=6AEB1256 exp=6AEB1256
8854.50ns INFO [00008856] Port=0 RD @0F
8854.50ns INFO [00008856] Port=1 RD @14
8855.50ns INFO [00008857] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8856.50ns INFO [00008858] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4
8856.50ns INFO [00008858] * RD COMPARE * port=1 adr=14 act=7F73B9E5 exp=7F73B9E5
8857.50ns INFO [00008859] Port=0 RD @1A
8859.50ns INFO [00008861] * RD COMPARE * port=0 adr=1A act=DBBB99D0 exp=DBBB99D0
8859.50ns INFO [00008861] Port=0 RD @0B
8860.50ns INFO [00008862] Port=1 RD @07
8861.50ns INFO [00008863] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA
8861.50ns INFO [00008863] Port=0 WR @10=0FA21A27
8861.50ns INFO [00008863] Port=0 RD @0D
8862.50ns INFO [00008864] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
8862.50ns INFO [00008864] Port=0 WR @09=66EBCA02
8863.50ns INFO [00008865] * RD COMPARE * port=0 adr=0D act=DFD15A1A exp=DFD15A1A
8863.50ns INFO [00008865] Port=0 WR @1B=9A193F2B
8863.50ns INFO [00008865] Port=1 RD @09
8864.50ns INFO [00008866] Port=0 RD @0F
8865.50ns INFO [00008867] * RD COMPARE * port=1 adr=09 act=66EBCA02 exp=66EBCA02
8865.50ns INFO [00008867] Port=0 RD @0F
8865.50ns INFO [00008867] Port=1 RD @15
8866.50ns INFO [00008868] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4
8866.50ns INFO [00008868] Port=0 RD @1D
8867.50ns INFO [00008869] * RD COMPARE * port=0 adr=0F act=206692B4 exp=206692B4
8867.50ns INFO [00008869] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD
8867.50ns INFO [00008869] Port=0 WR @17=C5C35555
8867.50ns INFO [00008869] Port=1 RD @05
8868.50ns INFO [00008870] * RD COMPARE * port=0 adr=1D act=A837E181 exp=A837E181
8868.50ns INFO [00008870] Port=0 WR @1B=08EB72F5
8868.50ns INFO [00008870] Port=0 RD @01
8868.50ns INFO [00008870] Port=1 RD @11
8869.50ns INFO [00008871] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8870.50ns INFO [00008872] * RD COMPARE * port=0 adr=01 act=8F1D1277 exp=8F1D1277
8870.50ns INFO [00008872] * RD COMPARE * port=1 adr=11 act=D89DF4F5 exp=D89DF4F5
8870.50ns INFO [00008872] Port=0 RD @1D
8871.50ns INFO [00008873] Port=0 WR @12=D020F869
8871.50ns INFO [00008873] Port=1 RD @1C
8872.50ns INFO [00008874] * RD COMPARE * port=0 adr=1D act=A837E181 exp=A837E181
8873.50ns INFO [00008875] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA
8873.50ns INFO [00008875] Port=1 RD @1A
8874.50ns INFO [00008876] Port=1 RD @1E
8875.50ns INFO [00008877] * RD COMPARE * port=1 adr=1A act=DBBB99D0 exp=DBBB99D0
8876.50ns INFO [00008878] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8876.50ns INFO [00008878] Port=0 RD @11
8877.50ns INFO [00008879] Port=0 RD @02
8878.50ns INFO [00008880] * RD COMPARE * port=0 adr=11 act=D89DF4F5 exp=D89DF4F5
8878.50ns INFO [00008880] Port=1 RD @0C
8879.50ns INFO [00008881] * RD COMPARE * port=0 adr=02 act=3C671657 exp=3C671657
8879.50ns INFO [00008881] Port=0 RD @00
8880.50ns INFO [00008882] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4
8880.50ns INFO [00008882] Port=0 RD @1C
8881.50ns INFO [00008883] * RD COMPARE * port=0 adr=00 act=32B80729 exp=32B80729
8882.50ns INFO [00008884] * RD COMPARE * port=0 adr=1C act=5C25DDBA exp=5C25DDBA
8882.50ns INFO [00008884] Port=0 WR @16=EE49DF2B
8882.50ns INFO [00008884] Port=0 RD @18
8883.50ns INFO [00008885] Port=1 RD @17
8884.50ns INFO [00008886] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD
8885.50ns INFO [00008887] * RD COMPARE * port=1 adr=17 act=C5C35555 exp=C5C35555
8885.50ns INFO [00008887] Port=0 WR @14=3AC915D6
8885.50ns INFO [00008887] Port=1 RD @1C
8886.50ns INFO [00008888] Port=1 RD @07
8887.50ns INFO [00008889] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA
8887.50ns INFO [00008889] Port=0 WR @08=37C1805C
8888.50ns INFO [00008890] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
8888.50ns INFO [00008890] Port=0 RD @18
8888.50ns INFO [00008890] Port=1 RD @10
8889.50ns INFO [00008891] Port=0 RD @01
8890.50ns INFO [00008892] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD
8890.50ns INFO [00008892] * RD COMPARE * port=1 adr=10 act=0FA21A27 exp=0FA21A27
8890.50ns INFO [00008892] Port=0 WR @0E=1AE49EE2
8891.50ns INFO [00008893] * RD COMPARE * port=0 adr=01 act=8F1D1277 exp=8F1D1277
8891.50ns INFO [00008893] Port=0 WR @1A=D0AC2082
8892.50ns INFO [00008894] Port=0 RD @09
8893.50ns INFO [00008895] Port=0 WR @0F=8FC1D6DD
8894.50ns INFO [00008896] * RD COMPARE * port=0 adr=09 act=66EBCA02 exp=66EBCA02
8894.50ns INFO [00008896] Port=0 WR @14=059EB400
8894.50ns INFO [00008896] Port=0 RD @1F
8894.50ns INFO [00008896] Port=1 RD @0E
8895.50ns INFO [00008897] Port=0 RD @17
8895.50ns INFO [00008897] Port=1 RD @0C
8896.50ns INFO [00008898] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661
8896.50ns INFO [00008898] * RD COMPARE * port=1 adr=0E act=1AE49EE2 exp=1AE49EE2
8896.50ns INFO [00008898] Port=0 WR @16=E2ABA253
8897.50ns INFO [00008899] * RD COMPARE * port=0 adr=17 act=C5C35555 exp=C5C35555
8897.50ns INFO [00008899] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4
8897.50ns INFO [00008899] Port=0 RD @1F
8898.00ns INFO [00008900] [00008900] ...tick...
8899.50ns INFO [00008901] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661
8899.50ns INFO [00008901] Port=0 WR @01=C22FF916
8901.50ns INFO [00008903] Port=0 RD @05
8901.50ns INFO [00008903] Port=1 RD @1C
8902.50ns INFO [00008904] Port=0 RD @15
8902.50ns INFO [00008904] Port=1 RD @02
8903.50ns INFO [00008905] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8903.50ns INFO [00008905] * RD COMPARE * port=1 adr=1C act=5C25DDBA exp=5C25DDBA
8904.50ns INFO [00008906] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD
8904.50ns INFO [00008906] * RD COMPARE * port=1 adr=02 act=3C671657 exp=3C671657
8904.50ns INFO [00008906] Port=0 WR @1C=B76DA931
8904.50ns INFO [00008906] Port=1 RD @15
8905.50ns INFO [00008907] Port=0 WR @11=7E3312F2
8905.50ns INFO [00008907] Port=1 RD @04
8906.50ns INFO [00008908] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD
8906.50ns INFO [00008908] Port=0 WR @19=73C6A1CD
8907.50ns INFO [00008909] * RD COMPARE * port=1 adr=04 act=506FAF8B exp=506FAF8B
8907.50ns INFO [00008909] Port=0 WR @00=26E9A0EA
8908.50ns INFO [00008910] Port=1 RD @11
8909.50ns INFO [00008911] Port=0 WR @1B=8F2FFF08
8910.50ns INFO [00008912] * RD COMPARE * port=1 adr=11 act=7E3312F2 exp=7E3312F2
8910.50ns INFO [00008912] Port=0 RD @0B
8911.50ns INFO [00008913] Port=1 RD @14
8912.50ns INFO [00008914] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA
8912.50ns INFO [00008914] Port=0 RD @05
8912.50ns INFO [00008914] Port=1 RD @15
8913.50ns INFO [00008915] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400
8913.50ns INFO [00008915] Port=0 WR @13=B0B195CD
8913.50ns INFO [00008915] Port=0 RD @18
8913.50ns INFO [00008915] Port=1 RD @17
8914.50ns INFO [00008916] * RD COMPARE * port=0 adr=05 act=FCF8728A exp=FCF8728A
8914.50ns INFO [00008916] * RD COMPARE * port=1 adr=15 act=5324ACDD exp=5324ACDD
8914.50ns INFO [00008916] Port=0 WR @02=00DD90E1
8914.50ns INFO [00008916] Port=0 RD @15
8915.50ns INFO [00008917] * RD COMPARE * port=0 adr=18 act=47C813FD exp=47C813FD
8915.50ns INFO [00008917] * RD COMPARE * port=1 adr=17 act=C5C35555 exp=C5C35555
8916.50ns INFO [00008918] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD
8918.50ns INFO [00008920] Port=0 WR @16=EBC0DBB3
8919.50ns INFO [00008921] Port=0 RD @1F
8919.50ns INFO [00008921] Port=1 RD @0F
8920.50ns INFO [00008922] Port=0 WR @1C=64412596
8920.50ns INFO [00008922] Port=0 RD @08
8921.50ns INFO [00008923] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661
8921.50ns INFO [00008923] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD
8921.50ns INFO [00008923] Port=0 RD @15
8922.50ns INFO [00008924] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C
8922.50ns INFO [00008924] Port=0 WR @09=A74159F6
8922.50ns INFO [00008924] Port=0 RD @14
8922.50ns INFO [00008924] Port=1 RD @18
8923.50ns INFO [00008925] * RD COMPARE * port=0 adr=15 act=5324ACDD exp=5324ACDD
8923.50ns INFO [00008925] Port=1 RD @05
8924.50ns INFO [00008926] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400
8924.50ns INFO [00008926] * RD COMPARE * port=1 adr=18 act=47C813FD exp=47C813FD
8924.50ns INFO [00008926] Port=0 WR @17=C6806D66
8924.50ns INFO [00008926] Port=0 RD @12
8925.50ns INFO [00008927] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8926.50ns INFO [00008928] * RD COMPARE * port=0 adr=12 act=D020F869 exp=D020F869
8927.50ns INFO [00008929] Port=0 WR @10=42FC4504
8927.50ns INFO [00008929] Port=0 RD @1E
8927.50ns INFO [00008929] Port=1 RD @1B
8928.50ns INFO [00008930] Port=0 WR @15=74BAA7BE
8928.50ns INFO [00008930] Port=1 RD @0D
8929.50ns INFO [00008931] * RD COMPARE * port=0 adr=1E act=736C15AA exp=736C15AA
8929.50ns INFO [00008931] * RD COMPARE * port=1 adr=1B act=8F2FFF08 exp=8F2FFF08
8929.50ns INFO [00008931] Port=0 RD @0F
8930.50ns INFO [00008932] * RD COMPARE * port=1 adr=0D act=DFD15A1A exp=DFD15A1A
8930.50ns INFO [00008932] Port=0 RD @02
8930.50ns INFO [00008932] Port=1 RD @1E
8931.50ns INFO [00008933] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD
8931.50ns INFO [00008933] Port=0 RD @1B
8932.50ns INFO [00008934] * RD COMPARE * port=0 adr=02 act=00DD90E1 exp=00DD90E1
8932.50ns INFO [00008934] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8932.50ns INFO [00008934] Port=1 RD @00
8933.50ns INFO [00008935] * RD COMPARE * port=0 adr=1B act=8F2FFF08 exp=8F2FFF08
8933.50ns INFO [00008935] Port=0 WR @1D=F3491973
8933.50ns INFO [00008935] Port=1 RD @17
8934.50ns INFO [00008936] * RD COMPARE * port=1 adr=00 act=26E9A0EA exp=26E9A0EA
8934.50ns INFO [00008936] Port=1 RD @05
8935.50ns INFO [00008937] * RD COMPARE * port=1 adr=17 act=C6806D66 exp=C6806D66
8936.50ns INFO [00008938] * RD COMPARE * port=1 adr=05 act=FCF8728A exp=FCF8728A
8937.50ns INFO [00008939] Port=0 RD @09
8939.50ns INFO [00008941] * RD COMPARE * port=0 adr=09 act=A74159F6 exp=A74159F6
8939.50ns INFO [00008941] Port=0 WR @1B=9EE1910B
8941.50ns INFO [00008943] Port=0 RD @1F
8942.50ns INFO [00008944] Port=0 RD @11
8942.50ns INFO [00008944] Port=1 RD @13
8943.50ns INFO [00008945] * RD COMPARE * port=0 adr=1F act=0559E661 exp=0559E661
8943.50ns INFO [00008945] Port=0 WR @18=3793A64E
8943.50ns INFO [00008945] Port=0 RD @03
8943.50ns INFO [00008945] Port=1 RD @0D
8944.50ns INFO [00008946] * RD COMPARE * port=0 adr=11 act=7E3312F2 exp=7E3312F2
8944.50ns INFO [00008946] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD
8944.50ns INFO [00008946] Port=0 WR @15=77401361
8944.50ns INFO [00008946] Port=0 RD @14
8945.50ns INFO [00008947] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28
8945.50ns INFO [00008947] * RD COMPARE * port=1 adr=0D act=DFD15A1A exp=DFD15A1A
8945.50ns INFO [00008947] Port=0 WR @19=3F0103F3
8945.50ns INFO [00008947] Port=1 RD @1E
8946.50ns INFO [00008948] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400
8946.50ns INFO [00008948] Port=0 WR @1A=B590392D
8947.50ns INFO [00008949] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8947.50ns INFO [00008949] Port=0 WR @12=12DE28A4
8949.50ns INFO [00008951] Port=1 RD @1C
8950.50ns INFO [00008952] Port=0 WR @1A=4FCC0943
8950.50ns INFO [00008952] Port=0 RD @1C
8951.50ns INFO [00008953] * RD COMPARE * port=1 adr=1C act=64412596 exp=64412596
8951.50ns INFO [00008953] Port=0 WR @11=15A4D29A
8952.50ns INFO [00008954] * RD COMPARE * port=0 adr=1C act=64412596 exp=64412596
8952.50ns INFO [00008954] Port=0 RD @03
8954.50ns INFO [00008956] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28
8954.50ns INFO [00008956] Port=0 RD @08
8954.50ns INFO [00008956] Port=1 RD @15
8955.50ns INFO [00008957] Port=0 RD @00
8956.50ns INFO [00008958] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C
8956.50ns INFO [00008958] * RD COMPARE * port=1 adr=15 act=77401361 exp=77401361
8956.50ns INFO [00008958] Port=0 RD @0B
8957.50ns INFO [00008959] * RD COMPARE * port=0 adr=00 act=26E9A0EA exp=26E9A0EA
8957.50ns INFO [00008959] Port=0 WR @02=7BF6AA37
8958.50ns INFO [00008960] * RD COMPARE * port=0 adr=0B act=E054A7DA exp=E054A7DA
8960.50ns INFO [00008962] Port=0 WR @05=0292D04F
8960.50ns INFO [00008962] Port=0 RD @06
8960.50ns INFO [00008962] Port=1 RD @13
8961.50ns INFO [00008963] Port=0 RD @0D
8962.50ns INFO [00008964] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF
8962.50ns INFO [00008964] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD
8962.50ns INFO [00008964] Port=1 RD @1B
8963.50ns INFO [00008965] * RD COMPARE * port=0 adr=0D act=DFD15A1A exp=DFD15A1A
8963.50ns INFO [00008965] Port=0 RD @10
8964.50ns INFO [00008966] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
8964.50ns INFO [00008966] Port=0 WR @0E=B5DE31A2
8964.50ns INFO [00008966] Port=0 RD @11
8964.50ns INFO [00008966] Port=1 RD @0A
8965.50ns INFO [00008967] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504
8965.50ns INFO [00008967] Port=0 RD @04
8966.50ns INFO [00008968] * RD COMPARE * port=0 adr=11 act=15A4D29A exp=15A4D29A
8966.50ns INFO [00008968] * RD COMPARE * port=1 adr=0A act=0FFEBED1 exp=0FFEBED1
8967.50ns INFO [00008969] * RD COMPARE * port=0 adr=04 act=506FAF8B exp=506FAF8B
8967.50ns INFO [00008969] Port=0 WR @1D=FCA34BF9
8967.50ns INFO [00008969] Port=0 RD @18
8968.50ns INFO [00008970] Port=0 WR @05=AD7E33E8
8969.50ns INFO [00008971] * RD COMPARE * port=0 adr=18 act=3793A64E exp=3793A64E
8969.50ns INFO [00008971] Port=1 RD @0B
8970.50ns INFO [00008972] Port=0 WR @00=4896DC92
8971.50ns INFO [00008973] * RD COMPARE * port=1 adr=0B act=E054A7DA exp=E054A7DA
8971.50ns INFO [00008973] Port=0 RD @10
8973.50ns INFO [00008975] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504
8973.50ns INFO [00008975] Port=0 WR @04=1B6DBE6B
8974.50ns INFO [00008976] Port=0 WR @19=66F58A89
8974.50ns INFO [00008976] Port=0 RD @04
8975.50ns INFO [00008977] Port=0 WR @12=5B9F0270
8976.50ns INFO [00008978] * RD COMPARE * port=0 adr=04 act=1B6DBE6B exp=1B6DBE6B
8976.50ns INFO [00008978] Port=0 RD @0E
8976.50ns INFO [00008978] Port=1 RD @1B
8978.50ns INFO [00008980] * RD COMPARE * port=0 adr=0E act=B5DE31A2 exp=B5DE31A2
8978.50ns INFO [00008980] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
8978.50ns INFO [00008980] Port=0 WR @0B=4F4C4567
8979.50ns INFO [00008981] Port=0 WR @1C=9577DB11
8980.50ns INFO [00008982] Port=0 WR @16=2C231613
8981.50ns INFO [00008983] Port=0 WR @05=D52D63C3
8982.50ns INFO [00008984] Port=0 WR @12=2DB2910C
8982.50ns INFO [00008984] Port=0 RD @06
8982.50ns INFO [00008984] Port=1 RD @09
8983.50ns INFO [00008985] Port=0 RD @1D
8983.50ns INFO [00008985] Port=1 RD @07
8984.50ns INFO [00008986] * RD COMPARE * port=0 adr=06 act=D9039DDF exp=D9039DDF
8984.50ns INFO [00008986] * RD COMPARE * port=1 adr=09 act=A74159F6 exp=A74159F6
8984.50ns INFO [00008986] Port=0 WR @00=F27E3260
8984.50ns INFO [00008986] Port=1 RD @10
8985.50ns INFO [00008987] * RD COMPARE * port=0 adr=1D act=FCA34BF9 exp=FCA34BF9
8985.50ns INFO [00008987] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
8985.50ns INFO [00008987] Port=0 RD @0F
8986.50ns INFO [00008988] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504
8986.50ns INFO [00008988] Port=0 WR @1D=D58DB752
8987.50ns INFO [00008989] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD
8987.50ns INFO [00008989] Port=0 WR @1C=E941986C
8988.50ns INFO [00008990] Port=0 WR @0E=8E6967DC
8988.50ns INFO [00008990] Port=0 RD @18
8989.50ns INFO [00008991] Port=1 RD @14
8990.50ns INFO [00008992] * RD COMPARE * port=0 adr=18 act=3793A64E exp=3793A64E
8990.50ns INFO [00008992] Port=1 RD @1E
8991.50ns INFO [00008993] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400
8992.50ns INFO [00008994] * RD COMPARE * port=1 adr=1E act=736C15AA exp=736C15AA
8992.50ns INFO [00008994] Port=0 RD @02
8993.50ns INFO [00008995] Port=1 RD @14
8994.50ns INFO [00008996] * RD COMPARE * port=0 adr=02 act=7BF6AA37 exp=7BF6AA37
8994.50ns INFO [00008996] Port=0 RD @1D
8994.50ns INFO [00008996] Port=1 RD @15
8995.50ns INFO [00008997] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400
8995.50ns INFO [00008997] Port=0 RD @12
8995.50ns INFO [00008997] Port=1 RD @04
8996.50ns INFO [00008998] * RD COMPARE * port=0 adr=1D act=D58DB752 exp=D58DB752
8996.50ns INFO [00008998] * RD COMPARE * port=1 adr=15 act=77401361 exp=77401361
8996.50ns INFO [00008998] Port=0 WR @18=0A546785
8996.50ns INFO [00008998] Port=0 RD @19
8997.50ns INFO [00008999] * RD COMPARE * port=0 adr=12 act=2DB2910C exp=2DB2910C
8997.50ns INFO [00008999] * RD COMPARE * port=1 adr=04 act=1B6DBE6B exp=1B6DBE6B
8997.50ns INFO [00008999] Port=0 WR @15=AA24D820
8997.50ns INFO [00008999] Port=1 RD @05
8998.00ns INFO [00009000] [00009000] ...tick...
8998.50ns INFO [00009000] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
8998.50ns INFO [00009000] Port=0 WR @1F=FECDBE30
8999.50ns INFO [00009001] * RD COMPARE * port=1 adr=05 act=D52D63C3 exp=D52D63C3
8999.50ns INFO [00009001] Port=0 RD @1A
8999.50ns INFO [00009001] Port=1 RD @1F
9000.50ns INFO [00009002] Port=0 RD @0E
9000.50ns INFO [00009002] Port=1 RD @08
9001.50ns INFO [00009003] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943
9001.50ns INFO [00009003] * RD COMPARE * port=1 adr=1F act=FECDBE30 exp=FECDBE30
9002.50ns INFO [00009004] * RD COMPARE * port=0 adr=0E act=8E6967DC exp=8E6967DC
9002.50ns INFO [00009004] * RD COMPARE * port=1 adr=08 act=37C1805C exp=37C1805C
9003.50ns INFO [00009005] Port=0 RD @18
9004.50ns INFO [00009006] Port=0 WR @0E=589E342F
9004.50ns INFO [00009006] Port=1 RD @0C
9005.50ns INFO [00009007] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785
9006.50ns INFO [00009008] * RD COMPARE * port=1 adr=0C act=7C3FE4D4 exp=7C3FE4D4
9006.50ns INFO [00009008] Port=0 RD @0A
9006.50ns INFO [00009008] Port=1 RD @1B
9007.50ns INFO [00009009] Port=1 RD @0F
9008.50ns INFO [00009010] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
9008.50ns INFO [00009010] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
9008.50ns INFO [00009010] Port=0 WR @1F=9C785D4C
9008.50ns INFO [00009010] Port=1 RD @00
9009.50ns INFO [00009011] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD
9009.50ns INFO [00009011] Port=0 RD @14
9009.50ns INFO [00009011] Port=1 RD @14
9010.50ns INFO [00009012] * RD COMPARE * port=1 adr=00 act=F27E3260 exp=F27E3260
9011.50ns INFO [00009013] * RD COMPARE * port=0 adr=14 act=059EB400 exp=059EB400
9011.50ns INFO [00009013] * RD COMPARE * port=1 adr=14 act=059EB400 exp=059EB400
9011.50ns INFO [00009013] Port=0 WR @02=3EB0EA70
9011.50ns INFO [00009013] Port=0 RD @08
9012.50ns INFO [00009014] Port=1 RD @00
9013.50ns INFO [00009015] * RD COMPARE * port=0 adr=08 act=37C1805C exp=37C1805C
9013.50ns INFO [00009015] Port=1 RD @13
9014.50ns INFO [00009016] * RD COMPARE * port=1 adr=00 act=F27E3260 exp=F27E3260
9014.50ns INFO [00009016] Port=1 RD @1B
9015.50ns INFO [00009017] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD
9015.50ns INFO [00009017] Port=0 WR @04=0E286849
9015.50ns INFO [00009017] Port=0 RD @15
9016.50ns INFO [00009018] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
9016.50ns INFO [00009018] Port=0 WR @0E=CCD63BB7
9017.50ns INFO [00009019] * RD COMPARE * port=0 adr=15 act=AA24D820 exp=AA24D820
9017.50ns INFO [00009019] Port=0 WR @1C=78CB9407
9017.50ns INFO [00009019] Port=0 RD @0B
9017.50ns INFO [00009019] Port=1 RD @1D
9018.50ns INFO [00009020] Port=0 WR @14=B956770B
9019.50ns INFO [00009021] * RD COMPARE * port=0 adr=0B act=4F4C4567 exp=4F4C4567
9019.50ns INFO [00009021] * RD COMPARE * port=1 adr=1D act=D58DB752 exp=D58DB752
9019.50ns INFO [00009021] Port=0 RD @13
9019.50ns INFO [00009021] Port=1 RD @06
9020.50ns INFO [00009022] Port=0 WR @0C=3F2D45D8
9020.50ns INFO [00009022] Port=0 RD @03
9021.50ns INFO [00009023] * RD COMPARE * port=0 adr=13 act=B0B195CD exp=B0B195CD
9021.50ns INFO [00009023] * RD COMPARE * port=1 adr=06 act=D9039DDF exp=D9039DDF
9021.50ns INFO [00009023] Port=0 RD @11
9022.50ns INFO [00009024] * RD COMPARE * port=0 adr=03 act=5FCFFB28 exp=5FCFFB28
9022.50ns INFO [00009024] Port=1 RD @13
9023.50ns INFO [00009025] * RD COMPARE * port=0 adr=11 act=15A4D29A exp=15A4D29A
9023.50ns INFO [00009025] Port=0 RD @14
9024.50ns INFO [00009026] * RD COMPARE * port=1 adr=13 act=B0B195CD exp=B0B195CD
9024.50ns INFO [00009026] Port=0 RD @18
9025.50ns INFO [00009027] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B
9025.50ns INFO [00009027] Port=0 WR @08=3254A830
9026.50ns INFO [00009028] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785
9026.50ns INFO [00009028] Port=0 RD @19
9027.50ns INFO [00009029] Port=0 RD @15
9028.50ns INFO [00009030] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9028.50ns INFO [00009030] Port=0 WR @06=F924E502
9028.50ns INFO [00009030] Port=0 RD @19
9029.50ns INFO [00009031] * RD COMPARE * port=0 adr=15 act=AA24D820 exp=AA24D820
9029.50ns INFO [00009031] Port=0 WR @0E=C1A09A60
9030.50ns INFO [00009032] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9030.50ns INFO [00009032] Port=0 WR @04=79A5ABD5
9030.50ns INFO [00009032] Port=0 RD @1F
9030.50ns INFO [00009032] Port=1 RD @14
9031.50ns INFO [00009033] Port=0 RD @0A
9031.50ns INFO [00009033] Port=1 RD @14
9032.50ns INFO [00009034] * RD COMPARE * port=0 adr=1F act=9C785D4C exp=9C785D4C
9032.50ns INFO [00009034] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B
9032.50ns INFO [00009034] Port=0 WR @05=76C1685B
9032.50ns INFO [00009034] Port=0 RD @08
9032.50ns INFO [00009034] Port=1 RD @19
9033.50ns INFO [00009035] * RD COMPARE * port=0 adr=0A act=0FFEBED1 exp=0FFEBED1
9033.50ns INFO [00009035] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B
9033.50ns INFO [00009035] Port=0 WR @0E=B54F19B3
9033.50ns INFO [00009035] Port=0 RD @16
9033.50ns INFO [00009035] Port=1 RD @02
9034.50ns INFO [00009036] * RD COMPARE * port=0 adr=08 act=3254A830 exp=3254A830
9034.50ns INFO [00009036] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9035.50ns INFO [00009037] * RD COMPARE * port=0 adr=16 act=2C231613 exp=2C231613
9035.50ns INFO [00009037] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70
9035.50ns INFO [00009037] Port=0 RD @10
9035.50ns INFO [00009037] Port=1 RD @08
9036.50ns INFO [00009038] Port=0 WR @0E=E0048421
9036.50ns INFO [00009038] Port=1 RD @03
9037.50ns INFO [00009039] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504
9037.50ns INFO [00009039] * RD COMPARE * port=1 adr=08 act=3254A830 exp=3254A830
9037.50ns INFO [00009039] Port=0 RD @1B
9038.50ns INFO [00009040] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28
9038.50ns INFO [00009040] Port=0 WR @1F=3F5993A9
9039.50ns INFO [00009041] * RD COMPARE * port=0 adr=1B act=9EE1910B exp=9EE1910B
9039.50ns INFO [00009041] Port=0 WR @0D=F74F6686
9039.50ns INFO [00009041] Port=0 RD @14
9040.50ns INFO [00009042] Port=0 WR @05=DB360F68
9040.50ns INFO [00009042] Port=0 RD @01
9041.50ns INFO [00009043] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B
9042.50ns INFO [00009044] * RD COMPARE * port=0 adr=01 act=C22FF916 exp=C22FF916
9042.50ns INFO [00009044] Port=0 WR @17=377462AC
9042.50ns INFO [00009044] Port=0 RD @1D
9044.50ns INFO [00009046] * RD COMPARE * port=0 adr=1D act=D58DB752 exp=D58DB752
9045.50ns INFO [00009047] Port=0 WR @11=79BFF3E1
9046.50ns INFO [00009048] Port=0 WR @0E=A534150E
9046.50ns INFO [00009048] Port=0 RD @11
9047.50ns INFO [00009049] Port=0 RD @0C
9047.50ns INFO [00009049] Port=1 RD @0B
9048.50ns INFO [00009050] * RD COMPARE * port=0 adr=11 act=79BFF3E1 exp=79BFF3E1
9048.50ns INFO [00009050] Port=0 WR @0A=3357D090
9048.50ns INFO [00009050] Port=0 RD @18
9049.50ns INFO [00009051] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8
9049.50ns INFO [00009051] * RD COMPARE * port=1 adr=0B act=4F4C4567 exp=4F4C4567
9050.50ns INFO [00009052] * RD COMPARE * port=0 adr=18 act=0A546785 exp=0A546785
9050.50ns INFO [00009052] Port=0 WR @0B=4DC9EB0B
9051.50ns INFO [00009053] Port=0 WR @09=9CEFDF2B
9052.50ns INFO [00009054] Port=0 WR @1F=09D3D5FF
9052.50ns INFO [00009054] Port=1 RD @1B
9053.50ns INFO [00009055] Port=0 WR @00=991950CC
9053.50ns INFO [00009055] Port=1 RD @07
9054.50ns INFO [00009056] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
9055.50ns INFO [00009057] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
9056.50ns INFO [00009058] Port=1 RD @19
9057.50ns INFO [00009059] Port=0 WR @0D=E170497F
9057.50ns INFO [00009059] Port=0 RD @09
9057.50ns INFO [00009059] Port=1 RD @07
9058.50ns INFO [00009060] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9058.50ns INFO [00009060] Port=0 WR @00=3A1B1D57
9058.50ns INFO [00009060] Port=1 RD @1B
9059.50ns INFO [00009061] * RD COMPARE * port=0 adr=09 act=9CEFDF2B exp=9CEFDF2B
9059.50ns INFO [00009061] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
9059.50ns INFO [00009061] Port=0 RD @1A
9060.50ns INFO [00009062] * RD COMPARE * port=1 adr=1B act=9EE1910B exp=9EE1910B
9061.50ns INFO [00009063] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943
9061.50ns INFO [00009063] Port=0 WR @09=E4740A3D
9061.50ns INFO [00009063] Port=0 RD @0B
9062.50ns INFO [00009064] Port=0 WR @15=2E0DD7C9
9063.50ns INFO [00009065] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9063.50ns INFO [00009065] Port=0 RD @04
9064.50ns INFO [00009066] Port=0 WR @08=EAD059F9
9065.50ns INFO [00009067] * RD COMPARE * port=0 adr=04 act=79A5ABD5 exp=79A5ABD5
9065.50ns INFO [00009067] Port=1 RD @03
9066.50ns INFO [00009068] Port=0 WR @13=0A567329
9066.50ns INFO [00009068] Port=0 RD @1A
9067.50ns INFO [00009069] * RD COMPARE * port=1 adr=03 act=5FCFFB28 exp=5FCFFB28
9067.50ns INFO [00009069] Port=0 WR @03=10104C33
9067.50ns INFO [00009069] Port=0 RD @11
9068.50ns INFO [00009070] * RD COMPARE * port=0 adr=1A act=4FCC0943 exp=4FCC0943
9068.50ns INFO [00009070] Port=0 RD @1F
9068.50ns INFO [00009070] Port=1 RD @1A
9069.50ns INFO [00009071] * RD COMPARE * port=0 adr=11 act=79BFF3E1 exp=79BFF3E1
9069.50ns INFO [00009071] Port=0 WR @06=C53808B9
9070.50ns INFO [00009072] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF
9070.50ns INFO [00009072] * RD COMPARE * port=1 adr=1A act=4FCC0943 exp=4FCC0943
9070.50ns INFO [00009072] Port=0 RD @1E
9071.50ns INFO [00009073] Port=1 RD @0C
9072.50ns INFO [00009074] * RD COMPARE * port=0 adr=1E act=736C15AA exp=736C15AA
9072.50ns INFO [00009074] Port=0 RD @15
9073.50ns INFO [00009075] * RD COMPARE * port=1 adr=0C act=3F2D45D8 exp=3F2D45D8
9073.50ns INFO [00009075] Port=0 WR @1B=B28D5820
9073.50ns INFO [00009075] Port=0 RD @17
9073.50ns INFO [00009075] Port=1 RD @10
9074.50ns INFO [00009076] * RD COMPARE * port=0 adr=15 act=2E0DD7C9 exp=2E0DD7C9
9074.50ns INFO [00009076] Port=0 RD @07
9074.50ns INFO [00009076] Port=1 RD @0E
9075.50ns INFO [00009077] * RD COMPARE * port=0 adr=17 act=377462AC exp=377462AC
9075.50ns INFO [00009077] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504
9075.50ns INFO [00009077] Port=1 RD @1D
9076.50ns INFO [00009078] * RD COMPARE * port=0 adr=07 act=09E7B556 exp=09E7B556
9076.50ns INFO [00009078] * RD COMPARE * port=1 adr=0E act=A534150E exp=A534150E
9076.50ns INFO [00009078] Port=0 RD @1B
9076.50ns INFO [00009078] Port=1 RD @00
9077.50ns INFO [00009079] * RD COMPARE * port=1 adr=1D act=D58DB752 exp=D58DB752
9078.50ns INFO [00009080] * RD COMPARE * port=0 adr=1B act=B28D5820 exp=B28D5820
9078.50ns INFO [00009080] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57
9078.50ns INFO [00009080] Port=0 WR @1E=136CB07D
9079.50ns INFO [00009081] Port=0 WR @1A=10834AEE
9080.50ns INFO [00009082] Port=0 WR @04=2CA8CEE0
9080.50ns INFO [00009082] Port=1 RD @11
9081.50ns INFO [00009083] Port=0 WR @1D=E1B287A0
9082.50ns INFO [00009084] * RD COMPARE * port=1 adr=11 act=79BFF3E1 exp=79BFF3E1
9082.50ns INFO [00009084] Port=0 WR @15=17235D27
9082.50ns INFO [00009084] Port=1 RD @04
9083.50ns INFO [00009085] Port=0 RD @1B
9084.50ns INFO [00009086] * RD COMPARE * port=1 adr=04 act=2CA8CEE0 exp=2CA8CEE0
9084.50ns INFO [00009086] Port=1 RD @1F
9085.50ns INFO [00009087] * RD COMPARE * port=0 adr=1B act=B28D5820 exp=B28D5820
9085.50ns INFO [00009087] Port=0 WR @12=8C7B5FDF
9086.50ns INFO [00009088] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9086.50ns INFO [00009088] Port=1 RD @15
9087.50ns INFO [00009089] Port=0 WR @03=9AD22711
9088.50ns INFO [00009090] * RD COMPARE * port=1 adr=15 act=17235D27 exp=17235D27
9089.50ns INFO [00009091] Port=0 WR @03=91750463
9089.50ns INFO [00009091] Port=0 RD @13
9091.50ns INFO [00009093] * RD COMPARE * port=0 adr=13 act=0A567329 exp=0A567329
9091.50ns INFO [00009093] Port=0 WR @0D=8A2758F6
9091.50ns INFO [00009093] Port=0 RD @03
9091.50ns INFO [00009093] Port=1 RD @0F
9092.50ns INFO [00009094] Port=1 RD @0A
9093.50ns INFO [00009095] * RD COMPARE * port=0 adr=03 act=91750463 exp=91750463
9093.50ns INFO [00009095] * RD COMPARE * port=1 adr=0F act=8FC1D6DD exp=8FC1D6DD
9093.50ns INFO [00009095] Port=0 WR @05=20DDCE71
9093.50ns INFO [00009095] Port=0 RD @0A
9094.50ns INFO [00009096] * RD COMPARE * port=1 adr=0A act=3357D090 exp=3357D090
9094.50ns INFO [00009096] Port=0 RD @0F
9095.50ns INFO [00009097] * RD COMPARE * port=0 adr=0A act=3357D090 exp=3357D090
9095.50ns INFO [00009097] Port=0 WR @11=DD2832AA
9095.50ns INFO [00009097] Port=1 RD @01
9096.50ns INFO [00009098] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD
9096.50ns INFO [00009098] Port=1 RD @10
9097.50ns INFO [00009099] * RD COMPARE * port=1 adr=01 act=C22FF916 exp=C22FF916
9097.50ns INFO [00009099] Port=0 RD @17
9097.50ns INFO [00009099] Port=1 RD @16
9098.00ns INFO [00009100] [00009100] ...tick...
9098.50ns INFO [00009100] * RD COMPARE * port=1 adr=10 act=42FC4504 exp=42FC4504
9098.50ns INFO [00009100] Port=0 WR @1A=D1BE2BFA
9098.50ns INFO [00009100] Port=0 RD @10
9098.50ns INFO [00009100] Port=1 RD @02
9099.50ns INFO [00009101] * RD COMPARE * port=0 adr=17 act=377462AC exp=377462AC
9099.50ns INFO [00009101] * RD COMPARE * port=1 adr=16 act=2C231613 exp=2C231613
9099.50ns INFO [00009101] Port=0 RD @1D
9099.50ns INFO [00009101] Port=1 RD @12
9100.50ns INFO [00009102] * RD COMPARE * port=0 adr=10 act=42FC4504 exp=42FC4504
9100.50ns INFO [00009102] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70
9100.50ns INFO [00009102] Port=0 WR @16=81D2CC1D
9101.50ns INFO [00009103] * RD COMPARE * port=0 adr=1D act=E1B287A0 exp=E1B287A0
9101.50ns INFO [00009103] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9101.50ns INFO [00009103] Port=0 WR @09=DF56555C
9101.50ns INFO [00009103] Port=1 RD @12
9103.50ns INFO [00009105] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9103.50ns INFO [00009105] Port=1 RD @05
9104.50ns INFO [00009106] Port=0 RD @06
9105.50ns INFO [00009107] * RD COMPARE * port=1 adr=05 act=20DDCE71 exp=20DDCE71
9105.50ns INFO [00009107] Port=0 RD @1A
9106.50ns INFO [00009108] * RD COMPARE * port=0 adr=06 act=C53808B9 exp=C53808B9
9106.50ns INFO [00009108] Port=0 WR @10=6E002F3F
9107.50ns INFO [00009109] * RD COMPARE * port=0 adr=1A act=D1BE2BFA exp=D1BE2BFA
9107.50ns INFO [00009109] Port=0 WR @15=0BF49AA2
9107.50ns INFO [00009109] Port=0 RD @16
9108.50ns INFO [00009110] Port=1 RD @0E
9109.50ns INFO [00009111] * RD COMPARE * port=0 adr=16 act=81D2CC1D exp=81D2CC1D
9109.50ns INFO [00009111] Port=0 WR @05=BD469BA9
9110.50ns INFO [00009112] * RD COMPARE * port=1 adr=0E act=A534150E exp=A534150E
9113.50ns INFO [00009115] Port=0 RD @12
9113.50ns INFO [00009115] Port=1 RD @06
9114.50ns INFO [00009116] Port=0 RD @0F
9114.50ns INFO [00009116] Port=1 RD @12
9115.50ns INFO [00009117] * RD COMPARE * port=0 adr=12 act=8C7B5FDF exp=8C7B5FDF
9115.50ns INFO [00009117] * RD COMPARE * port=1 adr=06 act=C53808B9 exp=C53808B9
9115.50ns INFO [00009117] Port=0 RD @0E
9116.50ns INFO [00009118] * RD COMPARE * port=0 adr=0F act=8FC1D6DD exp=8FC1D6DD
9116.50ns INFO [00009118] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9116.50ns INFO [00009118] Port=0 RD @00
9117.50ns INFO [00009119] * RD COMPARE * port=0 adr=0E act=A534150E exp=A534150E
9118.50ns INFO [00009120] * RD COMPARE * port=0 adr=00 act=3A1B1D57 exp=3A1B1D57
9118.50ns INFO [00009120] Port=0 WR @0F=AAAF80B2
9118.50ns INFO [00009120] Port=1 RD @00
9120.50ns INFO [00009122] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57
9120.50ns INFO [00009122] Port=0 WR @08=8957C136
9120.50ns INFO [00009122] Port=0 RD @1D
9122.50ns INFO [00009124] * RD COMPARE * port=0 adr=1D act=E1B287A0 exp=E1B287A0
9122.50ns INFO [00009124] Port=0 WR @0F=DA4CE665
9122.50ns INFO [00009124] Port=0 RD @0D
9124.50ns INFO [00009126] * RD COMPARE * port=0 adr=0D act=8A2758F6 exp=8A2758F6
9124.50ns INFO [00009126] Port=0 WR @0E=E70BD1B3
9124.50ns INFO [00009126] Port=1 RD @02
9126.50ns INFO [00009128] * RD COMPARE * port=1 adr=02 act=3EB0EA70 exp=3EB0EA70
9128.50ns INFO [00009130] Port=0 RD @01
9130.50ns INFO [00009132] * RD COMPARE * port=0 adr=01 act=C22FF916 exp=C22FF916
9130.50ns INFO [00009132] Port=0 RD @0D
9130.50ns INFO [00009132] Port=1 RD @1B
9131.50ns INFO [00009133] Port=0 WR @13=82B8F6DF
9131.50ns INFO [00009133] Port=0 RD @19
9132.50ns INFO [00009134] * RD COMPARE * port=0 adr=0D act=8A2758F6 exp=8A2758F6
9132.50ns INFO [00009134] * RD COMPARE * port=1 adr=1B act=B28D5820 exp=B28D5820
9132.50ns INFO [00009134] Port=0 WR @15=A6CB23D3
9132.50ns INFO [00009134] Port=1 RD @06
9133.50ns INFO [00009135] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9133.50ns INFO [00009135] Port=0 WR @1B=DA4B6163
9133.50ns INFO [00009135] Port=0 RD @12
9134.50ns INFO [00009136] * RD COMPARE * port=1 adr=06 act=C53808B9 exp=C53808B9
9134.50ns INFO [00009136] Port=0 RD @16
9135.50ns INFO [00009137] * RD COMPARE * port=0 adr=12 act=8C7B5FDF exp=8C7B5FDF
9136.50ns INFO [00009138] * RD COMPARE * port=0 adr=16 act=81D2CC1D exp=81D2CC1D
9136.50ns INFO [00009138] Port=1 RD @1D
9137.50ns INFO [00009139] Port=0 WR @0A=B02C9C1B
9138.50ns INFO [00009140] * RD COMPARE * port=1 adr=1D act=E1B287A0 exp=E1B287A0
9138.50ns INFO [00009140] Port=1 RD @07
9139.50ns INFO [00009141] Port=0 WR @0E=A0DFB3A7
9139.50ns INFO [00009141] Port=1 RD @00
9140.50ns INFO [00009142] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
9140.50ns INFO [00009142] Port=0 RD @1C
9141.50ns INFO [00009143] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57
9141.50ns INFO [00009143] Port=0 WR @0D=DA03DAE0
9142.50ns INFO [00009144] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407
9142.50ns INFO [00009144] Port=1 RD @13
9143.50ns INFO [00009145] Port=0 WR @15=3E3402CD
9143.50ns INFO [00009145] Port=0 RD @03
9143.50ns INFO [00009145] Port=1 RD @00
9144.50ns INFO [00009146] * RD COMPARE * port=1 adr=13 act=82B8F6DF exp=82B8F6DF
9144.50ns INFO [00009146] Port=0 WR @03=37810261
9145.50ns INFO [00009147] * RD COMPARE * port=0 adr=03 act=91750463 exp=91750463
9145.50ns INFO [00009147] * RD COMPARE * port=1 adr=00 act=3A1B1D57 exp=3A1B1D57
9145.50ns INFO [00009147] Port=0 RD @1C
9145.50ns INFO [00009147] Port=1 RD @07
9147.50ns INFO [00009149] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407
9147.50ns INFO [00009149] * RD COMPARE * port=1 adr=07 act=09E7B556 exp=09E7B556
9147.50ns INFO [00009149] Port=1 RD @19
9148.50ns INFO [00009150] Port=1 RD @04
9149.50ns INFO [00009151] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9149.50ns INFO [00009151] Port=0 WR @07=C1BDF3C1
9149.50ns INFO [00009151] Port=0 RD @13
9150.50ns INFO [00009152] * RD COMPARE * port=1 adr=04 act=2CA8CEE0 exp=2CA8CEE0
9150.50ns INFO [00009152] Port=0 WR @0A=B91A65F3
9150.50ns INFO [00009152] Port=0 RD @07
9151.50ns INFO [00009153] * RD COMPARE * port=0 adr=13 act=82B8F6DF exp=82B8F6DF
9151.50ns INFO [00009153] Port=1 RD @16
9152.50ns INFO [00009154] * RD COMPARE * port=0 adr=07 act=C1BDF3C1 exp=C1BDF3C1
9152.50ns INFO [00009154] Port=0 WR @06=15DD085C
9152.50ns INFO [00009154] Port=0 RD @03
9153.50ns INFO [00009155] * RD COMPARE * port=1 adr=16 act=81D2CC1D exp=81D2CC1D
9153.50ns INFO [00009155] Port=0 RD @19
9154.50ns INFO [00009156] * RD COMPARE * port=0 adr=03 act=37810261 exp=37810261
9154.50ns INFO [00009156] Port=1 RD @0D
9155.50ns INFO [00009157] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9155.50ns INFO [00009157] Port=0 RD @19
9155.50ns INFO [00009157] Port=1 RD @03
9156.50ns INFO [00009158] * RD COMPARE * port=1 adr=0D act=DA03DAE0 exp=DA03DAE0
9156.50ns INFO [00009158] Port=0 RD @0B
9157.50ns INFO [00009159] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9157.50ns INFO [00009159] * RD COMPARE * port=1 adr=03 act=37810261 exp=37810261
9158.50ns INFO [00009160] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9158.50ns INFO [00009160] Port=1 RD @17
9160.50ns INFO [00009162] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC
9160.50ns INFO [00009162] Port=0 WR @02=20FD53F6
9161.50ns INFO [00009163] Port=0 WR @02=BF0BE88C
9161.50ns INFO [00009163] Port=0 RD @0B
9161.50ns INFO [00009163] Port=1 RD @08
9162.50ns INFO [00009164] Port=1 RD @18
9163.50ns INFO [00009165] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9163.50ns INFO [00009165] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136
9163.50ns INFO [00009165] Port=0 WR @02=AB85327C
9163.50ns INFO [00009165] Port=0 RD @1A
9164.50ns INFO [00009166] * RD COMPARE * port=1 adr=18 act=0A546785 exp=0A546785
9164.50ns INFO [00009166] Port=0 WR @16=3E3F46E6
9165.50ns INFO [00009167] * RD COMPARE * port=0 adr=1A act=D1BE2BFA exp=D1BE2BFA
9165.50ns INFO [00009167] Port=0 RD @0C
9167.50ns INFO [00009169] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8
9167.50ns INFO [00009169] Port=1 RD @1C
9168.50ns INFO [00009170] Port=0 WR @18=0EA40ACE
9169.50ns INFO [00009171] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407
9169.50ns INFO [00009171] Port=0 RD @02
9170.50ns INFO [00009172] Port=0 RD @0A
9171.50ns INFO [00009173] * RD COMPARE * port=0 adr=02 act=AB85327C exp=AB85327C
9172.50ns INFO [00009174] * RD COMPARE * port=0 adr=0A act=B91A65F3 exp=B91A65F3
9172.50ns INFO [00009174] Port=0 RD @1B
9172.50ns INFO [00009174] Port=1 RD @19
9173.50ns INFO [00009175] Port=0 WR @07=A8DDBEFA
9173.50ns INFO [00009175] Port=0 RD @09
9174.50ns INFO [00009176] * RD COMPARE * port=0 adr=1B act=DA4B6163 exp=DA4B6163
9174.50ns INFO [00009176] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9174.50ns INFO [00009176] Port=0 RD @0A
9174.50ns INFO [00009176] Port=1 RD @01
9175.50ns INFO [00009177] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C
9175.50ns INFO [00009177] Port=0 RD @0C
9176.50ns INFO [00009178] * RD COMPARE * port=0 adr=0A act=B91A65F3 exp=B91A65F3
9176.50ns INFO [00009178] * RD COMPARE * port=1 adr=01 act=C22FF916 exp=C22FF916
9176.50ns INFO [00009178] Port=0 WR @00=1BC6C84A
9176.50ns INFO [00009178] Port=1 RD @19
9177.50ns INFO [00009179] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8
9177.50ns INFO [00009179] Port=1 RD @08
9178.50ns INFO [00009180] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9178.50ns INFO [00009180] Port=1 RD @1D
9179.50ns INFO [00009181] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136
9179.50ns INFO [00009181] Port=0 WR @0E=851A0D33
9179.50ns INFO [00009181] Port=0 RD @14
9179.50ns INFO [00009181] Port=1 RD @11
9180.50ns INFO [00009182] * RD COMPARE * port=1 adr=1D act=E1B287A0 exp=E1B287A0
9180.50ns INFO [00009182] Port=1 RD @19
9181.50ns INFO [00009183] * RD COMPARE * port=0 adr=14 act=B956770B exp=B956770B
9181.50ns INFO [00009183] * RD COMPARE * port=1 adr=11 act=DD2832AA exp=DD2832AA
9181.50ns INFO [00009183] Port=0 WR @1D=790D3AF1
9182.50ns INFO [00009184] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9182.50ns INFO [00009184] Port=0 WR @0A=DC84A610
9182.50ns INFO [00009184] Port=1 RD @05
9183.50ns INFO [00009185] Port=0 WR @04=30048DEA
9183.50ns INFO [00009185] Port=0 RD @19
9183.50ns INFO [00009185] Port=1 RD @08
9184.50ns INFO [00009186] * RD COMPARE * port=1 adr=05 act=BD469BA9 exp=BD469BA9
9184.50ns INFO [00009186] Port=0 WR @01=7924C820
9185.50ns INFO [00009187] * RD COMPARE * port=0 adr=19 act=66F58A89 exp=66F58A89
9185.50ns INFO [00009187] * RD COMPARE * port=1 adr=08 act=8957C136 exp=8957C136
9185.50ns INFO [00009187] Port=0 RD @0B
9185.50ns INFO [00009187] Port=1 RD @19
9186.50ns INFO [00009188] Port=1 RD @0C
9187.50ns INFO [00009189] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9187.50ns INFO [00009189] * RD COMPARE * port=1 adr=19 act=66F58A89 exp=66F58A89
9187.50ns INFO [00009189] Port=0 WR @00=9EFC8BEE
9188.50ns INFO [00009190] * RD COMPARE * port=1 adr=0C act=3F2D45D8 exp=3F2D45D8
9189.50ns INFO [00009191] Port=0 RD @01
9190.50ns INFO [00009192] Port=0 RD @07
9191.50ns INFO [00009193] * RD COMPARE * port=0 adr=01 act=7924C820 exp=7924C820
9192.50ns INFO [00009194] * RD COMPARE * port=0 adr=07 act=A8DDBEFA exp=A8DDBEFA
9192.50ns INFO [00009194] Port=0 WR @02=E7228590
9193.50ns INFO [00009195] Port=1 RD @05
9194.50ns INFO [00009196] Port=1 RD @14
9195.50ns INFO [00009197] * RD COMPARE * port=1 adr=05 act=BD469BA9 exp=BD469BA9
9195.50ns INFO [00009197] Port=0 RD @11
9196.50ns INFO [00009198] * RD COMPARE * port=1 adr=14 act=B956770B exp=B956770B
9196.50ns INFO [00009198] Port=0 WR @19=8D145D52
9197.50ns INFO [00009199] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA
9197.50ns INFO [00009199] Port=0 WR @15=C3FA0E83
9197.50ns INFO [00009199] Port=0 RD @0C
9197.50ns INFO [00009199] Port=1 RD @00
9198.00ns INFO [00009200] [00009200] ...tick...
9198.50ns INFO [00009200] Port=0 RD @0B
9199.50ns INFO [00009201] * RD COMPARE * port=0 adr=0C act=3F2D45D8 exp=3F2D45D8
9199.50ns INFO [00009201] * RD COMPARE * port=1 adr=00 act=9EFC8BEE exp=9EFC8BEE
9200.50ns INFO [00009202] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9200.50ns INFO [00009202] Port=0 RD @08
9201.50ns INFO [00009203] Port=0 RD @1B
9201.50ns INFO [00009203] Port=1 RD @1C
9202.50ns INFO [00009204] * RD COMPARE * port=0 adr=08 act=8957C136 exp=8957C136
9202.50ns INFO [00009204] Port=0 WR @07=9CCA8884
9202.50ns INFO [00009204] Port=1 RD @17
9203.50ns INFO [00009205] * RD COMPARE * port=0 adr=1B act=DA4B6163 exp=DA4B6163
9203.50ns INFO [00009205] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407
9203.50ns INFO [00009205] Port=0 RD @0F
9203.50ns INFO [00009205] Port=1 RD @02
9204.50ns INFO [00009206] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC
9204.50ns INFO [00009206] Port=0 WR @07=78DC961B
9204.50ns INFO [00009206] Port=0 RD @09
9205.50ns INFO [00009207] * RD COMPARE * port=0 adr=0F act=DA4CE665 exp=DA4CE665
9205.50ns INFO [00009207] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590
9205.50ns INFO [00009207] Port=0 RD @07
9206.50ns INFO [00009208] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C
9207.50ns INFO [00009209] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B
9207.50ns INFO [00009209] Port=0 RD @1E
9207.50ns INFO [00009209] Port=1 RD @1F
9208.50ns INFO [00009210] Port=0 RD @08
9208.50ns INFO [00009210] Port=1 RD @13
9209.50ns INFO [00009211] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D
9209.50ns INFO [00009211] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9209.50ns INFO [00009211] Port=0 WR @13=04574179
9210.50ns INFO [00009212] * RD COMPARE * port=0 adr=08 act=8957C136 exp=8957C136
9210.50ns INFO [00009212] * RD COMPARE * port=1 adr=13 act=82B8F6DF exp=82B8F6DF
9210.50ns INFO [00009212] Port=0 WR @08=9A58A037
9210.50ns INFO [00009212] Port=1 RD @06
9212.50ns INFO [00009214] * RD COMPARE * port=1 adr=06 act=15DD085C exp=15DD085C
9213.50ns INFO [00009215] Port=1 RD @03
9214.50ns INFO [00009216] Port=0 RD @02
9215.50ns INFO [00009217] * RD COMPARE * port=1 adr=03 act=37810261 exp=37810261
9215.50ns INFO [00009217] Port=0 WR @16=5A262EE2
9216.50ns INFO [00009218] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590
9216.50ns INFO [00009218] Port=0 WR @03=43C268A8
9216.50ns INFO [00009218] Port=1 RD @0E
9217.50ns INFO [00009219] Port=1 RD @12
9218.50ns INFO [00009220] * RD COMPARE * port=1 adr=0E act=851A0D33 exp=851A0D33
9218.50ns INFO [00009220] Port=0 WR @0C=367B2147
9218.50ns INFO [00009220] Port=1 RD @04
9219.50ns INFO [00009221] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9219.50ns INFO [00009221] Port=1 RD @17
9220.50ns INFO [00009222] * RD COMPARE * port=1 adr=04 act=30048DEA exp=30048DEA
9220.50ns INFO [00009222] Port=0 RD @08
9221.50ns INFO [00009223] * RD COMPARE * port=1 adr=17 act=377462AC exp=377462AC
9221.50ns INFO [00009223] Port=0 RD @01
9222.50ns INFO [00009224] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037
9223.50ns INFO [00009225] * RD COMPARE * port=0 adr=01 act=7924C820 exp=7924C820
9223.50ns INFO [00009225] Port=0 WR @04=5CC31F1A
9223.50ns INFO [00009225] Port=0 RD @13
9223.50ns INFO [00009225] Port=1 RD @1C
9224.50ns INFO [00009226] Port=0 RD @0F
9224.50ns INFO [00009226] Port=1 RD @12
9225.50ns INFO [00009227] * RD COMPARE * port=0 adr=13 act=04574179 exp=04574179
9225.50ns INFO [00009227] * RD COMPARE * port=1 adr=1C act=78CB9407 exp=78CB9407
9226.50ns INFO [00009228] * RD COMPARE * port=0 adr=0F act=DA4CE665 exp=DA4CE665
9226.50ns INFO [00009228] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9227.50ns INFO [00009229] Port=1 RD @1F
9228.50ns INFO [00009230] Port=0 WR @0E=DD3D87BC
9229.50ns INFO [00009231] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9233.50ns INFO [00009235] Port=0 RD @07
9233.50ns INFO [00009235] Port=1 RD @01
9235.50ns INFO [00009237] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B
9235.50ns INFO [00009237] * RD COMPARE * port=1 adr=01 act=7924C820 exp=7924C820
9235.50ns INFO [00009237] Port=0 WR @1A=88F5EB89
9235.50ns INFO [00009237] Port=0 RD @06
9235.50ns INFO [00009237] Port=1 RD @1B
9236.50ns INFO [00009238] Port=0 WR @14=6019FD84
9236.50ns INFO [00009238] Port=0 RD @1F
9237.50ns INFO [00009239] * RD COMPARE * port=0 adr=06 act=15DD085C exp=15DD085C
9237.50ns INFO [00009239] * RD COMPARE * port=1 adr=1B act=DA4B6163 exp=DA4B6163
9237.50ns INFO [00009239] Port=0 WR @17=D9D5230E
9237.50ns INFO [00009239] Port=0 RD @03
9238.50ns INFO [00009240] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF
9238.50ns INFO [00009240] Port=1 RD @15
9239.50ns INFO [00009241] * RD COMPARE * port=0 adr=03 act=43C268A8 exp=43C268A8
9240.50ns INFO [00009242] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83
9240.50ns INFO [00009242] Port=1 RD @15
9241.50ns INFO [00009243] Port=0 WR @1D=238F4817
9241.50ns INFO [00009243] Port=0 RD @18
9242.50ns INFO [00009244] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83
9242.50ns INFO [00009244] Port=0 WR @0A=31B8F37B
9242.50ns INFO [00009244] Port=0 RD @0B
9242.50ns INFO [00009244] Port=1 RD @15
9243.50ns INFO [00009245] * RD COMPARE * port=0 adr=18 act=0EA40ACE exp=0EA40ACE
9243.50ns INFO [00009245] Port=0 WR @16=88E19E2E
9243.50ns INFO [00009245] Port=0 RD @02
9243.50ns INFO [00009245] Port=1 RD @08
9244.50ns INFO [00009246] * RD COMPARE * port=0 adr=0B act=4DC9EB0B exp=4DC9EB0B
9244.50ns INFO [00009246] * RD COMPARE * port=1 adr=15 act=C3FA0E83 exp=C3FA0E83
9245.50ns INFO [00009247] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590
9245.50ns INFO [00009247] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037
9245.50ns INFO [00009247] Port=0 WR @01=553364B7
9246.50ns INFO [00009248] Port=0 RD @06
9247.50ns INFO [00009249] Port=0 WR @13=59498FCF
9247.50ns INFO [00009249] Port=0 RD @1D
9248.50ns INFO [00009250] * RD COMPARE * port=0 adr=06 act=15DD085C exp=15DD085C
9248.50ns INFO [00009250] Port=0 RD @05
9249.50ns INFO [00009251] * RD COMPARE * port=0 adr=1D act=238F4817 exp=238F4817
9250.50ns INFO [00009252] * RD COMPARE * port=0 adr=05 act=BD469BA9 exp=BD469BA9
9251.50ns INFO [00009253] Port=0 WR @04=0DFB0A99
9251.50ns INFO [00009253] Port=0 RD @07
9252.50ns INFO [00009254] Port=0 WR @0B=ADE80348
9253.50ns INFO [00009255] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B
9253.50ns INFO [00009255] Port=0 WR @1D=D786E0AD
9253.50ns INFO [00009255] Port=0 RD @11
9254.50ns INFO [00009256] Port=0 WR @0D=3D284496
9254.50ns INFO [00009256] Port=0 RD @0E
9255.50ns INFO [00009257] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA
9255.50ns INFO [00009257] Port=0 WR @05=D5C8AA78
9255.50ns INFO [00009257] Port=1 RD @04
9256.50ns INFO [00009258] * RD COMPARE * port=0 adr=0E act=DD3D87BC exp=DD3D87BC
9256.50ns INFO [00009258] Port=0 WR @1B=6C1D60CE
9257.50ns INFO [00009259] * RD COMPARE * port=1 adr=04 act=0DFB0A99 exp=0DFB0A99
9258.50ns INFO [00009260] Port=0 WR @0F=A98C2FB5
9258.50ns INFO [00009260] Port=0 RD @16
9259.50ns INFO [00009261] Port=0 WR @13=076E99CD
9259.50ns INFO [00009261] Port=0 RD @04
9260.50ns INFO [00009262] * RD COMPARE * port=0 adr=16 act=88E19E2E exp=88E19E2E
9260.50ns INFO [00009262] Port=0 WR @0E=EF2C4DFB
9261.50ns INFO [00009263] * RD COMPARE * port=0 adr=04 act=0DFB0A99 exp=0DFB0A99
9261.50ns INFO [00009263] Port=0 WR @10=72A09A05
9263.50ns INFO [00009265] Port=0 RD @03
9265.50ns INFO [00009267] * RD COMPARE * port=0 adr=03 act=43C268A8 exp=43C268A8
9265.50ns INFO [00009267] Port=0 RD @19
9265.50ns INFO [00009267] Port=1 RD @07
9266.50ns INFO [00009268] Port=0 WR @17=1585C36F
9266.50ns INFO [00009268] Port=0 RD @01
9267.50ns INFO [00009269] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52
9267.50ns INFO [00009269] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B
9267.50ns INFO [00009269] Port=0 RD @18
9268.50ns INFO [00009270] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7
9268.50ns INFO [00009270] Port=0 RD @1E
9269.50ns INFO [00009271] * RD COMPARE * port=0 adr=18 act=0EA40ACE exp=0EA40ACE
9269.50ns INFO [00009271] Port=0 WR @0F=CB1B0AC7
9270.50ns INFO [00009272] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D
9270.50ns INFO [00009272] Port=0 RD @01
9270.50ns INFO [00009272] Port=1 RD @1E
9271.50ns INFO [00009273] Port=1 RD @1D
9272.50ns INFO [00009274] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7
9272.50ns INFO [00009274] * RD COMPARE * port=1 adr=1E act=136CB07D exp=136CB07D
9272.50ns INFO [00009274] Port=0 WR @1B=84AA9538
9273.50ns INFO [00009275] * RD COMPARE * port=1 adr=1D act=D786E0AD exp=D786E0AD
9273.50ns INFO [00009275] Port=0 WR @03=F024B2C7
9274.50ns INFO [00009276] Port=0 RD @07
9276.50ns INFO [00009278] * RD COMPARE * port=0 adr=07 act=78DC961B exp=78DC961B
9276.50ns INFO [00009278] Port=0 WR @0C=278F9AFD
9276.50ns INFO [00009278] Port=1 RD @05
9277.50ns INFO [00009279] Port=0 WR @0B=0883BC12
9278.50ns INFO [00009280] * RD COMPARE * port=1 adr=05 act=D5C8AA78 exp=D5C8AA78
9278.50ns INFO [00009280] Port=0 RD @13
9278.50ns INFO [00009280] Port=1 RD @04
9279.50ns INFO [00009281] Port=0 RD @19
9279.50ns INFO [00009281] Port=1 RD @0F
9280.50ns INFO [00009282] * RD COMPARE * port=0 adr=13 act=076E99CD exp=076E99CD
9280.50ns INFO [00009282] * RD COMPARE * port=1 adr=04 act=0DFB0A99 exp=0DFB0A99
9280.50ns INFO [00009282] Port=0 WR @06=CA7384BC
9281.50ns INFO [00009283] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52
9281.50ns INFO [00009283] * RD COMPARE * port=1 adr=0F act=CB1B0AC7 exp=CB1B0AC7
9281.50ns INFO [00009283] Port=1 RD @13
9282.50ns INFO [00009284] Port=0 WR @13=5AB3B37C
9282.50ns INFO [00009284] Port=0 RD @11
9283.50ns INFO [00009285] * RD COMPARE * port=1 adr=13 act=076E99CD exp=076E99CD
9283.50ns INFO [00009285] Port=0 RD @1F
9284.50ns INFO [00009286] * RD COMPARE * port=0 adr=11 act=DD2832AA exp=DD2832AA
9284.50ns INFO [00009286] Port=0 WR @15=5871F7BB
9284.50ns INFO [00009286] Port=0 RD @09
9285.50ns INFO [00009287] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF
9285.50ns INFO [00009287] Port=0 RD @19
9286.50ns INFO [00009288] * RD COMPARE * port=0 adr=09 act=DF56555C exp=DF56555C
9287.50ns INFO [00009289] * RD COMPARE * port=0 adr=19 act=8D145D52 exp=8D145D52
9287.50ns INFO [00009289] Port=0 WR @17=72347803
9287.50ns INFO [00009289] Port=0 RD @1C
9288.50ns INFO [00009290] Port=1 RD @06
9289.50ns INFO [00009291] * RD COMPARE * port=0 adr=1C act=78CB9407 exp=78CB9407
9290.50ns INFO [00009292] * RD COMPARE * port=1 adr=06 act=CA7384BC exp=CA7384BC
9292.50ns INFO [00009294] Port=1 RD @12
9294.50ns INFO [00009296] * RD COMPARE * port=1 adr=12 act=8C7B5FDF exp=8C7B5FDF
9294.50ns INFO [00009296] Port=0 WR @05=889560FB
9294.50ns INFO [00009296] Port=1 RD @1F
9295.50ns INFO [00009297] Port=0 WR @0D=E1837830
9295.50ns INFO [00009297] Port=1 RD @11
9296.50ns INFO [00009298] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9296.50ns INFO [00009298] Port=0 WR @12=8E3E0482
9296.50ns INFO [00009298] Port=1 RD @07
9297.50ns INFO [00009299] * RD COMPARE * port=1 adr=11 act=DD2832AA exp=DD2832AA
9297.50ns INFO [00009299] Port=0 WR @18=01B66FF3
9297.50ns INFO [00009299] Port=0 RD @0E
9298.00ns INFO [00009300] [00009300] ...tick...
9298.50ns INFO [00009300] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B
9298.50ns INFO [00009300] Port=0 WR @14=C433BDF6
9299.50ns INFO [00009301] * RD COMPARE * port=0 adr=0E act=EF2C4DFB exp=EF2C4DFB
9299.50ns INFO [00009301] Port=0 WR @0E=BE6CF16C
9301.50ns INFO [00009303] Port=0 RD @1E
9302.50ns INFO [00009304] Port=0 WR @16=B0E390C0
9302.50ns INFO [00009304] Port=1 RD @1B
9303.50ns INFO [00009305] * RD COMPARE * port=0 adr=1E act=136CB07D exp=136CB07D
9303.50ns INFO [00009305] Port=1 RD @19
9304.50ns INFO [00009306] * RD COMPARE * port=1 adr=1B act=84AA9538 exp=84AA9538
9304.50ns INFO [00009306] Port=0 WR @12=F64231F1
9304.50ns INFO [00009306] Port=0 RD @03
9305.50ns INFO [00009307] * RD COMPARE * port=1 adr=19 act=8D145D52 exp=8D145D52
9305.50ns INFO [00009307] Port=1 RD @08
9306.50ns INFO [00009308] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7
9306.50ns INFO [00009308] Port=0 RD @17
9306.50ns INFO [00009308] Port=1 RD @1A
9307.50ns INFO [00009309] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037
9307.50ns INFO [00009309] Port=0 WR @1C=51F62D21
9307.50ns INFO [00009309] Port=0 RD @0D
9307.50ns INFO [00009309] Port=1 RD @08
9308.50ns INFO [00009310] * RD COMPARE * port=0 adr=17 act=72347803 exp=72347803
9308.50ns INFO [00009310] * RD COMPARE * port=1 adr=1A act=88F5EB89 exp=88F5EB89
9308.50ns INFO [00009310] Port=0 WR @19=1A6B64E4
9309.50ns INFO [00009311] * RD COMPARE * port=0 adr=0D act=E1837830 exp=E1837830
9309.50ns INFO [00009311] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037
9309.50ns INFO [00009311] Port=0 WR @1E=DCA3725A
9309.50ns INFO [00009311] Port=0 RD @01
9310.50ns INFO [00009312] Port=0 WR @06=5CEF8745
9311.50ns INFO [00009313] * RD COMPARE * port=0 adr=01 act=553364B7 exp=553364B7
9311.50ns INFO [00009313] Port=0 WR @0D=7FDF770E
9311.50ns INFO [00009313] Port=0 RD @13
9311.50ns INFO [00009313] Port=1 RD @0F
9313.50ns INFO [00009315] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C
9313.50ns INFO [00009315] * RD COMPARE * port=1 adr=0F act=CB1B0AC7 exp=CB1B0AC7
9313.50ns INFO [00009315] Port=0 WR @18=CF66B9D8
9315.50ns INFO [00009317] Port=0 WR @15=E789D1B8
9316.50ns INFO [00009318] Port=0 WR @0A=4FB97D14
9316.50ns INFO [00009318] Port=0 RD @04
9316.50ns INFO [00009318] Port=1 RD @1B
9317.50ns INFO [00009319] Port=0 WR @11=9057B934
9317.50ns INFO [00009319] Port=0 RD @1A
9317.50ns INFO [00009319] Port=1 RD @14
9318.50ns INFO [00009320] * RD COMPARE * port=0 adr=04 act=0DFB0A99 exp=0DFB0A99
9318.50ns INFO [00009320] * RD COMPARE * port=1 adr=1B act=84AA9538 exp=84AA9538
9318.50ns INFO [00009320] Port=1 RD @14
9319.50ns INFO [00009321] * RD COMPARE * port=0 adr=1A act=88F5EB89 exp=88F5EB89
9319.50ns INFO [00009321] * RD COMPARE * port=1 adr=14 act=C433BDF6 exp=C433BDF6
9319.50ns INFO [00009321] Port=0 WR @14=C5043251
9319.50ns INFO [00009321] Port=0 RD @1B
9320.50ns INFO [00009322] * RD COMPARE * port=1 adr=14 act=C433BDF6 exp=C433BDF6
9320.50ns INFO [00009322] Port=0 RD @05
9321.50ns INFO [00009323] * RD COMPARE * port=0 adr=1B act=84AA9538 exp=84AA9538
9322.50ns INFO [00009324] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB
9322.50ns INFO [00009324] Port=0 RD @00
9322.50ns INFO [00009324] Port=1 RD @17
9323.50ns INFO [00009325] Port=0 WR @19=B91717A7
9324.50ns INFO [00009326] * RD COMPARE * port=0 adr=00 act=9EFC8BEE exp=9EFC8BEE
9324.50ns INFO [00009326] * RD COMPARE * port=1 adr=17 act=72347803 exp=72347803
9324.50ns INFO [00009326] Port=0 RD @17
9326.50ns INFO [00009328] * RD COMPARE * port=0 adr=17 act=72347803 exp=72347803
9327.50ns INFO [00009329] Port=0 RD @11
9327.50ns INFO [00009329] Port=1 RD @03
9328.50ns INFO [00009330] Port=0 WR @0E=2583C9FB
9328.50ns INFO [00009330] Port=1 RD @02
9329.50ns INFO [00009331] * RD COMPARE * port=0 adr=11 act=9057B934 exp=9057B934
9329.50ns INFO [00009331] * RD COMPARE * port=1 adr=03 act=F024B2C7 exp=F024B2C7
9329.50ns INFO [00009331] Port=0 WR @10=FDCFCC84
9329.50ns INFO [00009331] Port=0 RD @06
9330.50ns INFO [00009332] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590
9330.50ns INFO [00009332] Port=0 WR @18=57928F05
9331.50ns INFO [00009333] * RD COMPARE * port=0 adr=06 act=5CEF8745 exp=5CEF8745
9331.50ns INFO [00009333] Port=0 RD @03
9332.50ns INFO [00009334] Port=0 RD @0B
9332.50ns INFO [00009334] Port=1 RD @07
9333.50ns INFO [00009335] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7
9333.50ns INFO [00009335] Port=1 RD @1F
9334.50ns INFO [00009336] * RD COMPARE * port=0 adr=0B act=0883BC12 exp=0883BC12
9334.50ns INFO [00009336] * RD COMPARE * port=1 adr=07 act=78DC961B exp=78DC961B
9334.50ns INFO [00009336] Port=0 WR @04=775906CE
9334.50ns INFO [00009336] Port=0 RD @02
9335.50ns INFO [00009337] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9335.50ns INFO [00009337] Port=0 WR @1B=8BB14823
9335.50ns INFO [00009337] Port=0 RD @0F
9336.50ns INFO [00009338] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590
9336.50ns INFO [00009338] Port=0 WR @18=BC3F5CCA
9336.50ns INFO [00009338] Port=1 RD @1E
9337.50ns INFO [00009339] * RD COMPARE * port=0 adr=0F act=CB1B0AC7 exp=CB1B0AC7
9337.50ns INFO [00009339] Port=0 WR @04=E4CEC8E0
9337.50ns INFO [00009339] Port=1 RD @09
9338.50ns INFO [00009340] * RD COMPARE * port=1 adr=1E act=DCA3725A exp=DCA3725A
9339.50ns INFO [00009341] * RD COMPARE * port=1 adr=09 act=DF56555C exp=DF56555C
9339.50ns INFO [00009341] Port=0 WR @0B=A4BA0642
9340.50ns INFO [00009342] Port=1 RD @16
9341.50ns INFO [00009343] Port=0 RD @05
9342.50ns INFO [00009344] * RD COMPARE * port=1 adr=16 act=B0E390C0 exp=B0E390C0
9342.50ns INFO [00009344] Port=1 RD @1C
9343.50ns INFO [00009345] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB
9343.50ns INFO [00009345] Port=0 WR @0E=E12D4852
9344.50ns INFO [00009346] * RD COMPARE * port=1 adr=1C act=51F62D21 exp=51F62D21
9344.50ns INFO [00009346] Port=0 RD @18
9345.50ns INFO [00009347] Port=0 WR @07=F61B9567
9346.50ns INFO [00009348] * RD COMPARE * port=0 adr=18 act=BC3F5CCA exp=BC3F5CCA
9346.50ns INFO [00009348] Port=0 WR @00=A20D710F
9347.50ns INFO [00009349] Port=0 RD @19
9349.50ns INFO [00009351] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7
9350.50ns INFO [00009352] Port=0 RD @19
9351.50ns INFO [00009353] Port=0 WR @0F=0DCA23AA
9352.50ns INFO [00009354] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7
9352.50ns INFO [00009354] Port=0 WR @07=FEE1F777
9352.50ns INFO [00009354] Port=0 RD @0D
9353.50ns INFO [00009355] Port=1 RD @11
9354.50ns INFO [00009356] * RD COMPARE * port=0 adr=0D act=7FDF770E exp=7FDF770E
9354.50ns INFO [00009356] Port=0 WR @16=D75F9809
9355.50ns INFO [00009357] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934
9355.50ns INFO [00009357] Port=0 WR @0A=ECDED181
9356.50ns INFO [00009358] Port=0 WR @1B=D342FABD
9356.50ns INFO [00009358] Port=1 RD @0A
9357.50ns INFO [00009359] Port=1 RD @1B
9358.50ns INFO [00009360] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181
9358.50ns INFO [00009360] Port=0 WR @15=E26951D7
9358.50ns INFO [00009360] Port=0 RD @02
9358.50ns INFO [00009360] Port=1 RD @0F
9359.50ns INFO [00009361] * RD COMPARE * port=1 adr=1B act=D342FABD exp=D342FABD
9359.50ns INFO [00009361] Port=0 RD @15
9359.50ns INFO [00009361] Port=1 RD @03
9360.50ns INFO [00009362] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590
9360.50ns INFO [00009362] * RD COMPARE * port=1 adr=0F act=0DCA23AA exp=0DCA23AA
9361.50ns INFO [00009363] * RD COMPARE * port=0 adr=15 act=E26951D7 exp=E26951D7
9361.50ns INFO [00009363] * RD COMPARE * port=1 adr=03 act=F024B2C7 exp=F024B2C7
9361.50ns INFO [00009363] Port=1 RD @00
9362.50ns INFO [00009364] Port=1 RD @1F
9363.50ns INFO [00009365] * RD COMPARE * port=1 adr=00 act=A20D710F exp=A20D710F
9363.50ns INFO [00009365] Port=1 RD @02
9364.50ns INFO [00009366] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9364.50ns INFO [00009366] Port=0 WR @14=0EDAEEFF
9364.50ns INFO [00009366] Port=0 RD @07
9364.50ns INFO [00009366] Port=1 RD @13
9365.50ns INFO [00009367] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590
9365.50ns INFO [00009367] Port=1 RD @0A
9366.50ns INFO [00009368] * RD COMPARE * port=0 adr=07 act=FEE1F777 exp=FEE1F777
9366.50ns INFO [00009368] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C
9367.50ns INFO [00009369] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181
9367.50ns INFO [00009369] Port=0 RD @1E
9367.50ns INFO [00009369] Port=1 RD @16
9368.50ns INFO [00009370] Port=0 WR @17=E0E17619
9369.50ns INFO [00009371] * RD COMPARE * port=0 adr=1E act=DCA3725A exp=DCA3725A
9369.50ns INFO [00009371] * RD COMPARE * port=1 adr=16 act=D75F9809 exp=D75F9809
9370.50ns INFO [00009372] Port=0 WR @14=45A6E590
9370.50ns INFO [00009372] Port=1 RD @0A
9371.50ns INFO [00009373] Port=1 RD @1D
9372.50ns INFO [00009374] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181
9372.50ns INFO [00009374] Port=1 RD @14
9373.50ns INFO [00009375] * RD COMPARE * port=1 adr=1D act=D786E0AD exp=D786E0AD
9373.50ns INFO [00009375] Port=0 WR @16=4CC93602
9373.50ns INFO [00009375] Port=0 RD @1A
9373.50ns INFO [00009375] Port=1 RD @13
9374.50ns INFO [00009376] * RD COMPARE * port=1 adr=14 act=45A6E590 exp=45A6E590
9375.50ns INFO [00009377] * RD COMPARE * port=0 adr=1A act=88F5EB89 exp=88F5EB89
9375.50ns INFO [00009377] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C
9375.50ns INFO [00009377] Port=0 WR @06=615C7606
9375.50ns INFO [00009377] Port=0 RD @00
9375.50ns INFO [00009377] Port=1 RD @0C
9377.50ns INFO [00009379] * RD COMPARE * port=0 adr=00 act=A20D710F exp=A20D710F
9377.50ns INFO [00009379] * RD COMPARE * port=1 adr=0C act=278F9AFD exp=278F9AFD
9378.50ns INFO [00009380] Port=0 WR @18=C31E27F9
9378.50ns INFO [00009380] Port=1 RD @04
9379.50ns INFO [00009381] Port=1 RD @13
9380.50ns INFO [00009382] * RD COMPARE * port=1 adr=04 act=E4CEC8E0 exp=E4CEC8E0
9380.50ns INFO [00009382] Port=0 WR @1A=E66D183F
9380.50ns INFO [00009382] Port=1 RD @16
9381.50ns INFO [00009383] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C
9381.50ns INFO [00009383] Port=0 WR @14=8BACD637
9382.50ns INFO [00009384] * RD COMPARE * port=1 adr=16 act=4CC93602 exp=4CC93602
9382.50ns INFO [00009384] Port=0 WR @1C=BCBB4B7B
9382.50ns INFO [00009384] Port=0 RD @00
9382.50ns INFO [00009384] Port=1 RD @06
9383.50ns INFO [00009385] Port=0 RD @13
9384.50ns INFO [00009386] * RD COMPARE * port=0 adr=00 act=A20D710F exp=A20D710F
9384.50ns INFO [00009386] * RD COMPARE * port=1 adr=06 act=615C7606 exp=615C7606
9385.50ns INFO [00009387] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C
9385.50ns INFO [00009387] Port=0 WR @0D=58B8E7C1
9386.50ns INFO [00009388] Port=0 RD @0A
9387.50ns INFO [00009389] Port=0 RD @0C
9387.50ns INFO [00009389] Port=1 RD @09
9388.50ns INFO [00009390] * RD COMPARE * port=0 adr=0A act=ECDED181 exp=ECDED181
9389.50ns INFO [00009391] * RD COMPARE * port=0 adr=0C act=278F9AFD exp=278F9AFD
9389.50ns INFO [00009391] * RD COMPARE * port=1 adr=09 act=DF56555C exp=DF56555C
9389.50ns INFO [00009391] Port=0 WR @17=BAADE0E4
9389.50ns INFO [00009391] Port=1 RD @10
9390.50ns INFO [00009392] Port=0 RD @0B
9391.50ns INFO [00009393] * RD COMPARE * port=1 adr=10 act=FDCFCC84 exp=FDCFCC84
9392.50ns INFO [00009394] * RD COMPARE * port=0 adr=0B act=A4BA0642 exp=A4BA0642
9393.50ns INFO [00009395] Port=0 RD @03
9394.50ns INFO [00009396] Port=0 WR @07=7FE4DCF2
9395.50ns INFO [00009397] * RD COMPARE * port=0 adr=03 act=F024B2C7 exp=F024B2C7
9395.50ns INFO [00009397] Port=0 WR @1D=6078EF3A
9396.50ns INFO [00009398] Port=0 WR @03=64651A05
9396.50ns INFO [00009398] Port=0 RD @1E
9397.50ns INFO [00009399] Port=0 RD @19
9398.00ns INFO [00009400] [00009400] ...tick...
9398.50ns INFO [00009400] * RD COMPARE * port=0 adr=1E act=DCA3725A exp=DCA3725A
9399.50ns INFO [00009401] * RD COMPARE * port=0 adr=19 act=B91717A7 exp=B91717A7
9399.50ns INFO [00009401] Port=0 WR @17=2447ED79
9399.50ns INFO [00009401] Port=0 RD @04
9400.50ns INFO [00009402] Port=1 RD @13
9401.50ns INFO [00009403] * RD COMPARE * port=0 adr=04 act=E4CEC8E0 exp=E4CEC8E0
9401.50ns INFO [00009403] Port=0 RD @1C
9402.50ns INFO [00009404] * RD COMPARE * port=1 adr=13 act=5AB3B37C exp=5AB3B37C
9403.50ns INFO [00009405] * RD COMPARE * port=0 adr=1C act=BCBB4B7B exp=BCBB4B7B
9403.50ns INFO [00009405] Port=0 WR @0B=B5647B74
9403.50ns INFO [00009405] Port=0 RD @10
9405.50ns INFO [00009407] * RD COMPARE * port=0 adr=10 act=FDCFCC84 exp=FDCFCC84
9405.50ns INFO [00009407] Port=0 WR @09=9A9C2828
9405.50ns INFO [00009407] Port=0 RD @06
9407.50ns INFO [00009409] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606
9408.50ns INFO [00009410] Port=1 RD @05
9409.50ns INFO [00009411] Port=0 WR @04=58B8DD3B
9409.50ns INFO [00009411] Port=0 RD @05
9410.50ns INFO [00009412] * RD COMPARE * port=1 adr=05 act=889560FB exp=889560FB
9410.50ns INFO [00009412] Port=0 WR @00=2AE13C4E
9410.50ns INFO [00009412] Port=0 RD @0D
9411.50ns INFO [00009413] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB
9411.50ns INFO [00009413] Port=0 RD @0E
9411.50ns INFO [00009413] Port=1 RD @02
9412.50ns INFO [00009414] * RD COMPARE * port=0 adr=0D act=58B8E7C1 exp=58B8E7C1
9412.50ns INFO [00009414] Port=0 WR @1E=C4482F5B
9412.50ns INFO [00009414] Port=0 RD @08
9413.50ns INFO [00009415] * RD COMPARE * port=0 adr=0E act=E12D4852 exp=E12D4852
9413.50ns INFO [00009415] * RD COMPARE * port=1 adr=02 act=E7228590 exp=E7228590
9413.50ns INFO [00009415] Port=0 RD @07
9414.50ns INFO [00009416] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037
9414.50ns INFO [00009416] Port=0 WR @1E=C313BFF0
9414.50ns INFO [00009416] Port=0 RD @05
9414.50ns INFO [00009416] Port=1 RD @01
9415.50ns INFO [00009417] * RD COMPARE * port=0 adr=07 act=7FE4DCF2 exp=7FE4DCF2
9415.50ns INFO [00009417] Port=0 WR @01=8C611F53
9415.50ns INFO [00009417] Port=0 RD @11
9416.50ns INFO [00009418] * RD COMPARE * port=0 adr=05 act=889560FB exp=889560FB
9416.50ns INFO [00009418] * RD COMPARE * port=1 adr=01 act=553364B7 exp=553364B7
9416.50ns INFO [00009418] Port=1 RD @12
9417.50ns INFO [00009419] * RD COMPARE * port=0 adr=11 act=9057B934 exp=9057B934
9418.50ns INFO [00009420] * RD COMPARE * port=1 adr=12 act=F64231F1 exp=F64231F1
9418.50ns INFO [00009420] Port=1 RD @0F
9419.50ns INFO [00009421] Port=0 WR @19=D9A9303B
9419.50ns INFO [00009421] Port=1 RD @05
9420.50ns INFO [00009422] * RD COMPARE * port=1 adr=0F act=0DCA23AA exp=0DCA23AA
9420.50ns INFO [00009422] Port=1 RD @0B
9421.50ns INFO [00009423] * RD COMPARE * port=1 adr=05 act=889560FB exp=889560FB
9421.50ns INFO [00009423] Port=0 WR @0F=4439FCC4
9421.50ns INFO [00009423] Port=0 RD @0D
9421.50ns INFO [00009423] Port=1 RD @1C
9422.50ns INFO [00009424] * RD COMPARE * port=1 adr=0B act=B5647B74 exp=B5647B74
9422.50ns INFO [00009424] Port=1 RD @01
9423.50ns INFO [00009425] * RD COMPARE * port=0 adr=0D act=58B8E7C1 exp=58B8E7C1
9423.50ns INFO [00009425] * RD COMPARE * port=1 adr=1C act=BCBB4B7B exp=BCBB4B7B
9423.50ns INFO [00009425] Port=0 WR @1E=432CBCC1
9424.50ns INFO [00009426] * RD COMPARE * port=1 adr=01 act=8C611F53 exp=8C611F53
9425.50ns INFO [00009427] Port=0 RD @15
9425.50ns INFO [00009427] Port=1 RD @0E
9426.50ns INFO [00009428] Port=0 WR @12=3702E8D6
9426.50ns INFO [00009428] Port=0 RD @1A
9427.50ns INFO [00009429] * RD COMPARE * port=0 adr=15 act=E26951D7 exp=E26951D7
9427.50ns INFO [00009429] * RD COMPARE * port=1 adr=0E act=E12D4852 exp=E12D4852
9427.50ns INFO [00009429] Port=0 RD @00
9428.50ns INFO [00009430] * RD COMPARE * port=0 adr=1A act=E66D183F exp=E66D183F
9428.50ns INFO [00009430] Port=0 RD @13
9429.50ns INFO [00009431] * RD COMPARE * port=0 adr=00 act=2AE13C4E exp=2AE13C4E
9429.50ns INFO [00009431] Port=0 WR @13=09781AED
9430.50ns INFO [00009432] * RD COMPARE * port=0 adr=13 act=5AB3B37C exp=5AB3B37C
9430.50ns INFO [00009432] Port=0 RD @1B
9431.50ns INFO [00009433] Port=1 RD @07
9432.50ns INFO [00009434] * RD COMPARE * port=0 adr=1B act=D342FABD exp=D342FABD
9432.50ns INFO [00009434] Port=0 WR @10=25CC3109
9432.50ns INFO [00009434] Port=0 RD @1D
9433.50ns INFO [00009435] * RD COMPARE * port=1 adr=07 act=7FE4DCF2 exp=7FE4DCF2
9433.50ns INFO [00009435] Port=1 RD @11
9434.50ns INFO [00009436] * RD COMPARE * port=0 adr=1D act=6078EF3A exp=6078EF3A
9434.50ns INFO [00009436] Port=0 WR @0B=FA3E317B
9434.50ns INFO [00009436] Port=1 RD @0A
9435.50ns INFO [00009437] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934
9436.50ns INFO [00009438] * RD COMPARE * port=1 adr=0A act=ECDED181 exp=ECDED181
9436.50ns INFO [00009438] Port=0 RD @06
9436.50ns INFO [00009438] Port=1 RD @14
9438.50ns INFO [00009440] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606
9438.50ns INFO [00009440] * RD COMPARE * port=1 adr=14 act=8BACD637 exp=8BACD637
9438.50ns INFO [00009440] Port=0 WR @0C=A49668BC
9438.50ns INFO [00009440] Port=0 RD @19
9438.50ns INFO [00009440] Port=1 RD @07
9439.50ns INFO [00009441] Port=1 RD @11
9440.50ns INFO [00009442] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B
9440.50ns INFO [00009442] * RD COMPARE * port=1 adr=07 act=7FE4DCF2 exp=7FE4DCF2
9440.50ns INFO [00009442] Port=0 WR @0A=95B23D5A
9440.50ns INFO [00009442] Port=0 RD @1F
9441.50ns INFO [00009443] * RD COMPARE * port=1 adr=11 act=9057B934 exp=9057B934
9441.50ns INFO [00009443] Port=0 RD @09
9441.50ns INFO [00009443] Port=1 RD @19
9442.50ns INFO [00009444] * RD COMPARE * port=0 adr=1F act=09D3D5FF exp=09D3D5FF
9442.50ns INFO [00009444] Port=0 WR @1D=F5A03C05
9442.50ns INFO [00009444] Port=0 RD @02
9443.50ns INFO [00009445] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828
9443.50ns INFO [00009445] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B
9443.50ns INFO [00009445] Port=0 WR @01=902AA7C7
9443.50ns INFO [00009445] Port=1 RD @0D
9444.50ns INFO [00009446] * RD COMPARE * port=0 adr=02 act=E7228590 exp=E7228590
9444.50ns INFO [00009446] Port=0 RD @07
9445.50ns INFO [00009447] * RD COMPARE * port=1 adr=0D act=58B8E7C1 exp=58B8E7C1
9445.50ns INFO [00009447] Port=0 WR @18=7DD6FC0F
9445.50ns INFO [00009447] Port=0 RD @17
9446.50ns INFO [00009448] * RD COMPARE * port=0 adr=07 act=7FE4DCF2 exp=7FE4DCF2
9446.50ns INFO [00009448] Port=0 RD @16
9447.50ns INFO [00009449] * RD COMPARE * port=0 adr=17 act=2447ED79 exp=2447ED79
9447.50ns INFO [00009449] Port=0 RD @13
9447.50ns INFO [00009449] Port=1 RD @1E
9448.50ns INFO [00009450] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602
9448.50ns INFO [00009450] Port=0 WR @0A=927CD999
9448.50ns INFO [00009450] Port=0 RD @09
9448.50ns INFO [00009450] Port=1 RD @14
9449.50ns INFO [00009451] * RD COMPARE * port=0 adr=13 act=09781AED exp=09781AED
9449.50ns INFO [00009451] * RD COMPARE * port=1 adr=1E act=432CBCC1 exp=432CBCC1
9449.50ns INFO [00009451] Port=0 WR @02=596FA18A
9449.50ns INFO [00009451] Port=0 RD @0F
9450.50ns INFO [00009452] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828
9450.50ns INFO [00009452] * RD COMPARE * port=1 adr=14 act=8BACD637 exp=8BACD637
9450.50ns INFO [00009452] Port=0 RD @1D
9450.50ns INFO [00009452] Port=1 RD @12
9451.50ns INFO [00009453] * RD COMPARE * port=0 adr=0F act=4439FCC4 exp=4439FCC4
9451.50ns INFO [00009453] Port=0 RD @0C
9451.50ns INFO [00009453] Port=1 RD @0F
9452.50ns INFO [00009454] * RD COMPARE * port=0 adr=1D act=F5A03C05 exp=F5A03C05
9452.50ns INFO [00009454] * RD COMPARE * port=1 adr=12 act=3702E8D6 exp=3702E8D6
9452.50ns INFO [00009454] Port=1 RD @0E
9453.50ns INFO [00009455] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC
9453.50ns INFO [00009455] * RD COMPARE * port=1 adr=0F act=4439FCC4 exp=4439FCC4
9453.50ns INFO [00009455] Port=0 WR @0B=95B31176
9453.50ns INFO [00009455] Port=1 RD @10
9454.50ns INFO [00009456] * RD COMPARE * port=1 adr=0E act=E12D4852 exp=E12D4852
9455.50ns INFO [00009457] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109
9455.50ns INFO [00009457] Port=0 WR @00=13BE09B9
9455.50ns INFO [00009457] Port=0 RD @03
9456.50ns INFO [00009458] Port=0 WR @00=F7D39A52
9457.50ns INFO [00009459] * RD COMPARE * port=0 adr=03 act=64651A05 exp=64651A05
9457.50ns INFO [00009459] Port=0 RD @06
9458.50ns INFO [00009460] Port=0 WR @12=7D18EDC2
9459.50ns INFO [00009461] * RD COMPARE * port=0 adr=06 act=615C7606 exp=615C7606
9459.50ns INFO [00009461] Port=0 RD @1E
9460.50ns INFO [00009462] Port=0 WR @05=4EBB2091
9460.50ns INFO [00009462] Port=1 RD @19
9461.50ns INFO [00009463] * RD COMPARE * port=0 adr=1E act=432CBCC1 exp=432CBCC1
9461.50ns INFO [00009463] Port=0 RD @05
9462.50ns INFO [00009464] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B
9462.50ns INFO [00009464] Port=0 WR @06=707C48F1
9462.50ns INFO [00009464] Port=0 RD @16
9463.50ns INFO [00009465] * RD COMPARE * port=0 adr=05 act=4EBB2091 exp=4EBB2091
9464.50ns INFO [00009466] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602
9464.50ns INFO [00009466] Port=0 RD @0C
9466.50ns INFO [00009468] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC
9466.50ns INFO [00009468] Port=0 WR @1E=53B47AEC
9467.50ns INFO [00009469] Port=0 WR @0E=EA1E7D6F
9467.50ns INFO [00009469] Port=0 RD @16
9468.50ns INFO [00009470] Port=0 WR @13=3CBA4F57
9469.50ns INFO [00009471] * RD COMPARE * port=0 adr=16 act=4CC93602 exp=4CC93602
9469.50ns INFO [00009471] Port=0 WR @1E=9C2310E4
9470.50ns INFO [00009472] Port=0 WR @1D=82580009
9470.50ns INFO [00009472] Port=1 RD @12
9471.50ns INFO [00009473] Port=1 RD @1C
9472.50ns INFO [00009474] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2
9472.50ns INFO [00009474] Port=1 RD @1F
9473.50ns INFO [00009475] * RD COMPARE * port=1 adr=1C act=BCBB4B7B exp=BCBB4B7B
9473.50ns INFO [00009475] Port=0 WR @1D=723BE7EC
9473.50ns INFO [00009475] Port=0 RD @0E
9473.50ns INFO [00009475] Port=1 RD @1A
9474.50ns INFO [00009476] * RD COMPARE * port=1 adr=1F act=09D3D5FF exp=09D3D5FF
9474.50ns INFO [00009476] Port=0 RD @06
9475.50ns INFO [00009477] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F
9475.50ns INFO [00009477] * RD COMPARE * port=1 adr=1A act=E66D183F exp=E66D183F
9475.50ns INFO [00009477] Port=0 RD @00
9476.50ns INFO [00009478] * RD COMPARE * port=0 adr=06 act=707C48F1 exp=707C48F1
9477.50ns INFO [00009479] * RD COMPARE * port=0 adr=00 act=F7D39A52 exp=F7D39A52
9477.50ns INFO [00009479] Port=0 WR @16=62CB16D2
9478.50ns INFO [00009480] Port=0 WR @1F=A8F5830C
9478.50ns INFO [00009480] Port=0 RD @0A
9478.50ns INFO [00009480] Port=1 RD @16
9479.50ns INFO [00009481] Port=0 WR @07=654FB93A
9479.50ns INFO [00009481] Port=0 RD @08
9480.50ns INFO [00009482] * RD COMPARE * port=0 adr=0A act=927CD999 exp=927CD999
9480.50ns INFO [00009482] * RD COMPARE * port=1 adr=16 act=62CB16D2 exp=62CB16D2
9480.50ns INFO [00009482] Port=0 RD @0B
9480.50ns INFO [00009482] Port=1 RD @09
9481.50ns INFO [00009483] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037
9481.50ns INFO [00009483] Port=0 RD @09
9481.50ns INFO [00009483] Port=1 RD @09
9482.50ns INFO [00009484] * RD COMPARE * port=0 adr=0B act=95B31176 exp=95B31176
9482.50ns INFO [00009484] * RD COMPARE * port=1 adr=09 act=9A9C2828 exp=9A9C2828
9482.50ns INFO [00009484] Port=0 WR @03=C385A873
9483.50ns INFO [00009485] * RD COMPARE * port=0 adr=09 act=9A9C2828 exp=9A9C2828
9483.50ns INFO [00009485] * RD COMPARE * port=1 adr=09 act=9A9C2828 exp=9A9C2828
9483.50ns INFO [00009485] Port=0 WR @09=B1472AC9
9483.50ns INFO [00009485] Port=0 RD @1E
9484.50ns INFO [00009486] Port=0 RD @1E
9485.50ns INFO [00009487] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4
9485.50ns INFO [00009487] Port=0 WR @16=01316E6C
9486.50ns INFO [00009488] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4
9486.50ns INFO [00009488] Port=1 RD @1B
9487.50ns INFO [00009489] Port=0 RD @01
9488.50ns INFO [00009490] * RD COMPARE * port=1 adr=1B act=D342FABD exp=D342FABD
9488.50ns INFO [00009490] Port=0 WR @17=1B61ABBC
9489.50ns INFO [00009491] * RD COMPARE * port=0 adr=01 act=902AA7C7 exp=902AA7C7
9490.50ns INFO [00009492] Port=1 RD @03
9492.50ns INFO [00009494] * RD COMPARE * port=1 adr=03 act=C385A873 exp=C385A873
9493.50ns INFO [00009495] Port=0 RD @1E
9494.50ns INFO [00009496] Port=0 WR @1C=C7FDE6B5
9494.50ns INFO [00009496] Port=0 RD @04
9495.50ns INFO [00009497] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4
9495.50ns INFO [00009497] Port=0 WR @1D=9B220408
9495.50ns INFO [00009497] Port=0 RD @0C
9496.50ns INFO [00009498] * RD COMPARE * port=0 adr=04 act=58B8DD3B exp=58B8DD3B
9497.50ns INFO [00009499] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC
9497.50ns INFO [00009499] Port=1 RD @19
9498.00ns INFO [00009500] [00009500] ...tick...
9498.50ns INFO [00009500] Port=1 RD @0A
9499.50ns INFO [00009501] * RD COMPARE * port=1 adr=19 act=D9A9303B exp=D9A9303B
9499.50ns INFO [00009501] Port=0 RD @1B
9499.50ns INFO [00009501] Port=1 RD @00
9500.50ns INFO [00009502] * RD COMPARE * port=1 adr=0A act=927CD999 exp=927CD999
9500.50ns INFO [00009502] Port=1 RD @0C
9501.50ns INFO [00009503] * RD COMPARE * port=0 adr=1B act=D342FABD exp=D342FABD
9501.50ns INFO [00009503] * RD COMPARE * port=1 adr=00 act=F7D39A52 exp=F7D39A52
9501.50ns INFO [00009503] Port=0 WR @1C=54824A46
9502.50ns INFO [00009504] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC
9502.50ns INFO [00009504] Port=0 WR @05=63E81FC7
9502.50ns INFO [00009504] Port=0 RD @02
9502.50ns INFO [00009504] Port=1 RD @13
9503.50ns INFO [00009505] Port=0 RD @05
9504.50ns INFO [00009506] * RD COMPARE * port=0 adr=02 act=596FA18A exp=596FA18A
9504.50ns INFO [00009506] * RD COMPARE * port=1 adr=13 act=3CBA4F57 exp=3CBA4F57
9504.50ns INFO [00009506] Port=0 WR @18=CA75CAD0
9505.50ns INFO [00009507] * RD COMPARE * port=0 adr=05 act=63E81FC7 exp=63E81FC7
9507.50ns INFO [00009509] Port=0 WR @11=707E8650
9507.50ns INFO [00009509] Port=0 RD @07
9507.50ns INFO [00009509] Port=1 RD @1F
9508.50ns INFO [00009510] Port=0 WR @1B=5CCC8066
9509.50ns INFO [00009511] * RD COMPARE * port=0 adr=07 act=654FB93A exp=654FB93A
9509.50ns INFO [00009511] * RD COMPARE * port=1 adr=1F act=A8F5830C exp=A8F5830C
9509.50ns INFO [00009511] Port=0 WR @03=2648F392
9509.50ns INFO [00009511] Port=1 RD @08
9510.50ns INFO [00009512] Port=0 WR @16=1B57C393
9511.50ns INFO [00009513] * RD COMPARE * port=1 adr=08 act=9A58A037 exp=9A58A037
9511.50ns INFO [00009513] Port=0 WR @06=7505A563
9511.50ns INFO [00009513] Port=1 RD @1E
9512.50ns INFO [00009514] Port=0 WR @1B=79EC5CD5
9513.50ns INFO [00009515] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4
9513.50ns INFO [00009515] Port=0 WR @1A=CC95F52E
9513.50ns INFO [00009515] Port=1 RD @0C
9514.50ns INFO [00009516] Port=0 WR @16=E3850577
9514.50ns INFO [00009516] Port=1 RD @1C
9515.50ns INFO [00009517] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC
9515.50ns INFO [00009517] Port=1 RD @0C
9516.50ns INFO [00009518] * RD COMPARE * port=1 adr=1C act=54824A46 exp=54824A46
9516.50ns INFO [00009518] Port=0 RD @07
9516.50ns INFO [00009518] Port=1 RD @16
9517.50ns INFO [00009519] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC
9517.50ns INFO [00009519] Port=0 RD @06
9518.50ns INFO [00009520] * RD COMPARE * port=0 adr=07 act=654FB93A exp=654FB93A
9518.50ns INFO [00009520] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577
9518.50ns INFO [00009520] Port=1 RD @18
9519.50ns INFO [00009521] * RD COMPARE * port=0 adr=06 act=7505A563 exp=7505A563
9519.50ns INFO [00009521] Port=0 RD @10
9519.50ns INFO [00009521] Port=1 RD @1A
9520.50ns INFO [00009522] * RD COMPARE * port=1 adr=18 act=CA75CAD0 exp=CA75CAD0
9520.50ns INFO [00009522] Port=1 RD @11
9521.50ns INFO [00009523] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9521.50ns INFO [00009523] * RD COMPARE * port=1 adr=1A act=CC95F52E exp=CC95F52E
9521.50ns INFO [00009523] Port=1 RD @01
9522.50ns INFO [00009524] * RD COMPARE * port=1 adr=11 act=707E8650 exp=707E8650
9522.50ns INFO [00009524] Port=0 WR @1A=CDF76A07
9523.50ns INFO [00009525] * RD COMPARE * port=1 adr=01 act=902AA7C7 exp=902AA7C7
9524.50ns INFO [00009526] Port=0 WR @05=48CAAB7E
9524.50ns INFO [00009526] Port=1 RD @13
9525.50ns INFO [00009527] Port=0 WR @01=DED8A495
9525.50ns INFO [00009527] Port=0 RD @19
9525.50ns INFO [00009527] Port=1 RD @1E
9526.50ns INFO [00009528] * RD COMPARE * port=1 adr=13 act=3CBA4F57 exp=3CBA4F57
9527.50ns INFO [00009529] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B
9527.50ns INFO [00009529] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4
9527.50ns INFO [00009529] Port=0 WR @0D=5D086183
9527.50ns INFO [00009529] Port=0 RD @02
9527.50ns INFO [00009529] Port=1 RD @1E
9528.50ns INFO [00009530] Port=1 RD @00
9529.50ns INFO [00009531] * RD COMPARE * port=0 adr=02 act=596FA18A exp=596FA18A
9529.50ns INFO [00009531] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4
9529.50ns INFO [00009531] Port=0 WR @11=5AEB664A
9530.50ns INFO [00009532] * RD COMPARE * port=1 adr=00 act=F7D39A52 exp=F7D39A52
9530.50ns INFO [00009532] Port=0 RD @0C
9530.50ns INFO [00009532] Port=1 RD @04
9531.50ns INFO [00009533] Port=0 WR @0F=D990AF94
9532.50ns INFO [00009534] * RD COMPARE * port=0 adr=0C act=A49668BC exp=A49668BC
9532.50ns INFO [00009534] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9532.50ns INFO [00009534] Port=1 RD @1B
9534.50ns INFO [00009536] * RD COMPARE * port=1 adr=1B act=79EC5CD5 exp=79EC5CD5
9535.50ns INFO [00009537] Port=0 WR @14=E2AC5B84
9536.50ns INFO [00009538] Port=0 WR @02=3B59A323
9536.50ns INFO [00009538] Port=1 RD @11
9538.50ns INFO [00009540] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A
9541.50ns INFO [00009543] Port=0 RD @10
9541.50ns INFO [00009543] Port=1 RD @1F
9542.50ns INFO [00009544] Port=0 WR @01=B2D66C45
9542.50ns INFO [00009544] Port=1 RD @0A
9543.50ns INFO [00009545] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9543.50ns INFO [00009545] * RD COMPARE * port=1 adr=1F act=A8F5830C exp=A8F5830C
9543.50ns INFO [00009545] Port=0 RD @08
9543.50ns INFO [00009545] Port=1 RD @0C
9544.50ns INFO [00009546] * RD COMPARE * port=1 adr=0A act=927CD999 exp=927CD999
9544.50ns INFO [00009546] Port=0 WR @18=27BF83F9
9545.50ns INFO [00009547] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037
9545.50ns INFO [00009547] * RD COMPARE * port=1 adr=0C act=A49668BC exp=A49668BC
9545.50ns INFO [00009547] Port=0 RD @13
9545.50ns INFO [00009547] Port=1 RD @1B
9546.50ns INFO [00009548] Port=1 RD @07
9547.50ns INFO [00009549] * RD COMPARE * port=0 adr=13 act=3CBA4F57 exp=3CBA4F57
9547.50ns INFO [00009549] * RD COMPARE * port=1 adr=1B act=79EC5CD5 exp=79EC5CD5
9547.50ns INFO [00009549] Port=0 RD @10
9548.50ns INFO [00009550] * RD COMPARE * port=1 adr=07 act=654FB93A exp=654FB93A
9549.50ns INFO [00009551] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9549.50ns INFO [00009551] Port=0 RD @13
9550.50ns INFO [00009552] Port=1 RD @1A
9551.50ns INFO [00009553] * RD COMPARE * port=0 adr=13 act=3CBA4F57 exp=3CBA4F57
9551.50ns INFO [00009553] Port=0 WR @14=809A5AF3
9551.50ns INFO [00009553] Port=0 RD @18
9552.50ns INFO [00009554] * RD COMPARE * port=1 adr=1A act=CDF76A07 exp=CDF76A07
9553.50ns INFO [00009555] * RD COMPARE * port=0 adr=18 act=27BF83F9 exp=27BF83F9
9553.50ns INFO [00009555] Port=0 RD @01
9555.50ns INFO [00009557] * RD COMPARE * port=0 adr=01 act=B2D66C45 exp=B2D66C45
9555.50ns INFO [00009557] Port=0 RD @1D
9556.50ns INFO [00009558] Port=0 WR @0A=FEEDD490
9557.50ns INFO [00009559] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408
9557.50ns INFO [00009559] Port=0 RD @11
9558.50ns INFO [00009560] Port=0 WR @00=22EE26D2
9558.50ns INFO [00009560] Port=1 RD @0A
9559.50ns INFO [00009561] * RD COMPARE * port=0 adr=11 act=5AEB664A exp=5AEB664A
9559.50ns INFO [00009561] Port=0 WR @07=31B1755C
9560.50ns INFO [00009562] * RD COMPARE * port=1 adr=0A act=FEEDD490 exp=FEEDD490
9560.50ns INFO [00009562] Port=0 WR @1F=B86E5C09
9560.50ns INFO [00009562] Port=0 RD @07
9560.50ns INFO [00009562] Port=1 RD @0A
9562.50ns INFO [00009564] * RD COMPARE * port=0 adr=07 act=31B1755C exp=31B1755C
9562.50ns INFO [00009564] * RD COMPARE * port=1 adr=0A act=FEEDD490 exp=FEEDD490
9562.50ns INFO [00009564] Port=0 RD @1D
9563.50ns INFO [00009565] Port=0 RD @05
9564.50ns INFO [00009566] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408
9564.50ns INFO [00009566] Port=1 RD @16
9565.50ns INFO [00009567] * RD COMPARE * port=0 adr=05 act=48CAAB7E exp=48CAAB7E
9566.50ns INFO [00009568] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577
9566.50ns INFO [00009568] Port=0 RD @0E
9567.50ns INFO [00009569] Port=0 WR @07=5FF785A7
9567.50ns INFO [00009569] Port=0 RD @1F
9568.50ns INFO [00009570] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F
9568.50ns INFO [00009570] Port=0 WR @0B=CAF7A785
9568.50ns INFO [00009570] Port=1 RD @0E
9569.50ns INFO [00009571] * RD COMPARE * port=0 adr=1F act=B86E5C09 exp=B86E5C09
9569.50ns INFO [00009571] Port=0 WR @0B=918A397D
9569.50ns INFO [00009571] Port=1 RD @17
9570.50ns INFO [00009572] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F
9570.50ns INFO [00009572] Port=0 WR @1A=7E0C365E
9570.50ns INFO [00009572] Port=0 RD @19
9570.50ns INFO [00009572] Port=1 RD @12
9571.50ns INFO [00009573] * RD COMPARE * port=1 adr=17 act=1B61ABBC exp=1B61ABBC
9571.50ns INFO [00009573] Port=0 WR @0C=21A93D84
9572.50ns INFO [00009574] * RD COMPARE * port=0 adr=19 act=D9A9303B exp=D9A9303B
9572.50ns INFO [00009574] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2
9573.50ns INFO [00009575] Port=0 RD @00
9575.50ns INFO [00009577] * RD COMPARE * port=0 adr=00 act=22EE26D2 exp=22EE26D2
9575.50ns INFO [00009577] Port=0 WR @13=AE312F55
9576.50ns INFO [00009578] Port=0 WR @19=7B590017
9576.50ns INFO [00009578] Port=0 RD @1A
9576.50ns INFO [00009578] Port=1 RD @11
9577.50ns INFO [00009579] Port=0 WR @14=752249A4
9578.50ns INFO [00009580] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E
9578.50ns INFO [00009580] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A
9578.50ns INFO [00009580] Port=0 WR @0D=3D741650
9580.50ns INFO [00009582] Port=1 RD @00
9581.50ns INFO [00009583] Port=0 RD @1E
9581.50ns INFO [00009583] Port=1 RD @09
9582.50ns INFO [00009584] * RD COMPARE * port=1 adr=00 act=22EE26D2 exp=22EE26D2
9583.50ns INFO [00009585] * RD COMPARE * port=0 adr=1E act=9C2310E4 exp=9C2310E4
9583.50ns INFO [00009585] * RD COMPARE * port=1 adr=09 act=B1472AC9 exp=B1472AC9
9583.50ns INFO [00009585] Port=0 RD @1B
9585.50ns INFO [00009587] * RD COMPARE * port=0 adr=1B act=79EC5CD5 exp=79EC5CD5
9586.50ns INFO [00009588] Port=1 RD @09
9587.50ns INFO [00009589] Port=0 RD @10
9588.50ns INFO [00009590] * RD COMPARE * port=1 adr=09 act=B1472AC9 exp=B1472AC9
9588.50ns INFO [00009590] Port=0 RD @0F
9588.50ns INFO [00009590] Port=1 RD @19
9589.50ns INFO [00009591] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9590.50ns INFO [00009592] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94
9590.50ns INFO [00009592] * RD COMPARE * port=1 adr=19 act=7B590017 exp=7B590017
9590.50ns INFO [00009592] Port=0 RD @18
9591.50ns INFO [00009593] Port=0 WR @13=469EC460
9591.50ns INFO [00009593] Port=1 RD @04
9592.50ns INFO [00009594] * RD COMPARE * port=0 adr=18 act=27BF83F9 exp=27BF83F9
9592.50ns INFO [00009594] Port=0 WR @1F=9F4A3E7D
9592.50ns INFO [00009594] Port=0 RD @0A
9593.50ns INFO [00009595] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9593.50ns INFO [00009595] Port=0 RD @08
9594.50ns INFO [00009596] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490
9594.50ns INFO [00009596] Port=1 RD @14
9595.50ns INFO [00009597] * RD COMPARE * port=0 adr=08 act=9A58A037 exp=9A58A037
9595.50ns INFO [00009597] Port=1 RD @0F
9596.50ns INFO [00009598] * RD COMPARE * port=1 adr=14 act=752249A4 exp=752249A4
9597.50ns INFO [00009599] * RD COMPARE * port=1 adr=0F act=D990AF94 exp=D990AF94
9597.50ns INFO [00009599] Port=0 RD @0E
9598.00ns INFO [00009600] [00009600] ...tick...
9598.50ns INFO [00009600] Port=0 WR @06=4F778C00
9598.50ns INFO [00009600] Port=1 RD @03
9599.50ns INFO [00009601] * RD COMPARE * port=0 adr=0E act=EA1E7D6F exp=EA1E7D6F
9599.50ns INFO [00009601] Port=1 RD @0D
9600.50ns INFO [00009602] * RD COMPARE * port=1 adr=03 act=2648F392 exp=2648F392
9601.50ns INFO [00009603] * RD COMPARE * port=1 adr=0D act=3D741650 exp=3D741650
9601.50ns INFO [00009603] Port=0 RD @00
9602.50ns INFO [00009604] Port=0 RD @1A
9602.50ns INFO [00009604] Port=1 RD @0E
9603.50ns INFO [00009605] * RD COMPARE * port=0 adr=00 act=22EE26D2 exp=22EE26D2
9604.50ns INFO [00009606] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E
9604.50ns INFO [00009606] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F
9604.50ns INFO [00009606] Port=0 RD @02
9604.50ns INFO [00009606] Port=1 RD @06
9605.50ns INFO [00009607] Port=0 WR @03=0BD8D722
9605.50ns INFO [00009607] Port=0 RD @01
9605.50ns INFO [00009607] Port=1 RD @0B
9606.50ns INFO [00009608] * RD COMPARE * port=0 adr=02 act=3B59A323 exp=3B59A323
9606.50ns INFO [00009608] * RD COMPARE * port=1 adr=06 act=4F778C00 exp=4F778C00
9606.50ns INFO [00009608] Port=1 RD @05
9607.50ns INFO [00009609] * RD COMPARE * port=0 adr=01 act=B2D66C45 exp=B2D66C45
9607.50ns INFO [00009609] * RD COMPARE * port=1 adr=0B act=918A397D exp=918A397D
9607.50ns INFO [00009609] Port=0 WR @1B=A4681146
9608.50ns INFO [00009610] * RD COMPARE * port=1 adr=05 act=48CAAB7E exp=48CAAB7E
9609.50ns INFO [00009611] Port=1 RD @1E
9610.50ns INFO [00009612] Port=0 WR @07=5F736826
9611.50ns INFO [00009613] * RD COMPARE * port=1 adr=1E act=9C2310E4 exp=9C2310E4
9611.50ns INFO [00009613] Port=0 WR @0B=34C5569B
9612.50ns INFO [00009614] Port=0 RD @10
9614.50ns INFO [00009616] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9614.50ns INFO [00009616] Port=0 WR @1B=0A0232CD
9617.50ns INFO [00009619] Port=0 WR @1E=1EE131C4
9617.50ns INFO [00009619] Port=1 RD @1B
9618.50ns INFO [00009620] Port=0 WR @15=912691A2
9618.50ns INFO [00009620] Port=1 RD @10
9619.50ns INFO [00009621] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD
9620.50ns INFO [00009622] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109
9620.50ns INFO [00009622] Port=0 RD @1E
9621.50ns INFO [00009623] Port=0 WR @06=6BC19E46
9622.50ns INFO [00009624] * RD COMPARE * port=0 adr=1E act=1EE131C4 exp=1EE131C4
9622.50ns INFO [00009624] Port=0 RD @1D
9624.50ns INFO [00009626] * RD COMPARE * port=0 adr=1D act=9B220408 exp=9B220408
9624.50ns INFO [00009626] Port=0 WR @0C=B9A010DB
9625.50ns INFO [00009627] Port=0 WR @06=14789423
9625.50ns INFO [00009627] Port=1 RD @10
9626.50ns INFO [00009628] Port=0 WR @01=80B62E41
9626.50ns INFO [00009628] Port=1 RD @11
9627.50ns INFO [00009629] * RD COMPARE * port=1 adr=10 act=25CC3109 exp=25CC3109
9627.50ns INFO [00009629] Port=0 RD @10
9628.50ns INFO [00009630] * RD COMPARE * port=1 adr=11 act=5AEB664A exp=5AEB664A
9628.50ns INFO [00009630] Port=0 RD @01
9629.50ns INFO [00009631] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9630.50ns INFO [00009632] * RD COMPARE * port=0 adr=01 act=80B62E41 exp=80B62E41
9630.50ns INFO [00009632] Port=1 RD @06
9631.50ns INFO [00009633] Port=1 RD @07
9632.50ns INFO [00009634] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423
9632.50ns INFO [00009634] Port=0 WR @1E=EF182096
9633.50ns INFO [00009635] * RD COMPARE * port=1 adr=07 act=5F736826 exp=5F736826
9633.50ns INFO [00009635] Port=0 RD @1E
9635.50ns INFO [00009637] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096
9635.50ns INFO [00009637] Port=0 WR @00=13CDD49A
9636.50ns INFO [00009638] Port=0 WR @0D=64147293
9638.50ns INFO [00009640] Port=0 RD @10
9638.50ns INFO [00009640] Port=1 RD @06
9639.50ns INFO [00009641] Port=1 RD @06
9640.50ns INFO [00009642] * RD COMPARE * port=0 adr=10 act=25CC3109 exp=25CC3109
9640.50ns INFO [00009642] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423
9641.50ns INFO [00009643] * RD COMPARE * port=1 adr=06 act=14789423 exp=14789423
9641.50ns INFO [00009643] Port=1 RD @0D
9642.50ns INFO [00009644] Port=0 RD @16
9642.50ns INFO [00009644] Port=1 RD @05
9643.50ns INFO [00009645] * RD COMPARE * port=1 adr=0D act=64147293 exp=64147293
9644.50ns INFO [00009646] * RD COMPARE * port=0 adr=16 act=E3850577 exp=E3850577
9644.50ns INFO [00009646] * RD COMPARE * port=1 adr=05 act=48CAAB7E exp=48CAAB7E
9644.50ns INFO [00009646] Port=0 WR @01=D903727E
9644.50ns INFO [00009646] Port=1 RD @1C
9645.50ns INFO [00009647] Port=0 WR @03=FB818768
9646.50ns INFO [00009648] * RD COMPARE * port=1 adr=1C act=54824A46 exp=54824A46
9646.50ns INFO [00009648] Port=1 RD @12
9647.50ns INFO [00009649] Port=0 WR @10=436810A9
9648.50ns INFO [00009650] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2
9648.50ns INFO [00009650] Port=1 RD @10
9649.50ns INFO [00009651] Port=0 WR @17=DFACFB77
9649.50ns INFO [00009651] Port=1 RD @1A
9650.50ns INFO [00009652] * RD COMPARE * port=1 adr=10 act=436810A9 exp=436810A9
9650.50ns INFO [00009652] Port=0 WR @08=719AAB57
9651.50ns INFO [00009653] * RD COMPARE * port=1 adr=1A act=7E0C365E exp=7E0C365E
9651.50ns INFO [00009653] Port=0 RD @10
9653.50ns INFO [00009655] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9
9653.50ns INFO [00009655] Port=0 RD @10
9654.50ns INFO [00009656] Port=1 RD @04
9655.50ns INFO [00009657] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9
9655.50ns INFO [00009657] Port=0 WR @06=B6C92D57
9656.50ns INFO [00009658] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9656.50ns INFO [00009658] Port=1 RD @01
9657.50ns INFO [00009659] Port=0 RD @00
9657.50ns INFO [00009659] Port=1 RD @0E
9658.50ns INFO [00009660] * RD COMPARE * port=1 adr=01 act=D903727E exp=D903727E
9658.50ns INFO [00009660] Port=1 RD @04
9659.50ns INFO [00009661] * RD COMPARE * port=0 adr=00 act=13CDD49A exp=13CDD49A
9659.50ns INFO [00009661] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F
9659.50ns INFO [00009661] Port=0 RD @14
9659.50ns INFO [00009661] Port=1 RD @0E
9660.50ns INFO [00009662] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9660.50ns INFO [00009662] Port=0 WR @19=9B9EED42
9661.50ns INFO [00009663] * RD COMPARE * port=0 adr=14 act=752249A4 exp=752249A4
9661.50ns INFO [00009663] * RD COMPARE * port=1 adr=0E act=EA1E7D6F exp=EA1E7D6F
9662.50ns INFO [00009664] Port=0 RD @1A
9663.50ns INFO [00009665] Port=0 WR @06=D2184B4E
9663.50ns INFO [00009665] Port=0 RD @00
9663.50ns INFO [00009665] Port=1 RD @0D
9664.50ns INFO [00009666] * RD COMPARE * port=0 adr=1A act=7E0C365E exp=7E0C365E
9664.50ns INFO [00009666] Port=0 RD @12
9665.50ns INFO [00009667] * RD COMPARE * port=0 adr=00 act=13CDD49A exp=13CDD49A
9665.50ns INFO [00009667] * RD COMPARE * port=1 adr=0D act=64147293 exp=64147293
9666.50ns INFO [00009668] * RD COMPARE * port=0 adr=12 act=7D18EDC2 exp=7D18EDC2
9668.50ns INFO [00009670] Port=0 WR @05=9DCCC4FD
9670.50ns INFO [00009672] Port=1 RD @1E
9671.50ns INFO [00009673] Port=0 RD @17
9672.50ns INFO [00009674] * RD COMPARE * port=1 adr=1E act=EF182096 exp=EF182096
9672.50ns INFO [00009674] Port=1 RD @16
9673.50ns INFO [00009675] * RD COMPARE * port=0 adr=17 act=DFACFB77 exp=DFACFB77
9673.50ns INFO [00009675] Port=0 RD @16
9673.50ns INFO [00009675] Port=1 RD @12
9674.50ns INFO [00009676] * RD COMPARE * port=1 adr=16 act=E3850577 exp=E3850577
9674.50ns INFO [00009676] Port=0 WR @0D=2738BB1F
9675.50ns INFO [00009677] * RD COMPARE * port=0 adr=16 act=E3850577 exp=E3850577
9675.50ns INFO [00009677] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2
9675.50ns INFO [00009677] Port=0 WR @1D=69CD5386
9676.50ns INFO [00009678] Port=0 WR @02=A08D5917
9677.50ns INFO [00009679] Port=0 WR @01=112A6B68
9677.50ns INFO [00009679] Port=0 RD @10
9678.50ns INFO [00009680] Port=0 WR @0D=F795AAF3
9678.50ns INFO [00009680] Port=1 RD @0F
9679.50ns INFO [00009681] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9
9679.50ns INFO [00009681] Port=0 RD @0A
9680.50ns INFO [00009682] * RD COMPARE * port=1 adr=0F act=D990AF94 exp=D990AF94
9680.50ns INFO [00009682] Port=0 RD @0F
9681.50ns INFO [00009683] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490
9681.50ns INFO [00009683] Port=0 WR @14=B3FFFAA1
9681.50ns INFO [00009683] Port=0 RD @1B
9681.50ns INFO [00009683] Port=1 RD @1D
9682.50ns INFO [00009684] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94
9682.50ns INFO [00009684] Port=0 RD @15
9682.50ns INFO [00009684] Port=1 RD @0D
9683.50ns INFO [00009685] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD
9683.50ns INFO [00009685] * RD COMPARE * port=1 adr=1D act=69CD5386 exp=69CD5386
9683.50ns INFO [00009685] Port=0 WR @01=86C53157
9683.50ns INFO [00009685] Port=0 RD @12
9684.50ns INFO [00009686] * RD COMPARE * port=0 adr=15 act=912691A2 exp=912691A2
9684.50ns INFO [00009686] * RD COMPARE * port=1 adr=0D act=F795AAF3 exp=F795AAF3
9685.50ns INFO [00009687] * RD COMPARE * port=0 adr=12 act=7D18EDC2 exp=7D18EDC2
9685.50ns INFO [00009687] Port=0 WR @1A=78C0C134
9685.50ns INFO [00009687] Port=0 RD @09
9686.50ns INFO [00009688] Port=0 RD @02
9686.50ns INFO [00009688] Port=1 RD @00
9687.50ns INFO [00009689] * RD COMPARE * port=0 adr=09 act=B1472AC9 exp=B1472AC9
9687.50ns INFO [00009689] Port=1 RD @02
9688.50ns INFO [00009690] * RD COMPARE * port=0 adr=02 act=A08D5917 exp=A08D5917
9688.50ns INFO [00009690] * RD COMPARE * port=1 adr=00 act=13CDD49A exp=13CDD49A
9689.50ns INFO [00009691] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9689.50ns INFO [00009691] Port=0 WR @18=9F89D7A7
9690.50ns INFO [00009692] Port=0 RD @1E
9691.50ns INFO [00009693] Port=0 RD @1B
9691.50ns INFO [00009693] Port=1 RD @12
9692.50ns INFO [00009694] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096
9692.50ns INFO [00009694] Port=0 WR @0D=15BBA4FA
9692.50ns INFO [00009694] Port=0 RD @0A
9693.50ns INFO [00009695] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD
9693.50ns INFO [00009695] * RD COMPARE * port=1 adr=12 act=7D18EDC2 exp=7D18EDC2
9693.50ns INFO [00009695] Port=0 WR @09=E78A4312
9693.50ns INFO [00009695] Port=0 RD @0B
9693.50ns INFO [00009695] Port=1 RD @04
9694.50ns INFO [00009696] * RD COMPARE * port=0 adr=0A act=FEEDD490 exp=FEEDD490
9694.50ns INFO [00009696] Port=0 RD @14
9695.50ns INFO [00009697] * RD COMPARE * port=0 adr=0B act=34C5569B exp=34C5569B
9695.50ns INFO [00009697] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9695.50ns INFO [00009697] Port=0 RD @05
9696.50ns INFO [00009698] * RD COMPARE * port=0 adr=14 act=B3FFFAA1 exp=B3FFFAA1
9696.50ns INFO [00009698] Port=0 RD @1B
9697.50ns INFO [00009699] * RD COMPARE * port=0 adr=05 act=9DCCC4FD exp=9DCCC4FD
9697.50ns INFO [00009699] Port=0 WR @0E=DBD8DE5B
9697.50ns INFO [00009699] Port=1 RD @13
9698.00ns INFO [00009700] [00009700] ...tick...
9698.50ns INFO [00009700] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD
9698.50ns INFO [00009700] Port=0 WR @1D=C0DB0FF2
9698.50ns INFO [00009700] Port=0 RD @06
9699.50ns INFO [00009701] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460
9699.50ns INFO [00009701] Port=0 RD @0F
9700.50ns INFO [00009702] * RD COMPARE * port=0 adr=06 act=D2184B4E exp=D2184B4E
9700.50ns INFO [00009702] Port=0 WR @16=3C4CAD3A
9701.50ns INFO [00009703] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94
9701.50ns INFO [00009703] Port=1 RD @06
9702.50ns INFO [00009704] Port=0 WR @07=A0EA7345
9702.50ns INFO [00009704] Port=0 RD @0F
9703.50ns INFO [00009705] * RD COMPARE * port=1 adr=06 act=D2184B4E exp=D2184B4E
9704.50ns INFO [00009706] * RD COMPARE * port=0 adr=0F act=D990AF94 exp=D990AF94
9704.50ns INFO [00009706] Port=0 WR @01=6B836D81
9704.50ns INFO [00009706] Port=1 RD @17
9705.50ns INFO [00009707] Port=1 RD @08
9706.50ns INFO [00009708] * RD COMPARE * port=1 adr=17 act=DFACFB77 exp=DFACFB77
9707.50ns INFO [00009709] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57
9709.50ns INFO [00009711] Port=0 WR @19=6FF2B087
9710.50ns INFO [00009712] Port=0 RD @0D
9710.50ns INFO [00009712] Port=1 RD @00
9711.50ns INFO [00009713] Port=1 RD @02
9712.50ns INFO [00009714] * RD COMPARE * port=0 adr=0D act=15BBA4FA exp=15BBA4FA
9712.50ns INFO [00009714] * RD COMPARE * port=1 adr=00 act=13CDD49A exp=13CDD49A
9712.50ns INFO [00009714] Port=0 WR @01=6FCFD909
9712.50ns INFO [00009714] Port=1 RD @13
9713.50ns INFO [00009715] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9713.50ns INFO [00009715] Port=1 RD @08
9714.50ns INFO [00009716] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460
9714.50ns INFO [00009716] Port=0 WR @07=7CA02084
9714.50ns INFO [00009716] Port=1 RD @0C
9715.50ns INFO [00009717] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57
9715.50ns INFO [00009717] Port=0 RD @1D
9716.50ns INFO [00009718] * RD COMPARE * port=1 adr=0C act=B9A010DB exp=B9A010DB
9716.50ns INFO [00009718] Port=0 WR @14=141A2FB8
9716.50ns INFO [00009718] Port=0 RD @18
9717.50ns INFO [00009719] * RD COMPARE * port=0 adr=1D act=C0DB0FF2 exp=C0DB0FF2
9717.50ns INFO [00009719] Port=0 RD @1F
9718.50ns INFO [00009720] * RD COMPARE * port=0 adr=18 act=9F89D7A7 exp=9F89D7A7
9718.50ns INFO [00009720] Port=0 WR @15=FF062489
9719.50ns INFO [00009721] * RD COMPARE * port=0 adr=1F act=9F4A3E7D exp=9F4A3E7D
9719.50ns INFO [00009721] Port=0 WR @00=E262B146
9719.50ns INFO [00009721] Port=0 RD @10
9721.50ns INFO [00009723] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9
9721.50ns INFO [00009723] Port=1 RD @18
9723.50ns INFO [00009725] * RD COMPARE * port=1 adr=18 act=9F89D7A7 exp=9F89D7A7
9723.50ns INFO [00009725] Port=0 WR @05=C786F5E2
9724.50ns INFO [00009726] Port=0 WR @0F=E5955576
9726.50ns INFO [00009728] Port=0 RD @06
9727.50ns INFO [00009729] Port=0 RD @01
9728.50ns INFO [00009730] * RD COMPARE * port=0 adr=06 act=D2184B4E exp=D2184B4E
9728.50ns INFO [00009730] Port=0 RD @14
9728.50ns INFO [00009730] Port=1 RD @1F
9729.50ns INFO [00009731] * RD COMPARE * port=0 adr=01 act=6FCFD909 exp=6FCFD909
9729.50ns INFO [00009731] Port=0 WR @1D=E4A5F546
9729.50ns INFO [00009731] Port=1 RD @13
9730.50ns INFO [00009732] * RD COMPARE * port=0 adr=14 act=141A2FB8 exp=141A2FB8
9730.50ns INFO [00009732] * RD COMPARE * port=1 adr=1F act=9F4A3E7D exp=9F4A3E7D
9730.50ns INFO [00009732] Port=0 RD @1C
9731.50ns INFO [00009733] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460
9731.50ns INFO [00009733] Port=0 RD @1E
9731.50ns INFO [00009733] Port=1 RD @00
9732.50ns INFO [00009734] * RD COMPARE * port=0 adr=1C act=54824A46 exp=54824A46
9732.50ns INFO [00009734] Port=0 RD @03
9733.50ns INFO [00009735] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096
9733.50ns INFO [00009735] * RD COMPARE * port=1 adr=00 act=E262B146 exp=E262B146
9733.50ns INFO [00009735] Port=0 RD @1D
9734.50ns INFO [00009736] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9735.50ns INFO [00009737] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546
9735.50ns INFO [00009737] Port=1 RD @0F
9737.50ns INFO [00009739] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576
9737.50ns INFO [00009739] Port=0 WR @1F=58A20303
9737.50ns INFO [00009739] Port=0 RD @0B
9739.50ns INFO [00009741] * RD COMPARE * port=0 adr=0B act=34C5569B exp=34C5569B
9739.50ns INFO [00009741] Port=0 RD @05
9739.50ns INFO [00009741] Port=1 RD @18
9740.50ns INFO [00009742] Port=0 WR @11=73514541
9740.50ns INFO [00009742] Port=0 RD @1C
9741.50ns INFO [00009743] * RD COMPARE * port=0 adr=05 act=C786F5E2 exp=C786F5E2
9741.50ns INFO [00009743] * RD COMPARE * port=1 adr=18 act=9F89D7A7 exp=9F89D7A7
9741.50ns INFO [00009743] Port=0 WR @1F=968F8204
9741.50ns INFO [00009743] Port=0 RD @1D
9741.50ns INFO [00009743] Port=1 RD @07
9742.50ns INFO [00009744] * RD COMPARE * port=0 adr=1C act=54824A46 exp=54824A46
9742.50ns INFO [00009744] Port=0 WR @00=4A780B29
9743.50ns INFO [00009745] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546
9743.50ns INFO [00009745] * RD COMPARE * port=1 adr=07 act=7CA02084 exp=7CA02084
9743.50ns INFO [00009745] Port=0 RD @1A
9743.50ns INFO [00009745] Port=1 RD @1E
9744.50ns INFO [00009746] Port=0 RD @18
9745.50ns INFO [00009747] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134
9745.50ns INFO [00009747] * RD COMPARE * port=1 adr=1E act=EF182096 exp=EF182096
9745.50ns INFO [00009747] Port=0 WR @16=6F7D2F8E
9745.50ns INFO [00009747] Port=1 RD @0D
9746.50ns INFO [00009748] * RD COMPARE * port=0 adr=18 act=9F89D7A7 exp=9F89D7A7
9746.50ns INFO [00009748] Port=0 WR @1C=18A379E5
9746.50ns INFO [00009748] Port=0 RD @1D
9747.50ns INFO [00009749] * RD COMPARE * port=1 adr=0D act=15BBA4FA exp=15BBA4FA
9747.50ns INFO [00009749] Port=0 RD @10
9748.50ns INFO [00009750] * RD COMPARE * port=0 adr=1D act=E4A5F546 exp=E4A5F546
9748.50ns INFO [00009750] Port=0 WR @06=98639485
9748.50ns INFO [00009750] Port=1 RD @02
9749.50ns INFO [00009751] * RD COMPARE * port=0 adr=10 act=436810A9 exp=436810A9
9749.50ns INFO [00009751] Port=0 WR @00=CD8D03C1
9749.50ns INFO [00009751] Port=0 RD @0E
9750.50ns INFO [00009752] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9750.50ns INFO [00009752] Port=0 RD @1A
9750.50ns INFO [00009752] Port=1 RD @02
9751.50ns INFO [00009753] * RD COMPARE * port=0 adr=0E act=DBD8DE5B exp=DBD8DE5B
9751.50ns INFO [00009753] Port=0 WR @10=FE3E0144
9751.50ns INFO [00009753] Port=0 RD @14
9752.50ns INFO [00009754] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134
9752.50ns INFO [00009754] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9752.50ns INFO [00009754] Port=0 WR @1D=6F6B62F9
9752.50ns INFO [00009754] Port=0 RD @1E
9752.50ns INFO [00009754] Port=1 RD @02
9753.50ns INFO [00009755] * RD COMPARE * port=0 adr=14 act=141A2FB8 exp=141A2FB8
9753.50ns INFO [00009755] Port=0 RD @07
9754.50ns INFO [00009756] * RD COMPARE * port=0 adr=1E act=EF182096 exp=EF182096
9754.50ns INFO [00009756] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9754.50ns INFO [00009756] Port=1 RD @09
9755.50ns INFO [00009757] * RD COMPARE * port=0 adr=07 act=7CA02084 exp=7CA02084
9755.50ns INFO [00009757] Port=0 WR @11=52E6BBF6
9755.50ns INFO [00009757] Port=0 RD @09
9756.50ns INFO [00009758] * RD COMPARE * port=1 adr=09 act=E78A4312 exp=E78A4312
9756.50ns INFO [00009758] Port=0 RD @05
9756.50ns INFO [00009758] Port=1 RD @07
9757.50ns INFO [00009759] * RD COMPARE * port=0 adr=09 act=E78A4312 exp=E78A4312
9757.50ns INFO [00009759] Port=1 RD @00
9758.50ns INFO [00009760] * RD COMPARE * port=0 adr=05 act=C786F5E2 exp=C786F5E2
9758.50ns INFO [00009760] * RD COMPARE * port=1 adr=07 act=7CA02084 exp=7CA02084
9758.50ns INFO [00009760] Port=0 RD @04
9758.50ns INFO [00009760] Port=1 RD @1F
9759.50ns INFO [00009761] * RD COMPARE * port=1 adr=00 act=CD8D03C1 exp=CD8D03C1
9759.50ns INFO [00009761] Port=0 WR @09=93D6F6B9
9760.50ns INFO [00009762] * RD COMPARE * port=0 adr=04 act=58B8DD3B exp=58B8DD3B
9760.50ns INFO [00009762] * RD COMPARE * port=1 adr=1F act=968F8204 exp=968F8204
9760.50ns INFO [00009762] Port=0 WR @17=1B9D6BED
9761.50ns INFO [00009763] Port=0 WR @09=0A80DD41
9761.50ns INFO [00009763] Port=1 RD @14
9763.50ns INFO [00009765] * RD COMPARE * port=1 adr=14 act=141A2FB8 exp=141A2FB8
9763.50ns INFO [00009765] Port=0 RD @11
9764.50ns INFO [00009766] Port=0 WR @00=9B408874
9764.50ns INFO [00009766] Port=1 RD @0B
9765.50ns INFO [00009767] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9765.50ns INFO [00009767] Port=1 RD @03
9766.50ns INFO [00009768] * RD COMPARE * port=1 adr=0B act=34C5569B exp=34C5569B
9766.50ns INFO [00009768] Port=0 WR @01=67188218
9766.50ns INFO [00009768] Port=0 RD @08
9767.50ns INFO [00009769] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9767.50ns INFO [00009769] Port=0 WR @00=11B1094F
9767.50ns INFO [00009769] Port=0 RD @0F
9768.50ns INFO [00009770] * RD COMPARE * port=0 adr=08 act=719AAB57 exp=719AAB57
9768.50ns INFO [00009770] Port=0 RD @06
9769.50ns INFO [00009771] * RD COMPARE * port=0 adr=0F act=E5955576 exp=E5955576
9769.50ns INFO [00009771] Port=0 WR @1E=48E5C2BA
9769.50ns INFO [00009771] Port=1 RD @06
9770.50ns INFO [00009772] * RD COMPARE * port=0 adr=06 act=98639485 exp=98639485
9770.50ns INFO [00009772] Port=0 RD @0E
9770.50ns INFO [00009772] Port=1 RD @00
9771.50ns INFO [00009773] * RD COMPARE * port=1 adr=06 act=98639485 exp=98639485
9771.50ns INFO [00009773] Port=0 RD @11
9772.50ns INFO [00009774] * RD COMPARE * port=0 adr=0E act=DBD8DE5B exp=DBD8DE5B
9772.50ns INFO [00009774] * RD COMPARE * port=1 adr=00 act=11B1094F exp=11B1094F
9772.50ns INFO [00009774] Port=0 WR @05=ED9D7559
9772.50ns INFO [00009774] Port=0 RD @16
9773.50ns INFO [00009775] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9773.50ns INFO [00009775] Port=1 RD @11
9774.50ns INFO [00009776] * RD COMPARE * port=0 adr=16 act=6F7D2F8E exp=6F7D2F8E
9775.50ns INFO [00009777] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6
9775.50ns INFO [00009777] Port=1 RD @0F
9776.50ns INFO [00009778] Port=0 RD @1E
9777.50ns INFO [00009779] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576
9778.50ns INFO [00009780] * RD COMPARE * port=0 adr=1E act=48E5C2BA exp=48E5C2BA
9779.50ns INFO [00009781] Port=0 WR @0A=BE44BD5A
9779.50ns INFO [00009781] Port=0 RD @11
9780.50ns INFO [00009782] Port=0 WR @18=5AE750B6
9781.50ns INFO [00009783] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9781.50ns INFO [00009783] Port=0 WR @1E=F9E75C4A
9781.50ns INFO [00009783] Port=0 RD @18
9781.50ns INFO [00009783] Port=1 RD @16
9783.50ns INFO [00009785] * RD COMPARE * port=0 adr=18 act=5AE750B6 exp=5AE750B6
9783.50ns INFO [00009785] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E
9783.50ns INFO [00009785] Port=0 RD @00
9783.50ns INFO [00009785] Port=1 RD @0E
9784.50ns INFO [00009786] Port=0 RD @1A
9785.50ns INFO [00009787] * RD COMPARE * port=0 adr=00 act=11B1094F exp=11B1094F
9785.50ns INFO [00009787] * RD COMPARE * port=1 adr=0E act=DBD8DE5B exp=DBD8DE5B
9785.50ns INFO [00009787] Port=0 WR @06=FD2EB705
9785.50ns INFO [00009787] Port=1 RD @0B
9786.50ns INFO [00009788] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134
9787.50ns INFO [00009789] * RD COMPARE * port=1 adr=0B act=34C5569B exp=34C5569B
9787.50ns INFO [00009789] Port=1 RD @19
9788.50ns INFO [00009790] Port=0 WR @12=304FCB12
9788.50ns INFO [00009790] Port=0 RD @13
9789.50ns INFO [00009791] * RD COMPARE * port=1 adr=19 act=6FF2B087 exp=6FF2B087
9789.50ns INFO [00009791] Port=0 WR @0D=C0A7B014
9790.50ns INFO [00009792] * RD COMPARE * port=0 adr=13 act=469EC460 exp=469EC460
9790.50ns INFO [00009792] Port=1 RD @05
9791.50ns INFO [00009793] Port=0 WR @17=787D2364
9791.50ns INFO [00009793] Port=1 RD @0C
9792.50ns INFO [00009794] * RD COMPARE * port=1 adr=05 act=ED9D7559 exp=ED9D7559
9792.50ns INFO [00009794] Port=0 WR @19=9732B81A
9792.50ns INFO [00009794] Port=0 RD @08
9793.50ns INFO [00009795] * RD COMPARE * port=1 adr=0C act=B9A010DB exp=B9A010DB
9793.50ns INFO [00009795] Port=1 RD @13
9794.50ns INFO [00009796] * RD COMPARE * port=0 adr=08 act=719AAB57 exp=719AAB57
9795.50ns INFO [00009797] * RD COMPARE * port=1 adr=13 act=469EC460 exp=469EC460
9795.50ns INFO [00009797] Port=1 RD @04
9797.50ns INFO [00009799] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9798.00ns INFO [00009800] [00009800] ...tick...
9798.50ns INFO [00009800] Port=0 WR @17=4E8487C7
9798.50ns INFO [00009800] Port=0 RD @18
9799.50ns INFO [00009801] Port=0 RD @0A
9799.50ns INFO [00009801] Port=1 RD @08
9800.50ns INFO [00009802] * RD COMPARE * port=0 adr=18 act=5AE750B6 exp=5AE750B6
9801.50ns INFO [00009803] * RD COMPARE * port=0 adr=0A act=BE44BD5A exp=BE44BD5A
9801.50ns INFO [00009803] * RD COMPARE * port=1 adr=08 act=719AAB57 exp=719AAB57
9801.50ns INFO [00009803] Port=0 WR @08=F2CA52D3
9801.50ns INFO [00009803] Port=0 RD @0D
9802.50ns INFO [00009804] Port=0 WR @12=8360DA93
9802.50ns INFO [00009804] Port=1 RD @0A
9803.50ns INFO [00009805] * RD COMPARE * port=0 adr=0D act=C0A7B014 exp=C0A7B014
9803.50ns INFO [00009805] Port=0 WR @07=D8C18984
9803.50ns INFO [00009805] Port=1 RD @1D
9804.50ns INFO [00009806] * RD COMPARE * port=1 adr=0A act=BE44BD5A exp=BE44BD5A
9804.50ns INFO [00009806] Port=0 RD @05
9805.50ns INFO [00009807] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9
9805.50ns INFO [00009807] Port=1 RD @17
9806.50ns INFO [00009808] * RD COMPARE * port=0 adr=05 act=ED9D7559 exp=ED9D7559
9806.50ns INFO [00009808] Port=0 WR @0B=D0CD7E8A
9806.50ns INFO [00009808] Port=1 RD @1F
9807.50ns INFO [00009809] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7
9807.50ns INFO [00009809] Port=0 WR @10=887B675B
9807.50ns INFO [00009809] Port=0 RD @09
9807.50ns INFO [00009809] Port=1 RD @11
9808.50ns INFO [00009810] * RD COMPARE * port=1 adr=1F act=968F8204 exp=968F8204
9808.50ns INFO [00009810] Port=0 WR @0A=16ADD9FC
9808.50ns INFO [00009810] Port=1 RD @14
9809.50ns INFO [00009811] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41
9809.50ns INFO [00009811] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6
9809.50ns INFO [00009811] Port=1 RD @07
9810.50ns INFO [00009812] * RD COMPARE * port=1 adr=14 act=141A2FB8 exp=141A2FB8
9811.50ns INFO [00009813] * RD COMPARE * port=1 adr=07 act=D8C18984 exp=D8C18984
9811.50ns INFO [00009813] Port=1 RD @08
9812.50ns INFO [00009814] Port=1 RD @0E
9813.50ns INFO [00009815] * RD COMPARE * port=1 adr=08 act=F2CA52D3 exp=F2CA52D3
9813.50ns INFO [00009815] Port=0 RD @1F
9813.50ns INFO [00009815] Port=1 RD @1D
9814.50ns INFO [00009816] * RD COMPARE * port=1 adr=0E act=DBD8DE5B exp=DBD8DE5B
9814.50ns INFO [00009816] Port=0 WR @0A=DCB82FBB
9815.50ns INFO [00009817] * RD COMPARE * port=0 adr=1F act=968F8204 exp=968F8204
9815.50ns INFO [00009817] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9
9815.50ns INFO [00009817] Port=0 WR @0E=1DB39FBA
9815.50ns INFO [00009817] Port=0 RD @1B
9815.50ns INFO [00009817] Port=1 RD @0D
9816.50ns INFO [00009818] Port=0 WR @0D=ADEF2B6D
9816.50ns INFO [00009818] Port=0 RD @1A
9816.50ns INFO [00009818] Port=1 RD @1D
9817.50ns INFO [00009819] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD
9817.50ns INFO [00009819] * RD COMPARE * port=1 adr=0D act=C0A7B014 exp=C0A7B014
9817.50ns INFO [00009819] Port=0 WR @0B=67171555
9817.50ns INFO [00009819] Port=1 RD @04
9818.50ns INFO [00009820] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134
9818.50ns INFO [00009820] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9
9819.50ns INFO [00009821] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9819.50ns INFO [00009821] Port=0 WR @07=F9B196ED
9819.50ns INFO [00009821] Port=1 RD @1A
9820.50ns INFO [00009822] Port=1 RD @03
9821.50ns INFO [00009823] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134
9822.50ns INFO [00009824] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9822.50ns INFO [00009824] Port=0 RD @09
9822.50ns INFO [00009824] Port=1 RD @0E
9824.50ns INFO [00009826] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41
9824.50ns INFO [00009826] * RD COMPARE * port=1 adr=0E act=1DB39FBA exp=1DB39FBA
9824.50ns INFO [00009826] Port=0 WR @13=1D975E90
9824.50ns INFO [00009826] Port=0 RD @1B
9825.50ns INFO [00009827] Port=0 WR @0B=0A21C22D
9825.50ns INFO [00009827] Port=1 RD @0F
9826.50ns INFO [00009828] * RD COMPARE * port=0 adr=1B act=0A0232CD exp=0A0232CD
9827.50ns INFO [00009829] * RD COMPARE * port=1 adr=0F act=E5955576 exp=E5955576
9827.50ns INFO [00009829] Port=0 RD @0B
9828.50ns INFO [00009830] Port=0 RD @12
9829.50ns INFO [00009831] * RD COMPARE * port=0 adr=0B act=0A21C22D exp=0A21C22D
9829.50ns INFO [00009831] Port=0 WR @0B=480FBD6A
9830.50ns INFO [00009832] * RD COMPARE * port=0 adr=12 act=8360DA93 exp=8360DA93
9830.50ns INFO [00009832] Port=0 RD @1F
9830.50ns INFO [00009832] Port=1 RD @05
9832.50ns INFO [00009834] * RD COMPARE * port=0 adr=1F act=968F8204 exp=968F8204
9832.50ns INFO [00009834] * RD COMPARE * port=1 adr=05 act=ED9D7559 exp=ED9D7559
9832.50ns INFO [00009834] Port=0 RD @1E
9832.50ns INFO [00009834] Port=1 RD @02
9833.50ns INFO [00009835] Port=0 RD @09
9834.50ns INFO [00009836] * RD COMPARE * port=0 adr=1E act=F9E75C4A exp=F9E75C4A
9834.50ns INFO [00009836] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9834.50ns INFO [00009836] Port=1 RD @15
9835.50ns INFO [00009837] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41
9835.50ns INFO [00009837] Port=1 RD @13
9836.50ns INFO [00009838] * RD COMPARE * port=1 adr=15 act=FF062489 exp=FF062489
9836.50ns INFO [00009838] Port=0 WR @0A=A6F3C86A
9836.50ns INFO [00009838] Port=1 RD @08
9837.50ns INFO [00009839] * RD COMPARE * port=1 adr=13 act=1D975E90 exp=1D975E90
9837.50ns INFO [00009839] Port=0 RD @15
9837.50ns INFO [00009839] Port=1 RD @16
9838.50ns INFO [00009840] * RD COMPARE * port=1 adr=08 act=F2CA52D3 exp=F2CA52D3
9839.50ns INFO [00009841] * RD COMPARE * port=0 adr=15 act=FF062489 exp=FF062489
9839.50ns INFO [00009841] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E
9839.50ns INFO [00009841] Port=1 RD @16
9841.50ns INFO [00009843] * RD COMPARE * port=1 adr=16 act=6F7D2F8E exp=6F7D2F8E
9841.50ns INFO [00009843] Port=1 RD @12
9842.50ns INFO [00009844] Port=0 RD @0E
9843.50ns INFO [00009845] * RD COMPARE * port=1 adr=12 act=8360DA93 exp=8360DA93
9843.50ns INFO [00009845] Port=1 RD @02
9844.50ns INFO [00009846] * RD COMPARE * port=0 adr=0E act=1DB39FBA exp=1DB39FBA
9845.50ns INFO [00009847] * RD COMPARE * port=1 adr=02 act=A08D5917 exp=A08D5917
9845.50ns INFO [00009847] Port=0 WR @0F=1638DA88
9846.50ns INFO [00009848] Port=0 WR @18=F062FB19
9846.50ns INFO [00009848] Port=0 RD @19
9847.50ns INFO [00009849] Port=0 WR @14=DD53A1B2
9848.50ns INFO [00009850] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A
9848.50ns INFO [00009850] Port=0 RD @0B
9849.50ns INFO [00009851] Port=0 WR @06=CC95D1E4
9850.50ns INFO [00009852] * RD COMPARE * port=0 adr=0B act=480FBD6A exp=480FBD6A
9850.50ns INFO [00009852] Port=0 WR @02=6D36D08A
9850.50ns INFO [00009852] Port=0 RD @0A
9851.50ns INFO [00009853] Port=1 RD @06
9852.50ns INFO [00009854] * RD COMPARE * port=0 adr=0A act=A6F3C86A exp=A6F3C86A
9853.50ns INFO [00009855] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4
9853.50ns INFO [00009855] Port=0 WR @12=5F0A9A23
9855.50ns INFO [00009857] Port=1 RD @1D
9857.50ns INFO [00009859] * RD COMPARE * port=1 adr=1D act=6F6B62F9 exp=6F6B62F9
9859.50ns INFO [00009861] Port=0 RD @03
9860.50ns INFO [00009862] Port=0 WR @1E=1083637A
9860.50ns INFO [00009862] Port=0 RD @0C
9860.50ns INFO [00009862] Port=1 RD @01
9861.50ns INFO [00009863] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9861.50ns INFO [00009863] Port=0 WR @15=FC264072
9861.50ns INFO [00009863] Port=0 RD @14
9861.50ns INFO [00009863] Port=1 RD @19
9862.50ns INFO [00009864] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB
9862.50ns INFO [00009864] * RD COMPARE * port=1 adr=01 act=67188218 exp=67188218
9863.50ns INFO [00009865] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2
9863.50ns INFO [00009865] * RD COMPARE * port=1 adr=19 act=9732B81A exp=9732B81A
9863.50ns INFO [00009865] Port=0 RD @01
9864.50ns INFO [00009866] Port=1 RD @1A
9865.50ns INFO [00009867] * RD COMPARE * port=0 adr=01 act=67188218 exp=67188218
9865.50ns INFO [00009867] Port=0 WR @1D=0E3C7EB5
9866.50ns INFO [00009868] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134
9866.50ns INFO [00009868] Port=0 WR @1D=139D7933
9866.50ns INFO [00009868] Port=0 RD @1A
9866.50ns INFO [00009868] Port=1 RD @06
9868.50ns INFO [00009870] * RD COMPARE * port=0 adr=1A act=78C0C134 exp=78C0C134
9868.50ns INFO [00009870] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4
9868.50ns INFO [00009870] Port=1 RD @07
9869.50ns INFO [00009871] Port=1 RD @0E
9870.50ns INFO [00009872] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED
9870.50ns INFO [00009872] Port=0 RD @0F
9870.50ns INFO [00009872] Port=1 RD @19
9871.50ns INFO [00009873] * RD COMPARE * port=1 adr=0E act=1DB39FBA exp=1DB39FBA
9871.50ns INFO [00009873] Port=0 RD @03
9871.50ns INFO [00009873] Port=1 RD @0B
9872.50ns INFO [00009874] * RD COMPARE * port=0 adr=0F act=1638DA88 exp=1638DA88
9872.50ns INFO [00009874] * RD COMPARE * port=1 adr=19 act=9732B81A exp=9732B81A
9872.50ns INFO [00009874] Port=1 RD @18
9873.50ns INFO [00009875] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9873.50ns INFO [00009875] * RD COMPARE * port=1 adr=0B act=480FBD6A exp=480FBD6A
9874.50ns INFO [00009876] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19
9874.50ns INFO [00009876] Port=0 WR @0B=DEA3D6BA
9876.50ns INFO [00009878] Port=0 WR @01=C1F2B843
9877.50ns INFO [00009879] Port=0 RD @18
9879.50ns INFO [00009881] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19
9879.50ns INFO [00009881] Port=1 RD @17
9880.50ns INFO [00009882] Port=0 RD @11
9880.50ns INFO [00009882] Port=1 RD @02
9881.50ns INFO [00009883] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7
9881.50ns INFO [00009883] Port=1 RD @1D
9882.50ns INFO [00009884] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9882.50ns INFO [00009884] * RD COMPARE * port=1 adr=02 act=6D36D08A exp=6D36D08A
9882.50ns INFO [00009884] Port=0 RD @14
9882.50ns INFO [00009884] Port=1 RD @09
9883.50ns INFO [00009885] * RD COMPARE * port=1 adr=1D act=139D7933 exp=139D7933
9883.50ns INFO [00009885] Port=0 WR @1C=51EC8A68
9884.50ns INFO [00009886] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2
9884.50ns INFO [00009886] * RD COMPARE * port=1 adr=09 act=0A80DD41 exp=0A80DD41
9884.50ns INFO [00009886] Port=0 RD @11
9885.50ns INFO [00009887] Port=0 RD @19
9886.50ns INFO [00009888] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9886.50ns INFO [00009888] Port=0 RD @00
9887.50ns INFO [00009889] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A
9888.50ns INFO [00009890] * RD COMPARE * port=0 adr=00 act=11B1094F exp=11B1094F
9889.50ns INFO [00009891] Port=0 RD @0C
9889.50ns INFO [00009891] Port=1 RD @1C
9890.50ns INFO [00009892] Port=0 RD @03
9890.50ns INFO [00009892] Port=1 RD @1A
9891.50ns INFO [00009893] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB
9891.50ns INFO [00009893] * RD COMPARE * port=1 adr=1C act=51EC8A68 exp=51EC8A68
9892.50ns INFO [00009894] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9892.50ns INFO [00009894] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134
9892.50ns INFO [00009894] Port=0 WR @16=F6695E2E
9893.50ns INFO [00009895] Port=0 WR @15=D6009453
9895.50ns INFO [00009897] Port=0 WR @0A=62C01B24
9895.50ns INFO [00009897] Port=0 RD @15
9895.50ns INFO [00009897] Port=1 RD @0D
9896.50ns INFO [00009898] Port=0 WR @1C=67562E73
9897.50ns INFO [00009899] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453
9897.50ns INFO [00009899] * RD COMPARE * port=1 adr=0D act=ADEF2B6D exp=ADEF2B6D
9897.50ns INFO [00009899] Port=0 WR @1F=3AF15292
9898.00ns INFO [00009900] [00009900] ...tick...
9898.50ns INFO [00009900] Port=0 WR @1C=FE3FAADC
9898.50ns INFO [00009900] Port=0 RD @18
9899.50ns INFO [00009901] Port=0 WR @02=C25B56AA
9899.50ns INFO [00009901] Port=0 RD @03
9900.50ns INFO [00009902] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19
9900.50ns INFO [00009902] Port=0 WR @02=34415989
9901.50ns INFO [00009903] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9901.50ns INFO [00009903] Port=0 WR @0A=D77C45DB
9901.50ns INFO [00009903] Port=0 RD @0F
9901.50ns INFO [00009903] Port=1 RD @0F
9903.50ns INFO [00009905] * RD COMPARE * port=0 adr=0F act=1638DA88 exp=1638DA88
9903.50ns INFO [00009905] * RD COMPARE * port=1 adr=0F act=1638DA88 exp=1638DA88
9903.50ns INFO [00009905] Port=0 RD @11
9905.50ns INFO [00009907] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9905.50ns INFO [00009907] Port=0 RD @09
9905.50ns INFO [00009907] Port=1 RD @0F
9907.50ns INFO [00009909] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41
9907.50ns INFO [00009909] * RD COMPARE * port=1 adr=0F act=1638DA88 exp=1638DA88
9907.50ns INFO [00009909] Port=1 RD @03
9908.50ns INFO [00009910] Port=0 RD @07
9909.50ns INFO [00009911] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9909.50ns INFO [00009911] Port=0 WR @0B=4A870AB3
9909.50ns INFO [00009911] Port=0 RD @06
9910.50ns INFO [00009912] * RD COMPARE * port=0 adr=07 act=F9B196ED exp=F9B196ED
9911.50ns INFO [00009913] * RD COMPARE * port=0 adr=06 act=CC95D1E4 exp=CC95D1E4
9911.50ns INFO [00009913] Port=1 RD @04
9913.50ns INFO [00009915] * RD COMPARE * port=1 adr=04 act=58B8DD3B exp=58B8DD3B
9913.50ns INFO [00009915] Port=0 WR @1F=0005D2F3
9913.50ns INFO [00009915] Port=1 RD @16
9914.50ns INFO [00009916] Port=1 RD @03
9915.50ns INFO [00009917] * RD COMPARE * port=1 adr=16 act=F6695E2E exp=F6695E2E
9916.50ns INFO [00009918] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9916.50ns INFO [00009918] Port=0 RD @0B
9917.50ns INFO [00009919] Port=0 WR @04=737420D0
9917.50ns INFO [00009919] Port=0 RD @1D
9918.50ns INFO [00009920] * RD COMPARE * port=0 adr=0B act=4A870AB3 exp=4A870AB3
9918.50ns INFO [00009920] Port=0 WR @1E=76923501
9918.50ns INFO [00009920] Port=0 RD @0D
9918.50ns INFO [00009920] Port=1 RD @02
9919.50ns INFO [00009921] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933
9919.50ns INFO [00009921] Port=0 WR @1E=D08AE683
9919.50ns INFO [00009921] Port=1 RD @17
9920.50ns INFO [00009922] * RD COMPARE * port=0 adr=0D act=ADEF2B6D exp=ADEF2B6D
9920.50ns INFO [00009922] * RD COMPARE * port=1 adr=02 act=34415989 exp=34415989
9920.50ns INFO [00009922] Port=0 WR @00=3C2E724D
9920.50ns INFO [00009922] Port=0 RD @09
9921.50ns INFO [00009923] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7
9921.50ns INFO [00009923] Port=0 WR @0E=F1829CB7
9921.50ns INFO [00009923] Port=1 RD @0A
9922.50ns INFO [00009924] * RD COMPARE * port=0 adr=09 act=0A80DD41 exp=0A80DD41
9922.50ns INFO [00009924] Port=0 WR @01=A6222C14
9922.50ns INFO [00009924] Port=0 RD @12
9923.50ns INFO [00009925] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB
9924.50ns INFO [00009926] * RD COMPARE * port=0 adr=12 act=5F0A9A23 exp=5F0A9A23
9924.50ns INFO [00009926] Port=0 WR @02=AB118057
9924.50ns INFO [00009926] Port=0 RD @18
9925.50ns INFO [00009927] Port=0 WR @1F=9B7A6741
9926.50ns INFO [00009928] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19
9926.50ns INFO [00009928] Port=1 RD @11
9927.50ns INFO [00009929] Port=0 RD @1D
9928.50ns INFO [00009930] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6
9928.50ns INFO [00009930] Port=0 RD @19
9929.50ns INFO [00009931] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933
9929.50ns INFO [00009931] Port=0 WR @19=F94C6306
9929.50ns INFO [00009931] Port=0 RD @0C
9929.50ns INFO [00009931] Port=1 RD @06
9930.50ns INFO [00009932] * RD COMPARE * port=0 adr=19 act=9732B81A exp=9732B81A
9931.50ns INFO [00009933] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB
9931.50ns INFO [00009933] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4
9931.50ns INFO [00009933] Port=0 WR @10=EEB827CC
9931.50ns INFO [00009933] Port=0 RD @0C
9932.50ns INFO [00009934] Port=0 RD @0A
9933.50ns INFO [00009935] * RD COMPARE * port=0 adr=0C act=B9A010DB exp=B9A010DB
9933.50ns INFO [00009935] Port=0 WR @0B=59E9F43C
9933.50ns INFO [00009935] Port=0 RD @03
9933.50ns INFO [00009935] Port=1 RD @03
9934.50ns INFO [00009936] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB
9934.50ns INFO [00009936] Port=0 RD @15
9935.50ns INFO [00009937] * RD COMPARE * port=0 adr=03 act=FB818768 exp=FB818768
9935.50ns INFO [00009937] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9935.50ns INFO [00009937] Port=0 WR @0C=1F9EE092
9935.50ns INFO [00009937] Port=0 RD @13
9936.50ns INFO [00009938] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453
9936.50ns INFO [00009938] Port=1 RD @16
9937.50ns INFO [00009939] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90
9937.50ns INFO [00009939] Port=0 RD @19
9937.50ns INFO [00009939] Port=1 RD @1A
9938.50ns INFO [00009940] * RD COMPARE * port=1 adr=16 act=F6695E2E exp=F6695E2E
9938.50ns INFO [00009940] Port=0 WR @16=112A68F6
9938.50ns INFO [00009940] Port=1 RD @07
9939.50ns INFO [00009941] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306
9939.50ns INFO [00009941] * RD COMPARE * port=1 adr=1A act=78C0C134 exp=78C0C134
9940.50ns INFO [00009942] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED
9940.50ns INFO [00009942] Port=0 RD @0B
9940.50ns INFO [00009942] Port=1 RD @1F
9941.50ns INFO [00009943] Port=0 WR @09=8882E9B3
9941.50ns INFO [00009943] Port=1 RD @17
9942.50ns INFO [00009944] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C
9942.50ns INFO [00009944] * RD COMPARE * port=1 adr=1F act=9B7A6741 exp=9B7A6741
9942.50ns INFO [00009944] Port=1 RD @07
9943.50ns INFO [00009945] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7
9943.50ns INFO [00009945] Port=0 RD @04
9943.50ns INFO [00009945] Port=1 RD @10
9944.50ns INFO [00009946] * RD COMPARE * port=1 adr=07 act=F9B196ED exp=F9B196ED
9944.50ns INFO [00009946] Port=0 RD @18
9945.50ns INFO [00009947] * RD COMPARE * port=0 adr=04 act=737420D0 exp=737420D0
9945.50ns INFO [00009947] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC
9945.50ns INFO [00009947] Port=0 WR @04=E2E606F5
9945.50ns INFO [00009947] Port=1 RD @1C
9946.50ns INFO [00009948] * RD COMPARE * port=0 adr=18 act=F062FB19 exp=F062FB19
9946.50ns INFO [00009948] Port=0 WR @0D=F1EAED7E
9946.50ns INFO [00009948] Port=0 RD @00
9947.50ns INFO [00009949] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC
9947.50ns INFO [00009949] Port=1 RD @1F
9948.50ns INFO [00009950] * RD COMPARE * port=0 adr=00 act=3C2E724D exp=3C2E724D
9948.50ns INFO [00009950] Port=0 RD @11
9948.50ns INFO [00009950] Port=1 RD @16
9949.50ns INFO [00009951] * RD COMPARE * port=1 adr=1F act=9B7A6741 exp=9B7A6741
9950.50ns INFO [00009952] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9950.50ns INFO [00009952] * RD COMPARE * port=1 adr=16 act=112A68F6 exp=112A68F6
9952.50ns INFO [00009954] Port=0 WR @1E=964ACB7B
9954.50ns INFO [00009956] Port=0 WR @0E=78EA46EF
9954.50ns INFO [00009956] Port=0 RD @14
9955.50ns INFO [00009957] Port=1 RD @1C
9956.50ns INFO [00009958] * RD COMPARE * port=0 adr=14 act=DD53A1B2 exp=DD53A1B2
9956.50ns INFO [00009958] Port=1 RD @1B
9957.50ns INFO [00009959] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC
9958.50ns INFO [00009960] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD
9959.50ns INFO [00009961] Port=0 WR @16=9E517165
9960.50ns INFO [00009962] Port=0 RD @0A
9961.50ns INFO [00009963] Port=0 WR @16=B138CD2A
9961.50ns INFO [00009963] Port=0 RD @01
9962.50ns INFO [00009964] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB
9962.50ns INFO [00009964] Port=0 RD @11
9963.50ns INFO [00009965] * RD COMPARE * port=0 adr=01 act=A6222C14 exp=A6222C14
9963.50ns INFO [00009965] Port=1 RD @11
9964.50ns INFO [00009966] * RD COMPARE * port=0 adr=11 act=52E6BBF6 exp=52E6BBF6
9965.50ns INFO [00009967] * RD COMPARE * port=1 adr=11 act=52E6BBF6 exp=52E6BBF6
9965.50ns INFO [00009967] Port=0 WR @0F=0991533D
9965.50ns INFO [00009967] Port=0 RD @0E
9966.50ns INFO [00009968] Port=0 WR @05=E4E54B38
9966.50ns INFO [00009968] Port=1 RD @0E
9967.50ns INFO [00009969] * RD COMPARE * port=0 adr=0E act=78EA46EF exp=78EA46EF
9968.50ns INFO [00009970] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF
9968.50ns INFO [00009970] Port=0 WR @14=63736316
9968.50ns INFO [00009970] Port=0 RD @1C
9969.50ns INFO [00009971] Port=0 RD @02
9970.50ns INFO [00009972] * RD COMPARE * port=0 adr=1C act=FE3FAADC exp=FE3FAADC
9970.50ns INFO [00009972] Port=0 WR @0D=8D1ADE14
9971.50ns INFO [00009973] * RD COMPARE * port=0 adr=02 act=AB118057 exp=AB118057
9971.50ns INFO [00009973] Port=0 RD @17
9972.50ns INFO [00009974] Port=1 RD @13
9973.50ns INFO [00009975] * RD COMPARE * port=0 adr=17 act=4E8487C7 exp=4E8487C7
9973.50ns INFO [00009975] Port=1 RD @12
9974.50ns INFO [00009976] * RD COMPARE * port=1 adr=13 act=1D975E90 exp=1D975E90
9974.50ns INFO [00009976] Port=0 RD @13
9974.50ns INFO [00009976] Port=1 RD @03
9975.50ns INFO [00009977] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23
9975.50ns INFO [00009977] Port=0 RD @02
9975.50ns INFO [00009977] Port=1 RD @10
9976.50ns INFO [00009978] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90
9976.50ns INFO [00009978] * RD COMPARE * port=1 adr=03 act=FB818768 exp=FB818768
9976.50ns INFO [00009978] Port=0 WR @08=BE99B13E
9977.50ns INFO [00009979] * RD COMPARE * port=0 adr=02 act=AB118057 exp=AB118057
9977.50ns INFO [00009979] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC
9978.50ns INFO [00009980] Port=0 WR @0C=429D189C
9978.50ns INFO [00009980] Port=1 RD @0A
9979.50ns INFO [00009981] Port=0 WR @07=08ED8665
9979.50ns INFO [00009981] Port=0 RD @0A
9980.50ns INFO [00009982] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB
9980.50ns INFO [00009982] Port=0 RD @1D
9981.50ns INFO [00009983] * RD COMPARE * port=0 adr=0A act=D77C45DB exp=D77C45DB
9981.50ns INFO [00009983] Port=0 WR @11=C6C2552B
9981.50ns INFO [00009983] Port=1 RD @09
9982.50ns INFO [00009984] * RD COMPARE * port=0 adr=1D act=139D7933 exp=139D7933
9983.50ns INFO [00009985] * RD COMPARE * port=1 adr=09 act=8882E9B3 exp=8882E9B3
9984.50ns INFO [00009986] Port=0 RD @05
9985.50ns INFO [00009987] Port=0 WR @07=D178CF2D
9986.50ns INFO [00009988] * RD COMPARE * port=0 adr=05 act=E4E54B38 exp=E4E54B38
9986.50ns INFO [00009988] Port=1 RD @1E
9988.50ns INFO [00009990] * RD COMPARE * port=1 adr=1E act=964ACB7B exp=964ACB7B
9988.50ns INFO [00009990] Port=0 WR @01=3BAA0F1C
9988.50ns INFO [00009990] Port=1 RD @18
9990.50ns INFO [00009992] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19
9990.50ns INFO [00009992] Port=0 WR @1F=5044F9C5
9990.50ns INFO [00009992] Port=0 RD @15
9991.50ns INFO [00009993] Port=0 RD @0B
9991.50ns INFO [00009993] Port=1 RD @06
9992.50ns INFO [00009994] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453
9992.50ns INFO [00009994] Port=1 RD @1C
9993.50ns INFO [00009995] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C
9993.50ns INFO [00009995] * RD COMPARE * port=1 adr=06 act=CC95D1E4 exp=CC95D1E4
9993.50ns INFO [00009995] Port=0 WR @14=B9F0F34B
9993.50ns INFO [00009995] Port=0 RD @16
9993.50ns INFO [00009995] Port=1 RD @0E
9994.50ns INFO [00009996] * RD COMPARE * port=1 adr=1C act=FE3FAADC exp=FE3FAADC
9994.50ns INFO [00009996] Port=0 WR @11=C34B7DC5
9995.50ns INFO [00009997] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A
9995.50ns INFO [00009997] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF
9995.50ns INFO [00009997] Port=0 WR @1F=FDE33E2E
9995.50ns INFO [00009997] Port=0 RD @16
9996.50ns INFO [00009998] Port=1 RD @14
9997.50ns INFO [00009999] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A
9997.50ns INFO [00009999] Port=0 RD @04
9997.50ns INFO [00009999] Port=1 RD @0E
9998.00ns INFO [00010000] [00010000] ...tick...
9998.50ns INFO [00010000] * RD COMPARE * port=1 adr=14 act=B9F0F34B exp=B9F0F34B
9998.50ns INFO [00010000] Port=0 RD @11
9998.50ns INFO [00010000] Port=1 RD @10
9999.50ns INFO [00010001] * RD COMPARE * port=0 adr=04 act=E2E606F5 exp=E2E606F5
9999.50ns INFO [00010001] * RD COMPARE * port=1 adr=0E act=78EA46EF exp=78EA46EF
10000.50ns INFO [00010002] * RD COMPARE * port=0 adr=11 act=C34B7DC5 exp=C34B7DC5
10000.50ns INFO [00010002] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC
10000.50ns INFO [00010002] Port=0 RD @16
10000.50ns INFO [00010002] Port=1 RD @0F
10001.50ns INFO [00010003] Port=0 RD @14
10002.50ns INFO [00010004] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A
10002.50ns INFO [00010004] * RD COMPARE * port=1 adr=0F act=0991533D exp=0991533D
10002.50ns INFO [00010004] Port=0 RD @19
10003.50ns INFO [00010005] * RD COMPARE * port=0 adr=14 act=B9F0F34B exp=B9F0F34B
10003.50ns INFO [00010005] Port=0 RD @0B
10003.50ns INFO [00010005] Port=1 RD @0A
10004.50ns INFO [00010006] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306
10004.50ns INFO [00010006] Port=0 WR @0E=EBAFF705
10004.50ns INFO [00010006] Port=1 RD @02
10005.50ns INFO [00010007] * RD COMPARE * port=0 adr=0B act=59E9F43C exp=59E9F43C
10005.50ns INFO [00010007] * RD COMPARE * port=1 adr=0A act=D77C45DB exp=D77C45DB
10005.50ns INFO [00010007] Port=1 RD @10
10006.50ns INFO [00010008] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057
10007.50ns INFO [00010009] * RD COMPARE * port=1 adr=10 act=EEB827CC exp=EEB827CC
10008.50ns INFO [00010010] Port=0 WR @0E=97A2D496
10008.50ns INFO [00010010] Port=1 RD @12
10009.50ns INFO [00010011] Port=0 WR @1C=F5268313
10009.50ns INFO [00010011] Port=0 RD @17
10010.50ns INFO [00010012] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23
10010.50ns INFO [00010012] Port=0 WR @10=807AFC53
10010.50ns INFO [00010012] Port=1 RD @02
10011.50ns INFO [00010013] * RD COMPARE * port=0 adr=17 act=4E8487C7 exp=4E8487C7
10011.50ns INFO [00010013] Port=0 RD @09
10012.50ns INFO [00010014] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057
10012.50ns INFO [00010014] Port=0 RD @16
10012.50ns INFO [00010014] Port=1 RD @1D
10013.50ns INFO [00010015] * RD COMPARE * port=0 adr=09 act=8882E9B3 exp=8882E9B3
10013.50ns INFO [00010015] Port=0 WR @1D=AF27EA25
10013.50ns INFO [00010015] Port=1 RD @18
10014.50ns INFO [00010016] * RD COMPARE * port=0 adr=16 act=B138CD2A exp=B138CD2A
10014.50ns INFO [00010016] * RD COMPARE * port=1 adr=1D act=139D7933 exp=139D7933
10014.50ns INFO [00010016] Port=0 WR @1D=5B9AE71C
10015.50ns INFO [00010017] * RD COMPARE * port=1 adr=18 act=F062FB19 exp=F062FB19
10015.50ns INFO [00010017] Port=1 RD @1B
10017.50ns INFO [00010019] * RD COMPARE * port=1 adr=1B act=0A0232CD exp=0A0232CD
10017.50ns INFO [00010019] Port=0 WR @0C=0BB827F9
10018.50ns INFO [00010020] Port=0 WR @1E=E0D31229
10019.50ns INFO [00010021] Port=0 WR @03=9691279C
10020.50ns INFO [00010022] Port=1 RD @17
10021.50ns INFO [00010023] Port=1 RD @0D
10022.50ns INFO [00010024] * RD COMPARE * port=1 adr=17 act=4E8487C7 exp=4E8487C7
10022.50ns INFO [00010024] Port=0 RD @03
10023.50ns INFO [00010025] * RD COMPARE * port=1 adr=0D act=8D1ADE14 exp=8D1ADE14
10023.50ns INFO [00010025] Port=0 WR @10=F82AB140
10023.50ns INFO [00010025] Port=1 RD @11
10024.50ns INFO [00010026] * RD COMPARE * port=0 adr=03 act=9691279C exp=9691279C
10024.50ns INFO [00010026] Port=0 RD @19
10025.50ns INFO [00010027] * RD COMPARE * port=1 adr=11 act=C34B7DC5 exp=C34B7DC5
10025.50ns INFO [00010027] Port=1 RD @12
10026.50ns INFO [00010028] * RD COMPARE * port=0 adr=19 act=F94C6306 exp=F94C6306
10027.50ns INFO [00010029] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23
10028.50ns INFO [00010030] Port=0 WR @1C=5B1DB718
10029.50ns INFO [00010031] Port=0 WR @14=1A27AA07
10029.50ns INFO [00010031] Port=1 RD @0E
10030.50ns INFO [00010032] Port=1 RD @02
10031.50ns INFO [00010033] * RD COMPARE * port=1 adr=0E act=97A2D496 exp=97A2D496
10031.50ns INFO [00010033] Port=0 RD @13
10031.50ns INFO [00010033] Port=1 RD @12
10032.50ns INFO [00010034] * RD COMPARE * port=1 adr=02 act=AB118057 exp=AB118057
10032.50ns INFO [00010034] Port=0 WR @1F=96D507CD
10032.50ns INFO [00010034] Port=0 RD @15
10033.50ns INFO [00010035] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90
10033.50ns INFO [00010035] * RD COMPARE * port=1 adr=12 act=5F0A9A23 exp=5F0A9A23
10033.50ns INFO [00010035] Port=0 RD @0E
10034.50ns INFO [00010036] * RD COMPARE * port=0 adr=15 act=D6009453 exp=D6009453
10034.50ns INFO [00010036] Port=0 RD @0F
10034.50ns INFO [00010036] Port=1 RD @16
10035.50ns INFO [00010037] * RD COMPARE * port=0 adr=0E act=97A2D496 exp=97A2D496
10035.50ns INFO [00010037] Port=0 WR @0C=38347CB1
10035.50ns INFO [00010037] Port=1 RD @07
10036.50ns INFO [00010038] * RD COMPARE * port=0 adr=0F act=0991533D exp=0991533D
10036.50ns INFO [00010038] * RD COMPARE * port=1 adr=16 act=B138CD2A exp=B138CD2A
10036.50ns INFO [00010038] Port=0 WR @0D=C1C0D7D8
10037.50ns INFO [00010039] * RD COMPARE * port=1 adr=07 act=D178CF2D exp=D178CF2D
10037.50ns INFO [00010039] Port=0 WR @12=6C6FD11E
10038.50ns INFO [00010040] Port=0 WR @17=545B517F
10039.50ns INFO [00010041] Port=0 RD @08
10039.50ns INFO [00010041] Port=1 RD @0E
10041.50ns INFO [00010043] * RD COMPARE * port=0 adr=08 act=BE99B13E exp=BE99B13E
10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=0E act=97A2D496 exp=97A2D496
10041.50ns INFO [00010043] Port=0 WR @1A=76434F37
10041.50ns INFO [00010043] Port=1 RD @0D
10042.50ns INFO [00010044] Port=0 WR @12=069ECCCE
10042.50ns INFO [00010044] Port=0 RD @13
10043.50ns INFO [00010045] * RD COMPARE * port=1 adr=0D act=C1C0D7D8 exp=C1C0D7D8
10043.50ns INFO [00010045] Port=0 WR @05=58E318E7
10043.50ns INFO [00010045] Port=0 RD @10
10043.50ns INFO [00010045] Port=1 RD @00
10044.50ns INFO [00010046] * RD COMPARE * port=0 adr=13 act=1D975E90 exp=1D975E90
10044.50ns INFO [00010046] Port=0 RD @14
10044.50ns INFO [00010046] Port=1 RD @1D
10045.50ns INFO [00010047] * RD COMPARE * port=0 adr=10 act=F82AB140 exp=F82AB140
10045.50ns INFO [00010047] * RD COMPARE * port=1 adr=00 act=3C2E724D exp=3C2E724D
10046.50ns INFO [00010048] * RD COMPARE * port=0 adr=14 act=1A27AA07 exp=1A27AA07
10046.50ns INFO [00010048] * RD COMPARE * port=1 adr=1D act=5B9AE71C exp=5B9AE71C
10047.50ns INFO [00010049] Quiescing...
10057.00ns INFO [00010059] Done.
10057.00ns INFO [00010059] Final State
Reads Port 0: 4005
Reads Port 1: 4052
Writes Port 0: 4055
10057.00ns INFO [00010059] [00010059] You has opulence.
10057.00ns INFO tb_32x32 passed
10057.00ns INFO **************************************************************************************
** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) **
**************************************************************************************
** tb.tb_32x32 PASS 10057.00 8.54 1177.70 **
**************************************************************************************
** TESTS=0 PASS=1 FAIL=0 SKIP=0 10057.00 8.56 1174.42 **
**************************************************************************************
VCD info: dumpfile test_ra_sdr_32x32.vcd opened for output.
VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD.
make[1]: Leaving directory '/media/wtf/WD_USBC_4T/projects/toy-sram/rtl/sim/coco'
vcd2fst test_ra_sdr_32x32.vcd test_ra_sdr_32x32.fst
#rm test_ra_sdr_32x32.vcd