You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

20424 lines
1.4 MiB

This file contains invisible Unicode characters!

This file contains invisible Unicode characters that may be processed differently from what appears below. If your use case is intentional and legitimate, you can safely ignore this warning. Use the Escape button to reveal hidden characters.

make -f Makefile.sdr results.xml
make[1]: Entering directory '/home/wtf/projects/toysram-opf/rtl/sim/coco'
mkdir -p sim_build
/usr/local/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s test_ra_sdr_64x72 -Isrc -ysrc -f sim_build/cmds.f -g2012 ./test_ra_sdr_64x72.v
MODULE=tb TESTCASE= TOPLEVEL=test_ra_sdr_64x72 TOPLEVEL_LANG=verilog \
/usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered
0.00ns INFO Running on Icarus Verilog version 12.0 (devel)
0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb
0.00ns INFO Seeding Python random module with 1653599340
0.00ns INFO Found test tb.tb
0.00ns INFO running tb (1/0)
ToySRAM array test
0.00ns INFO [00000000] Set threads=1.
0.00ns INFO [00000001] [00000001] Resetting...
9.00ns INFO [00000010] [00000010] Releasing reset.
25.00ns INFO [00000027] Initializing array...
25.00ns INFO [00000027] Port=0 WR @00=005555555555555500
26.00ns INFO [00000028] Port=0 WR @01=015555555555555501
27.00ns INFO [00000029] Port=0 WR @02=025555555555555502
28.00ns INFO [00000030] Port=0 WR @03=035555555555555503
29.00ns INFO [00000031] Port=0 WR @04=045555555555555504
30.00ns INFO [00000032] Port=0 WR @05=055555555555555505
31.00ns INFO [00000033] Port=0 WR @06=065555555555555506
32.00ns INFO [00000034] Port=0 WR @07=075555555555555507
33.00ns INFO [00000035] Port=0 WR @08=085555555555555508
34.00ns INFO [00000036] Port=0 WR @09=095555555555555509
35.00ns INFO [00000037] Port=0 WR @0A=0A555555555555550A
36.00ns INFO [00000038] Port=0 WR @0B=0B555555555555550B
37.00ns INFO [00000039] Port=0 WR @0C=0C555555555555550C
38.00ns INFO [00000040] Port=0 WR @0D=0D555555555555550D
39.00ns INFO [00000041] Port=0 WR @0E=0E555555555555550E
40.00ns INFO [00000042] Port=0 WR @0F=0F555555555555550F
41.00ns INFO [00000043] Port=0 WR @10=105555555555555510
42.00ns INFO [00000044] Port=0 WR @11=115555555555555511
43.00ns INFO [00000045] Port=0 WR @12=125555555555555512
44.00ns INFO [00000046] Port=0 WR @13=135555555555555513
45.00ns INFO [00000047] Port=0 WR @14=145555555555555514
46.00ns INFO [00000048] Port=0 WR @15=155555555555555515
47.00ns INFO [00000049] Port=0 WR @16=165555555555555516
48.00ns INFO [00000050] Port=0 WR @17=175555555555555517
49.00ns INFO [00000051] Port=0 WR @18=185555555555555518
50.00ns INFO [00000052] Port=0 WR @19=195555555555555519
51.00ns INFO [00000053] Port=0 WR @1A=1A555555555555551A
52.00ns INFO [00000054] Port=0 WR @1B=1B555555555555551B
53.00ns INFO [00000055] Port=0 WR @1C=1C555555555555551C
54.00ns INFO [00000056] Port=0 WR @1D=1D555555555555551D
55.00ns INFO [00000057] Port=0 WR @1E=1E555555555555551E
56.00ns INFO [00000058] Port=0 WR @1F=1F555555555555551F
57.00ns INFO [00000059] Port=0 WR @20=205555555555555520
58.00ns INFO [00000060] Port=0 WR @21=215555555555555521
59.00ns INFO [00000061] Port=0 WR @22=225555555555555522
60.00ns INFO [00000062] Port=0 WR @23=235555555555555523
61.00ns INFO [00000063] Port=0 WR @24=245555555555555524
62.00ns INFO [00000064] Port=0 WR @25=255555555555555525
63.00ns INFO [00000065] Port=0 WR @26=265555555555555526
64.00ns INFO [00000066] Port=0 WR @27=275555555555555527
65.00ns INFO [00000067] Port=0 WR @28=285555555555555528
66.00ns INFO [00000068] Port=0 WR @29=295555555555555529
67.00ns INFO [00000069] Port=0 WR @2A=2A555555555555552A
68.00ns INFO [00000070] Port=0 WR @2B=2B555555555555552B
69.00ns INFO [00000071] Port=0 WR @2C=2C555555555555552C
70.00ns INFO [00000072] Port=0 WR @2D=2D555555555555552D
71.00ns INFO [00000073] Port=0 WR @2E=2E555555555555552E
72.00ns INFO [00000074] Port=0 WR @2F=2F555555555555552F
73.00ns INFO [00000075] Port=0 WR @30=305555555555555530
74.00ns INFO [00000076] Port=0 WR @31=315555555555555531
75.00ns INFO [00000077] Port=0 WR @32=325555555555555532
76.00ns INFO [00000078] Port=0 WR @33=335555555555555533
77.00ns INFO [00000079] Port=0 WR @34=345555555555555534
78.00ns INFO [00000080] Port=0 WR @35=355555555555555535
79.00ns INFO [00000081] Port=0 WR @36=365555555555555536
80.00ns INFO [00000082] Port=0 WR @37=375555555555555537
81.00ns INFO [00000083] Port=0 WR @38=385555555555555538
82.00ns INFO [00000084] Port=0 WR @39=395555555555555539
83.00ns INFO [00000085] Port=0 WR @3A=3A555555555555553A
84.00ns INFO [00000086] Port=0 WR @3B=3B555555555555553B
85.00ns INFO [00000087] Port=0 WR @3C=3C555555555555553C
86.00ns INFO [00000088] Port=0 WR @3D=3D555555555555553D
87.00ns INFO [00000089] Port=0 WR @3E=3E555555555555553E
88.00ns INFO [00000090] Port=0 WR @3F=3F555555555555553F
89.00ns INFO [00000091] Running random commands...
90.50ns INFO [00000092] Port=0 WR @07=E48EFA46E64C6E6A76
91.50ns INFO [00000093] Port=0 RD @03
93.50ns INFO [00000095] * RD COMPARE * port=0 adr=03 act=035555555555555503 exp=035555555555555503
93.50ns INFO [00000095] Port=0 WR @03=6FE35D0BD6635484A5
93.50ns INFO [00000095] Port=1 RD @01
94.50ns INFO [00000096] Port=0 WR @04=5F69016A6E54707104
95.50ns INFO [00000097] * RD COMPARE * port=1 adr=01 act=015555555555555501 exp=015555555555555501
96.50ns INFO [00000098] Port=0 WR @06=6F60EF8BE479DC6603
97.50ns INFO [00000099] Port=0 WR @07=36308B2240397BA1D9
97.50ns INFO [00000099] Port=0 RD @02
97.50ns INFO [00000099] Port=1 RD @02
98.00ns INFO [00000100] [00000100] ...tick...
98.50ns INFO [00000100] Port=0 WR @03=C8D8CBDBC5228EF0D0
98.50ns INFO [00000100] Port=1 RD @00
99.50ns INFO [00000101] * RD COMPARE * port=0 adr=02 act=025555555555555502 exp=025555555555555502
99.50ns INFO [00000101] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502
99.50ns INFO [00000101] Port=0 WR @06=AEB3DFFDCC540CD59E
99.50ns INFO [00000101] Port=0 RD @04
100.50ns INFO [00000102] * RD COMPARE * port=1 adr=00 act=005555555555555500 exp=005555555555555500
101.50ns INFO [00000103] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
101.50ns INFO [00000103] Port=0 WR @01=3B451442991A68B578
101.50ns INFO [00000103] Port=0 RD @04
102.50ns INFO [00000104] Port=0 WR @07=55690E53465EE840B3
102.50ns INFO [00000104] Port=1 RD @02
103.50ns INFO [00000105] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
103.50ns INFO [00000105] Port=0 RD @06
104.50ns INFO [00000106] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502
104.50ns INFO [00000106] Port=0 WR @07=4D3A68CB583D71870F
105.50ns INFO [00000107] * RD COMPARE * port=0 adr=06 act=AEB3DFFDCC540CD59E exp=AEB3DFFDCC540CD59E
106.50ns INFO [00000108] Port=1 RD @04
107.50ns INFO [00000109] Port=1 RD @07
108.50ns INFO [00000110] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
108.50ns INFO [00000110] Port=0 RD @00
108.50ns INFO [00000110] Port=1 RD @02
109.50ns INFO [00000111] * RD COMPARE * port=1 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F
110.50ns INFO [00000112] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
110.50ns INFO [00000112] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502
112.50ns INFO [00000114] Port=0 WR @03=2FE4993CE5D5DF69C7
113.50ns INFO [00000115] Port=0 RD @06
113.50ns INFO [00000115] Port=1 RD @04
114.50ns INFO [00000116] Port=0 WR @01=8668776E2392075B3B
114.50ns INFO [00000116] Port=1 RD @02
115.50ns INFO [00000117] * RD COMPARE * port=0 adr=06 act=AEB3DFFDCC540CD59E exp=AEB3DFFDCC540CD59E
115.50ns INFO [00000117] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
115.50ns INFO [00000117] Port=0 RD @05
115.50ns INFO [00000117] Port=1 RD @04
116.50ns INFO [00000118] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502
117.50ns INFO [00000119] * RD COMPARE * port=0 adr=05 act=055555555555555505 exp=055555555555555505
117.50ns INFO [00000119] * RD COMPARE * port=1 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
117.50ns INFO [00000119] Port=1 RD @02
119.50ns INFO [00000121] * RD COMPARE * port=1 adr=02 act=025555555555555502 exp=025555555555555502
119.50ns INFO [00000121] Port=0 WR @05=C9AB5DC1716D6D5373
120.50ns INFO [00000122] Port=0 RD @07
121.50ns INFO [00000123] Port=0 WR @06=975CB4CFDF740857D0
121.50ns INFO [00000123] Port=0 RD @04
122.50ns INFO [00000124] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F
122.50ns INFO [00000124] Port=0 WR @06=8F487151D581B8CE25
122.50ns INFO [00000124] Port=0 RD @07
123.50ns INFO [00000125] * RD COMPARE * port=0 adr=04 act=5F69016A6E54707104 exp=5F69016A6E54707104
123.50ns INFO [00000125] Port=0 WR @06=28850620016FE469AE
124.50ns INFO [00000126] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F
125.50ns INFO [00000127] Port=1 RD @01
126.50ns INFO [00000128] Port=0 WR @04=954D1E757C94DE7307
126.50ns INFO [00000128] Port=0 RD @07
127.50ns INFO [00000129] * RD COMPARE * port=1 adr=01 act=8668776E2392075B3B exp=8668776E2392075B3B
127.50ns INFO [00000129] Port=0 WR @01=159FB8F8D4E5F0C659
127.50ns INFO [00000129] Port=1 RD @06
128.50ns INFO [00000130] * RD COMPARE * port=0 adr=07 act=4D3A68CB583D71870F exp=4D3A68CB583D71870F
129.50ns INFO [00000131] * RD COMPARE * port=1 adr=06 act=28850620016FE469AE exp=28850620016FE469AE
130.50ns INFO [00000132] Port=0 RD @01
130.50ns INFO [00000132] Port=1 RD @05
131.50ns INFO [00000133] Port=0 WR @07=590F00CEDC8762A84E
131.50ns INFO [00000133] Port=0 RD @04
132.50ns INFO [00000134] * RD COMPARE * port=0 adr=01 act=159FB8F8D4E5F0C659 exp=159FB8F8D4E5F0C659
132.50ns INFO [00000134] * RD COMPARE * port=1 adr=05 act=C9AB5DC1716D6D5373 exp=C9AB5DC1716D6D5373
132.50ns INFO [00000134] Port=0 WR @04=E3D3C588082DC31D59
132.50ns INFO [00000134] Port=0 RD @03
133.50ns INFO [00000135] * RD COMPARE * port=0 adr=04 act=954D1E757C94DE7307 exp=954D1E757C94DE7307
133.50ns INFO [00000135] Port=0 WR @04=147FB7AC3A7DC84DC8
133.50ns INFO [00000135] Port=0 RD @00
134.50ns INFO [00000136] * RD COMPARE * port=0 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7
135.50ns INFO [00000137] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
135.50ns INFO [00000137] Port=0 WR @06=DC675EFC4FC44E2077
137.50ns INFO [00000139] Port=1 RD @06
138.50ns INFO [00000140] Port=0 WR @01=02476B4BB63A985EE6
138.50ns INFO [00000140] Port=0 RD @00
139.50ns INFO [00000141] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
139.50ns INFO [00000141] Port=0 WR @05=3D5A1629A9347DE146
139.50ns INFO [00000141] Port=0 RD @00
139.50ns INFO [00000141] Port=1 RD @03
140.50ns INFO [00000142] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
140.50ns INFO [00000142] Port=0 WR @05=1ABEE36E2AE22D9776
140.50ns INFO [00000142] Port=0 RD @00
140.50ns INFO [00000142] Port=1 RD @04
141.50ns INFO [00000143] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
141.50ns INFO [00000143] * RD COMPARE * port=1 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7
141.50ns INFO [00000143] Port=0 RD @04
142.50ns INFO [00000144] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
142.50ns INFO [00000144] * RD COMPARE * port=1 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
143.50ns INFO [00000145] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
144.50ns INFO [00000146] Port=1 RD @04
146.50ns INFO [00000148] * RD COMPARE * port=1 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
146.50ns INFO [00000148] Port=1 RD @03
148.50ns INFO [00000150] * RD COMPARE * port=1 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7
148.50ns INFO [00000150] Port=0 RD @03
149.50ns INFO [00000151] Port=0 RD @04
150.50ns INFO [00000152] * RD COMPARE * port=0 adr=03 act=2FE4993CE5D5DF69C7 exp=2FE4993CE5D5DF69C7
150.50ns INFO [00000152] Port=0 WR @02=88F18B0AFF3EABE3B9
150.50ns INFO [00000152] Port=0 RD @00
151.50ns INFO [00000153] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
152.50ns INFO [00000154] * RD COMPARE * port=0 adr=00 act=005555555555555500 exp=005555555555555500
152.50ns INFO [00000154] Port=0 WR @05=381DE37159076F195E
154.50ns INFO [00000156] Port=0 RD @01
154.50ns INFO [00000156] Port=1 RD @05
155.50ns INFO [00000157] Port=0 WR @05=FF8F0A00ABD52483DF
155.50ns INFO [00000157] Port=0 RD @04
155.50ns INFO [00000157] Port=1 RD @02
156.50ns INFO [00000158] * RD COMPARE * port=0 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
156.50ns INFO [00000158] * RD COMPARE * port=1 adr=05 act=381DE37159076F195E exp=381DE37159076F195E
156.50ns INFO [00000158] Port=0 WR @07=D28307A9E64BE6D3E1
157.50ns INFO [00000159] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
157.50ns INFO [00000159] * RD COMPARE * port=1 adr=02 act=88F18B0AFF3EABE3B9 exp=88F18B0AFF3EABE3B9
157.50ns INFO [00000159] Port=0 WR @00=D38A6705DE38CFA704
157.50ns INFO [00000159] Port=1 RD @02
158.50ns INFO [00000160] Port=0 RD @04
159.50ns INFO [00000161] * RD COMPARE * port=1 adr=02 act=88F18B0AFF3EABE3B9 exp=88F18B0AFF3EABE3B9
159.50ns INFO [00000161] Port=0 WR @02=028D86CD8306F6501A
160.50ns INFO [00000162] * RD COMPARE * port=0 adr=04 act=147FB7AC3A7DC84DC8 exp=147FB7AC3A7DC84DC8
160.50ns INFO [00000162] Port=0 WR @00=129BB76A2B7ACA633D
163.50ns INFO [00000165] Port=0 WR @04=E749D76EAF26325197
163.50ns INFO [00000165] Port=0 RD @00
164.50ns INFO [00000166] Port=0 WR @07=21B113DD6CA4A8DEFB
164.50ns INFO [00000166] Port=1 RD @05
165.50ns INFO [00000167] * RD COMPARE * port=0 adr=00 act=129BB76A2B7ACA633D exp=129BB76A2B7ACA633D
165.50ns INFO [00000167] Port=0 WR @00=F99877A8D91064B412
166.50ns INFO [00000168] * RD COMPARE * port=1 adr=05 act=FF8F0A00ABD52483DF exp=FF8F0A00ABD52483DF
166.50ns INFO [00000168] Port=0 RD @07
166.50ns INFO [00000168] Port=1 RD @01
167.50ns INFO [00000169] Port=0 WR @02=098C5F48FEE0FAA08A
167.50ns INFO [00000169] Port=0 RD @06
167.50ns INFO [00000169] Port=1 RD @07
168.50ns INFO [00000170] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
168.50ns INFO [00000170] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
168.50ns INFO [00000170] Port=0 WR @03=5D1A055EF2F478728C
168.50ns INFO [00000170] Port=1 RD @04
169.50ns INFO [00000171] * RD COMPARE * port=0 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
169.50ns INFO [00000171] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
169.50ns INFO [00000171] Port=0 WR @00=C892C7D33AC2C8BF67
169.50ns INFO [00000171] Port=1 RD @01
170.50ns INFO [00000172] * RD COMPARE * port=1 adr=04 act=E749D76EAF26325197 exp=E749D76EAF26325197
170.50ns INFO [00000172] Port=0 RD @07
171.50ns INFO [00000173] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
171.50ns INFO [00000173] Port=0 WR @02=0C1165AC3E2E4E73E9
172.50ns INFO [00000174] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
172.50ns INFO [00000174] Port=0 WR @05=3106D328DDF41903F8
172.50ns INFO [00000174] Port=1 RD @07
173.50ns INFO [00000175] Port=0 RD @02
174.50ns INFO [00000176] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
174.50ns INFO [00000176] Port=0 WR @04=0C9A2AD86BF05AF810
174.50ns INFO [00000176] Port=0 RD @00
174.50ns INFO [00000176] Port=1 RD @00
175.50ns INFO [00000177] * RD COMPARE * port=0 adr=02 act=0C1165AC3E2E4E73E9 exp=0C1165AC3E2E4E73E9
175.50ns INFO [00000177] Port=0 WR @03=4D5C620DE54C364503
176.50ns INFO [00000178] * RD COMPARE * port=0 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67
176.50ns INFO [00000178] * RD COMPARE * port=1 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67
176.50ns INFO [00000178] Port=1 RD @01
177.50ns INFO [00000179] Port=0 WR @02=EC056B80ED524B370B
177.50ns INFO [00000179] Port=0 RD @07
178.50ns INFO [00000180] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
178.50ns INFO [00000180] Port=1 RD @03
179.50ns INFO [00000181] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
180.50ns INFO [00000182] * RD COMPARE * port=1 adr=03 act=4D5C620DE54C364503 exp=4D5C620DE54C364503
180.50ns INFO [00000182] Port=0 WR @04=9BBB8DA53C75D4937C
180.50ns INFO [00000182] Port=0 RD @07
180.50ns INFO [00000182] Port=1 RD @06
181.50ns INFO [00000183] Port=0 RD @00
181.50ns INFO [00000183] Port=1 RD @01
182.50ns INFO [00000184] * RD COMPARE * port=0 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
182.50ns INFO [00000184] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
183.50ns INFO [00000185] * RD COMPARE * port=0 adr=00 act=C892C7D33AC2C8BF67 exp=C892C7D33AC2C8BF67
183.50ns INFO [00000185] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
183.50ns INFO [00000185] Port=0 WR @04=74B5690DFC8C4620C4
183.50ns INFO [00000185] Port=1 RD @07
184.50ns INFO [00000186] Port=0 RD @02
185.50ns INFO [00000187] * RD COMPARE * port=1 adr=07 act=21B113DD6CA4A8DEFB exp=21B113DD6CA4A8DEFB
185.50ns INFO [00000187] Port=1 RD @06
186.50ns INFO [00000188] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
186.50ns INFO [00000188] Port=0 WR @00=DCD1F7EA164C837345
186.50ns INFO [00000188] Port=0 RD @05
186.50ns INFO [00000188] Port=1 RD @06
187.50ns INFO [00000189] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
188.50ns INFO [00000190] * RD COMPARE * port=0 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8
188.50ns INFO [00000190] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
188.50ns INFO [00000190] Port=0 RD @05
190.50ns INFO [00000192] * RD COMPARE * port=0 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8
191.50ns INFO [00000193] Port=0 WR @03=BAB19A202938562B94
193.50ns INFO [00000195] Port=1 RD @05
194.50ns INFO [00000196] Port=0 WR @07=124AE427347BB74A1B
194.50ns INFO [00000196] Port=0 RD @03
194.50ns INFO [00000196] Port=1 RD @01
195.50ns INFO [00000197] * RD COMPARE * port=1 adr=05 act=3106D328DDF41903F8 exp=3106D328DDF41903F8
196.50ns INFO [00000198] * RD COMPARE * port=0 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94
196.50ns INFO [00000198] * RD COMPARE * port=1 adr=01 act=02476B4BB63A985EE6 exp=02476B4BB63A985EE6
196.50ns INFO [00000198] Port=0 RD @07
197.50ns INFO [00000199] Port=0 RD @07
197.50ns INFO [00000199] Port=1 RD @04
198.00ns INFO [00000200] [00000200] ...tick...
198.50ns INFO [00000200] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B
198.50ns INFO [00000200] Port=1 RD @07
199.50ns INFO [00000201] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B
199.50ns INFO [00000201] * RD COMPARE * port=1 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4
199.50ns INFO [00000201] Port=0 WR @00=E999C5EE5A7757EA6D
200.50ns INFO [00000202] * RD COMPARE * port=1 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B
200.50ns INFO [00000202] Port=0 WR @00=996A7F9C6F943F8810
200.50ns INFO [00000202] Port=1 RD @02
202.50ns INFO [00000204] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
202.50ns INFO [00000204] Port=1 RD @02
203.50ns INFO [00000205] Port=0 WR @00=DFA086CB929304CE58
203.50ns INFO [00000205] Port=0 RD @07
203.50ns INFO [00000205] Port=1 RD @06
204.50ns INFO [00000206] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
204.50ns INFO [00000206] Port=1 RD @00
205.50ns INFO [00000207] * RD COMPARE * port=0 adr=07 act=124AE427347BB74A1B exp=124AE427347BB74A1B
205.50ns INFO [00000207] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
205.50ns INFO [00000207] Port=0 WR @05=3C94D384855D2AF89A
205.50ns INFO [00000207] Port=0 RD @04
205.50ns INFO [00000207] Port=1 RD @06
206.50ns INFO [00000208] * RD COMPARE * port=1 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58
206.50ns INFO [00000208] Port=0 WR @01=BA7DF2CDAC4B9AB467
207.50ns INFO [00000209] * RD COMPARE * port=0 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4
207.50ns INFO [00000209] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
208.50ns INFO [00000210] Port=0 RD @04
208.50ns INFO [00000210] Port=1 RD @05
209.50ns INFO [00000211] Port=0 RD @00
210.50ns INFO [00000212] * RD COMPARE * port=0 adr=04 act=74B5690DFC8C4620C4 exp=74B5690DFC8C4620C4
210.50ns INFO [00000212] * RD COMPARE * port=1 adr=05 act=3C94D384855D2AF89A exp=3C94D384855D2AF89A
211.50ns INFO [00000213] * RD COMPARE * port=0 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58
211.50ns INFO [00000213] Port=0 WR @07=42C98C85B23F3E0687
211.50ns INFO [00000213] Port=0 RD @00
212.50ns INFO [00000214] Port=0 WR @04=EAA7BFE1528030FDF9
213.50ns INFO [00000215] * RD COMPARE * port=0 adr=00 act=DFA086CB929304CE58 exp=DFA086CB929304CE58
213.50ns INFO [00000215] Port=1 RD @03
214.50ns INFO [00000216] Port=0 WR @01=79231EA9EEC64222F6
214.50ns INFO [00000216] Port=0 RD @05
214.50ns INFO [00000216] Port=1 RD @07
215.50ns INFO [00000217] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94
215.50ns INFO [00000217] Port=0 WR @05=EB467062D4D97EE8CE
216.50ns INFO [00000218] * RD COMPARE * port=0 adr=05 act=3C94D384855D2AF89A exp=3C94D384855D2AF89A
216.50ns INFO [00000218] * RD COMPARE * port=1 adr=07 act=42C98C85B23F3E0687 exp=42C98C85B23F3E0687
216.50ns INFO [00000218] Port=0 WR @01=A0ACD46C86527FF8C8
216.50ns INFO [00000218] Port=0 RD @03
217.50ns INFO [00000219] Port=0 WR @00=CB604305057D6152AC
217.50ns INFO [00000219] Port=0 RD @02
218.50ns INFO [00000220] * RD COMPARE * port=0 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94
218.50ns INFO [00000220] Port=0 WR @07=135CD55AFB9910DEC9
218.50ns INFO [00000220] Port=1 RD @06
219.50ns INFO [00000221] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
219.50ns INFO [00000221] Port=0 WR @06=CE87BCBFE0489AFFA2
220.50ns INFO [00000222] * RD COMPARE * port=1 adr=06 act=DC675EFC4FC44E2077 exp=DC675EFC4FC44E2077
221.50ns INFO [00000223] Port=0 RD @00
221.50ns INFO [00000223] Port=1 RD @01
222.50ns INFO [00000224] Port=1 RD @02
223.50ns INFO [00000225] * RD COMPARE * port=0 adr=00 act=CB604305057D6152AC exp=CB604305057D6152AC
223.50ns INFO [00000225] * RD COMPARE * port=1 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8
223.50ns INFO [00000225] Port=0 RD @06
223.50ns INFO [00000225] Port=1 RD @07
224.50ns INFO [00000226] * RD COMPARE * port=1 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
224.50ns INFO [00000226] Port=0 RD @06
225.50ns INFO [00000227] * RD COMPARE * port=0 adr=06 act=CE87BCBFE0489AFFA2 exp=CE87BCBFE0489AFFA2
225.50ns INFO [00000227] * RD COMPARE * port=1 adr=07 act=135CD55AFB9910DEC9 exp=135CD55AFB9910DEC9
225.50ns INFO [00000227] Port=0 WR @00=2AE06E0BA5FFC6546B
226.50ns INFO [00000228] * RD COMPARE * port=0 adr=06 act=CE87BCBFE0489AFFA2 exp=CE87BCBFE0489AFFA2
226.50ns INFO [00000228] Port=0 WR @06=99B7E498370A6256CF
226.50ns INFO [00000228] Port=0 RD @01
227.50ns INFO [00000229] Port=0 RD @02
228.50ns INFO [00000230] * RD COMPARE * port=0 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8
228.50ns INFO [00000230] Port=0 WR @02=B0B1A2F490899B5487
229.50ns INFO [00000231] * RD COMPARE * port=0 adr=02 act=EC056B80ED524B370B exp=EC056B80ED524B370B
229.50ns INFO [00000231] Port=0 WR @05=3BCA63E553806EC4CB
229.50ns INFO [00000231] Port=0 RD @00
230.50ns INFO [00000232] Port=1 RD @03
231.50ns INFO [00000233] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B
231.50ns INFO [00000233] Port=0 WR @04=AFE0A7C3DAFAFC1671
231.50ns INFO [00000233] Port=1 RD @02
232.50ns INFO [00000234] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94
232.50ns INFO [00000234] Port=0 RD @07
232.50ns INFO [00000234] Port=1 RD @04
233.50ns INFO [00000235] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487
233.50ns INFO [00000235] Port=0 WR @07=365A221E227F8587CB
233.50ns INFO [00000235] Port=1 RD @05
234.50ns INFO [00000236] * RD COMPARE * port=0 adr=07 act=135CD55AFB9910DEC9 exp=135CD55AFB9910DEC9
234.50ns INFO [00000236] * RD COMPARE * port=1 adr=04 act=AFE0A7C3DAFAFC1671 exp=AFE0A7C3DAFAFC1671
235.50ns INFO [00000237] * RD COMPARE * port=1 adr=05 act=3BCA63E553806EC4CB exp=3BCA63E553806EC4CB
236.50ns INFO [00000238] Port=0 WR @06=E286BF232D19E6F594
237.50ns INFO [00000239] Port=0 RD @00
237.50ns INFO [00000239] Port=1 RD @06
238.50ns INFO [00000240] Port=0 WR @04=EB0930668DBAA190C1
238.50ns INFO [00000240] Port=0 RD @06
238.50ns INFO [00000240] Port=1 RD @01
239.50ns INFO [00000241] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B
239.50ns INFO [00000241] * RD COMPARE * port=1 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594
239.50ns INFO [00000241] Port=0 WR @07=484445550B1E04FD3E
240.50ns INFO [00000242] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594
240.50ns INFO [00000242] * RD COMPARE * port=1 adr=01 act=A0ACD46C86527FF8C8 exp=A0ACD46C86527FF8C8
240.50ns INFO [00000242] Port=0 RD @05
241.50ns INFO [00000243] Port=0 WR @01=3209B40ECCBA3950A9
241.50ns INFO [00000243] Port=1 RD @07
242.50ns INFO [00000244] * RD COMPARE * port=0 adr=05 act=3BCA63E553806EC4CB exp=3BCA63E553806EC4CB
242.50ns INFO [00000244] Port=1 RD @01
243.50ns INFO [00000245] * RD COMPARE * port=1 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E
243.50ns INFO [00000245] Port=1 RD @06
244.50ns INFO [00000246] * RD COMPARE * port=1 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9
244.50ns INFO [00000246] Port=0 WR @05=971641561C0BFCDA24
244.50ns INFO [00000246] Port=0 RD @01
245.50ns INFO [00000247] * RD COMPARE * port=1 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594
245.50ns INFO [00000247] Port=0 RD @06
245.50ns INFO [00000247] Port=1 RD @03
246.50ns INFO [00000248] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9
246.50ns INFO [00000248] Port=0 WR @03=1BA2BE809C1A237442
247.50ns INFO [00000249] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594
247.50ns INFO [00000249] * RD COMPARE * port=1 adr=03 act=BAB19A202938562B94 exp=BAB19A202938562B94
247.50ns INFO [00000249] Port=0 RD @04
248.50ns INFO [00000250] Port=1 RD @00
249.50ns INFO [00000251] * RD COMPARE * port=0 adr=04 act=EB0930668DBAA190C1 exp=EB0930668DBAA190C1
249.50ns INFO [00000251] Port=0 RD @07
249.50ns INFO [00000251] Port=1 RD @02
250.50ns INFO [00000252] * RD COMPARE * port=1 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B
250.50ns INFO [00000252] Port=0 RD @06
251.50ns INFO [00000253] * RD COMPARE * port=0 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E
251.50ns INFO [00000253] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487
251.50ns INFO [00000253] Port=0 RD @07
251.50ns INFO [00000253] Port=1 RD @02
252.50ns INFO [00000254] * RD COMPARE * port=0 adr=06 act=E286BF232D19E6F594 exp=E286BF232D19E6F594
252.50ns INFO [00000254] Port=0 WR @06=B1EF1EBD849AB434D0
253.50ns INFO [00000255] * RD COMPARE * port=0 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E
253.50ns INFO [00000255] * RD COMPARE * port=1 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487
253.50ns INFO [00000255] Port=0 WR @03=1534298E6866986AD6
253.50ns INFO [00000255] Port=1 RD @05
254.50ns INFO [00000256] Port=0 RD @04
255.50ns INFO [00000257] * RD COMPARE * port=1 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24
255.50ns INFO [00000257] Port=0 RD @02
255.50ns INFO [00000257] Port=1 RD @07
256.50ns INFO [00000258] * RD COMPARE * port=0 adr=04 act=EB0930668DBAA190C1 exp=EB0930668DBAA190C1
256.50ns INFO [00000258] Port=0 WR @04=A2F9265AA520A88100
256.50ns INFO [00000258] Port=0 RD @00
257.50ns INFO [00000259] * RD COMPARE * port=0 adr=02 act=B0B1A2F490899B5487 exp=B0B1A2F490899B5487
257.50ns INFO [00000259] * RD COMPARE * port=1 adr=07 act=484445550B1E04FD3E exp=484445550B1E04FD3E
257.50ns INFO [00000259] Port=0 WR @03=13B58CD1E0B71EF047
258.50ns INFO [00000260] * RD COMPARE * port=0 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B
258.50ns INFO [00000260] Port=0 WR @06=E7DBF1D630E2B3FF94
259.50ns INFO [00000261] Port=0 WR @07=E81ED3CF24DF093C30
260.50ns INFO [00000262] Port=0 WR @04=C58CA497407FD6B88D
260.50ns INFO [00000262] Port=0 RD @01
261.50ns INFO [00000263] Port=1 RD @00
262.50ns INFO [00000264] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9
262.50ns INFO [00000264] Port=0 WR @02=6B95FBEDA2ACB9653D
262.50ns INFO [00000264] Port=1 RD @07
263.50ns INFO [00000265] * RD COMPARE * port=1 adr=00 act=2AE06E0BA5FFC6546B exp=2AE06E0BA5FFC6546B
264.50ns INFO [00000266] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30
265.50ns INFO [00000267] Port=1 RD @02
266.50ns INFO [00000268] Port=0 WR @00=34CC94A04752DF681B
267.50ns INFO [00000269] * RD COMPARE * port=1 adr=02 act=6B95FBEDA2ACB9653D exp=6B95FBEDA2ACB9653D
267.50ns INFO [00000269] Port=0 WR @02=30B2A12DED4A8EE602
269.50ns INFO [00000271] Port=0 WR @02=4DAA82CC287C58979A
269.50ns INFO [00000271] Port=0 RD @01
269.50ns INFO [00000271] Port=1 RD @00
270.50ns INFO [00000272] Port=0 WR @01=A0E803A00DDFE35612
270.50ns INFO [00000272] Port=0 RD @05
270.50ns INFO [00000272] Port=1 RD @02
271.50ns INFO [00000273] * RD COMPARE * port=0 adr=01 act=3209B40ECCBA3950A9 exp=3209B40ECCBA3950A9
271.50ns INFO [00000273] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
271.50ns INFO [00000273] Port=1 RD @03
272.50ns INFO [00000274] * RD COMPARE * port=0 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24
272.50ns INFO [00000274] * RD COMPARE * port=1 adr=02 act=4DAA82CC287C58979A exp=4DAA82CC287C58979A
272.50ns INFO [00000274] Port=0 RD @04
273.50ns INFO [00000275] * RD COMPARE * port=1 adr=03 act=13B58CD1E0B71EF047 exp=13B58CD1E0B71EF047
274.50ns INFO [00000276] * RD COMPARE * port=0 adr=04 act=C58CA497407FD6B88D exp=C58CA497407FD6B88D
275.50ns INFO [00000277] Port=0 RD @01
275.50ns INFO [00000277] Port=1 RD @07
276.50ns INFO [00000278] Port=1 RD @00
277.50ns INFO [00000279] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
277.50ns INFO [00000279] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30
277.50ns INFO [00000279] Port=0 RD @07
278.50ns INFO [00000280] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
278.50ns INFO [00000280] Port=0 RD @00
279.50ns INFO [00000281] * RD COMPARE * port=0 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30
280.50ns INFO [00000282] * RD COMPARE * port=0 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
281.50ns INFO [00000283] Port=0 WR @06=486EB5D081678511DA
281.50ns INFO [00000283] Port=1 RD @03
282.50ns INFO [00000284] Port=0 RD @06
282.50ns INFO [00000284] Port=1 RD @01
283.50ns INFO [00000285] * RD COMPARE * port=1 adr=03 act=13B58CD1E0B71EF047 exp=13B58CD1E0B71EF047
283.50ns INFO [00000285] Port=0 WR @02=BCD86DDF384A9DD24B
283.50ns INFO [00000285] Port=0 RD @05
283.50ns INFO [00000285] Port=1 RD @07
284.50ns INFO [00000286] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA
284.50ns INFO [00000286] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
284.50ns INFO [00000286] Port=0 WR @07=32D0A4EE29EB9355DE
284.50ns INFO [00000286] Port=0 RD @06
285.50ns INFO [00000287] * RD COMPARE * port=0 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24
285.50ns INFO [00000287] * RD COMPARE * port=1 adr=07 act=E81ED3CF24DF093C30 exp=E81ED3CF24DF093C30
285.50ns INFO [00000287] Port=0 WR @02=3DFC75ADEE1CE0240C
285.50ns INFO [00000287] Port=1 RD @01
286.50ns INFO [00000288] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA
286.50ns INFO [00000288] Port=0 WR @04=9FF1EB37C38C5F1B7B
287.50ns INFO [00000289] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
287.50ns INFO [00000289] Port=0 RD @07
288.50ns INFO [00000290] Port=0 RD @06
288.50ns INFO [00000290] Port=1 RD @00
289.50ns INFO [00000291] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
289.50ns INFO [00000291] Port=1 RD @05
290.50ns INFO [00000292] * RD COMPARE * port=0 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA
290.50ns INFO [00000292] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
291.50ns INFO [00000293] * RD COMPARE * port=1 adr=05 act=971641561C0BFCDA24 exp=971641561C0BFCDA24
291.50ns INFO [00000293] Port=1 RD @00
292.50ns INFO [00000294] Port=1 RD @00
293.50ns INFO [00000295] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
293.50ns INFO [00000295] Port=0 WR @03=EFAA8071110151850D
294.50ns INFO [00000296] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
294.50ns INFO [00000296] Port=1 RD @04
295.50ns INFO [00000297] Port=0 RD @02
296.50ns INFO [00000298] * RD COMPARE * port=1 adr=04 act=9FF1EB37C38C5F1B7B exp=9FF1EB37C38C5F1B7B
296.50ns INFO [00000298] Port=0 RD @01
297.50ns INFO [00000299] * RD COMPARE * port=0 adr=02 act=3DFC75ADEE1CE0240C exp=3DFC75ADEE1CE0240C
297.50ns INFO [00000299] Port=0 RD @01
297.50ns INFO [00000299] Port=1 RD @06
298.00ns INFO [00000300] [00000300] ...tick...
298.50ns INFO [00000300] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
299.50ns INFO [00000301] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
299.50ns INFO [00000301] * RD COMPARE * port=1 adr=06 act=486EB5D081678511DA exp=486EB5D081678511DA
299.50ns INFO [00000301] Port=0 WR @02=385B4CA501452BE6B2
299.50ns INFO [00000301] Port=1 RD @03
300.50ns INFO [00000302] Port=0 WR @06=1B5DCF9927F917969B
300.50ns INFO [00000302] Port=0 RD @07
301.50ns INFO [00000303] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
301.50ns INFO [00000303] Port=0 RD @01
302.50ns INFO [00000304] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
302.50ns INFO [00000304] Port=0 WR @05=35F9B3182E578DDFA8
302.50ns INFO [00000304] Port=1 RD @07
303.50ns INFO [00000305] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
303.50ns INFO [00000305] Port=1 RD @02
304.50ns INFO [00000306] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
304.50ns INFO [00000306] Port=1 RD @05
305.50ns INFO [00000307] * RD COMPARE * port=1 adr=02 act=385B4CA501452BE6B2 exp=385B4CA501452BE6B2
305.50ns INFO [00000307] Port=0 RD @03
305.50ns INFO [00000307] Port=1 RD @07
306.50ns INFO [00000308] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
306.50ns INFO [00000308] Port=0 WR @06=BFC6E904B0B88CF86D
306.50ns INFO [00000308] Port=1 RD @02
307.50ns INFO [00000309] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
307.50ns INFO [00000309] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
307.50ns INFO [00000309] Port=0 WR @02=CAA264C815AEAAC334
307.50ns INFO [00000309] Port=0 RD @05
308.50ns INFO [00000310] * RD COMPARE * port=1 adr=02 act=385B4CA501452BE6B2 exp=385B4CA501452BE6B2
308.50ns INFO [00000310] Port=0 RD @02
308.50ns INFO [00000310] Port=1 RD @00
309.50ns INFO [00000311] * RD COMPARE * port=0 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
309.50ns INFO [00000311] Port=0 WR @00=D41841025FD28DF6D2
310.50ns INFO [00000312] * RD COMPARE * port=0 adr=02 act=CAA264C815AEAAC334 exp=CAA264C815AEAAC334
310.50ns INFO [00000312] * RD COMPARE * port=1 adr=00 act=34CC94A04752DF681B exp=34CC94A04752DF681B
310.50ns INFO [00000312] Port=0 WR @04=868C4F636B0DC9A39D
310.50ns INFO [00000312] Port=0 RD @01
310.50ns INFO [00000312] Port=1 RD @05
311.50ns INFO [00000313] Port=0 WR @02=BB5B9D2EBF4C943DBE
311.50ns INFO [00000313] Port=1 RD @01
312.50ns INFO [00000314] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
312.50ns INFO [00000314] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
312.50ns INFO [00000314] Port=0 RD @02
313.50ns INFO [00000315] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
313.50ns INFO [00000315] Port=0 WR @00=EBC528D526F5C1FEE1
313.50ns INFO [00000315] Port=0 RD @01
314.50ns INFO [00000316] * RD COMPARE * port=0 adr=02 act=BB5B9D2EBF4C943DBE exp=BB5B9D2EBF4C943DBE
315.50ns INFO [00000317] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
315.50ns INFO [00000317] Port=1 RD @00
316.50ns INFO [00000318] Port=0 RD @03
316.50ns INFO [00000318] Port=1 RD @01
317.50ns INFO [00000319] * RD COMPARE * port=1 adr=00 act=EBC528D526F5C1FEE1 exp=EBC528D526F5C1FEE1
317.50ns INFO [00000319] Port=0 RD @01
318.50ns INFO [00000320] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
318.50ns INFO [00000320] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
319.50ns INFO [00000321] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
320.50ns INFO [00000322] Port=0 WR @02=EA069C680DC1348400
320.50ns INFO [00000322] Port=1 RD @05
321.50ns INFO [00000323] Port=1 RD @04
322.50ns INFO [00000324] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
322.50ns INFO [00000324] Port=0 WR @00=8EA50388F7595FFB6B
322.50ns INFO [00000324] Port=0 RD @01
322.50ns INFO [00000324] Port=1 RD @05
323.50ns INFO [00000325] * RD COMPARE * port=1 adr=04 act=868C4F636B0DC9A39D exp=868C4F636B0DC9A39D
323.50ns INFO [00000325] Port=0 WR @02=3E00EF5E04DD419CB9
324.50ns INFO [00000326] * RD COMPARE * port=0 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
324.50ns INFO [00000326] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
324.50ns INFO [00000326] Port=1 RD @05
325.50ns INFO [00000327] Port=0 WR @04=3047905F9A4577169F
325.50ns INFO [00000327] Port=0 RD @00
325.50ns INFO [00000327] Port=1 RD @02
326.50ns INFO [00000328] * RD COMPARE * port=1 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
326.50ns INFO [00000328] Port=1 RD @03
327.50ns INFO [00000329] * RD COMPARE * port=0 adr=00 act=8EA50388F7595FFB6B exp=8EA50388F7595FFB6B
327.50ns INFO [00000329] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
327.50ns INFO [00000329] Port=1 RD @02
328.50ns INFO [00000330] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
328.50ns INFO [00000330] Port=0 RD @04
328.50ns INFO [00000330] Port=1 RD @07
329.50ns INFO [00000331] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
330.50ns INFO [00000332] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F
330.50ns INFO [00000332] * RD COMPARE * port=1 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
330.50ns INFO [00000332] Port=0 RD @07
331.50ns INFO [00000333] Port=1 RD @01
332.50ns INFO [00000334] * RD COMPARE * port=0 adr=07 act=32D0A4EE29EB9355DE exp=32D0A4EE29EB9355DE
332.50ns INFO [00000334] Port=0 RD @04
333.50ns INFO [00000335] * RD COMPARE * port=1 adr=01 act=A0E803A00DDFE35612 exp=A0E803A00DDFE35612
333.50ns INFO [00000335] Port=0 WR @00=5DDA564D4F4C03ACE6
333.50ns INFO [00000335] Port=0 RD @05
333.50ns INFO [00000335] Port=1 RD @06
334.50ns INFO [00000336] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F
335.50ns INFO [00000337] * RD COMPARE * port=0 adr=05 act=35F9B3182E578DDFA8 exp=35F9B3182E578DDFA8
335.50ns INFO [00000337] * RD COMPARE * port=1 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
337.50ns INFO [00000339] Port=0 WR @01=E27E13410C7CFE20ED
338.50ns INFO [00000340] Port=0 WR @05=24CA6DB5779D2C42BA
338.50ns INFO [00000340] Port=0 RD @01
339.50ns INFO [00000341] Port=0 WR @07=8381DA2C26C3F30D27
339.50ns INFO [00000341] Port=1 RD @02
340.50ns INFO [00000342] * RD COMPARE * port=0 adr=01 act=E27E13410C7CFE20ED exp=E27E13410C7CFE20ED
340.50ns INFO [00000342] Port=0 WR @01=6FB96105E671630892
340.50ns INFO [00000342] Port=0 RD @04
341.50ns INFO [00000343] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
341.50ns INFO [00000343] Port=0 WR @05=6033BC42DB24488222
341.50ns INFO [00000343] Port=0 RD @06
342.50ns INFO [00000344] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F
342.50ns INFO [00000344] Port=1 RD @00
343.50ns INFO [00000345] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
344.50ns INFO [00000346] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
344.50ns INFO [00000346] Port=0 WR @07=4DB7C2512900AF347D
344.50ns INFO [00000346] Port=1 RD @02
345.50ns INFO [00000347] Port=0 RD @04
345.50ns INFO [00000347] Port=1 RD @05
346.50ns INFO [00000348] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
346.50ns INFO [00000348] Port=0 RD @04
347.50ns INFO [00000349] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F
347.50ns INFO [00000349] * RD COMPARE * port=1 adr=05 act=6033BC42DB24488222 exp=6033BC42DB24488222
347.50ns INFO [00000349] Port=1 RD @00
348.50ns INFO [00000350] * RD COMPARE * port=0 adr=04 act=3047905F9A4577169F exp=3047905F9A4577169F
348.50ns INFO [00000350] Port=0 WR @01=32B17B9FCE444B8972
349.50ns INFO [00000351] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
349.50ns INFO [00000351] Port=1 RD @07
350.50ns INFO [00000352] Port=0 RD @02
351.50ns INFO [00000353] * RD COMPARE * port=1 adr=07 act=4DB7C2512900AF347D exp=4DB7C2512900AF347D
352.50ns INFO [00000354] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
352.50ns INFO [00000354] Port=0 WR @04=505E57F17179E4D327
352.50ns INFO [00000354] Port=0 RD @03
352.50ns INFO [00000354] Port=1 RD @00
353.50ns INFO [00000355] Port=0 WR @01=B96B91173181FEC176
354.50ns INFO [00000356] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
354.50ns INFO [00000356] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
354.50ns INFO [00000356] Port=0 WR @05=CA4A223D851175B20C
355.50ns INFO [00000357] Port=1 RD @05
357.50ns INFO [00000359] * RD COMPARE * port=1 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C
357.50ns INFO [00000359] Port=0 WR @04=4CDA73B57EF8D4029E
358.50ns INFO [00000360] Port=0 RD @05
358.50ns INFO [00000360] Port=1 RD @02
360.50ns INFO [00000362] * RD COMPARE * port=0 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C
360.50ns INFO [00000362] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
360.50ns INFO [00000362] Port=0 WR @01=C0ABA2EA6356BDAF03
361.50ns INFO [00000363] Port=0 RD @05
362.50ns INFO [00000364] Port=0 WR @01=BAC2FEC39E9D401845
362.50ns INFO [00000364] Port=0 RD @02
362.50ns INFO [00000364] Port=1 RD @06
363.50ns INFO [00000365] * RD COMPARE * port=0 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C
363.50ns INFO [00000365] Port=1 RD @05
364.50ns INFO [00000366] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
364.50ns INFO [00000366] * RD COMPARE * port=1 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
364.50ns INFO [00000366] Port=0 WR @07=DC4566881CB2FCA4A6
364.50ns INFO [00000366] Port=0 RD @06
364.50ns INFO [00000366] Port=1 RD @03
365.50ns INFO [00000367] * RD COMPARE * port=1 adr=05 act=CA4A223D851175B20C exp=CA4A223D851175B20C
365.50ns INFO [00000367] Port=1 RD @03
366.50ns INFO [00000368] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
366.50ns INFO [00000368] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
366.50ns INFO [00000368] Port=0 RD @01
366.50ns INFO [00000368] Port=1 RD @07
367.50ns INFO [00000369] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
367.50ns INFO [00000369] Port=1 RD @02
368.50ns INFO [00000370] * RD COMPARE * port=0 adr=01 act=BAC2FEC39E9D401845 exp=BAC2FEC39E9D401845
368.50ns INFO [00000370] * RD COMPARE * port=1 adr=07 act=DC4566881CB2FCA4A6 exp=DC4566881CB2FCA4A6
368.50ns INFO [00000370] Port=0 WR @01=7A0F88C81C1CC57C02
368.50ns INFO [00000370] Port=0 RD @06
369.50ns INFO [00000371] * RD COMPARE * port=1 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
369.50ns INFO [00000371] Port=0 WR @07=B33E7A6347EE44F843
369.50ns INFO [00000371] Port=0 RD @00
369.50ns INFO [00000371] Port=1 RD @03
370.50ns INFO [00000372] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
370.50ns INFO [00000372] Port=0 WR @07=7B1C75D3F6A544128B
370.50ns INFO [00000372] Port=0 RD @06
370.50ns INFO [00000372] Port=1 RD @01
371.50ns INFO [00000373] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
371.50ns INFO [00000373] * RD COMPARE * port=1 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
371.50ns INFO [00000373] Port=0 WR @05=32FFCB359ABD0F28D0
371.50ns INFO [00000373] Port=0 RD @02
372.50ns INFO [00000374] * RD COMPARE * port=0 adr=06 act=BFC6E904B0B88CF86D exp=BFC6E904B0B88CF86D
372.50ns INFO [00000374] * RD COMPARE * port=1 adr=01 act=7A0F88C81C1CC57C02 exp=7A0F88C81C1CC57C02
373.50ns INFO [00000375] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
373.50ns INFO [00000375] Port=0 RD @03
374.50ns INFO [00000376] Port=0 RD @02
374.50ns INFO [00000376] Port=1 RD @04
375.50ns INFO [00000377] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
375.50ns INFO [00000377] Port=0 WR @02=7D6E883BF318BEB363
376.50ns INFO [00000378] * RD COMPARE * port=0 adr=02 act=3E00EF5E04DD419CB9 exp=3E00EF5E04DD419CB9
376.50ns INFO [00000378] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E
377.50ns INFO [00000379] Port=0 WR @02=7D733158C38574FF6A
377.50ns INFO [00000379] Port=0 RD @03
377.50ns INFO [00000379] Port=1 RD @00
378.50ns INFO [00000380] Port=1 RD @05
379.50ns INFO [00000381] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
379.50ns INFO [00000381] * RD COMPARE * port=1 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
379.50ns INFO [00000381] Port=0 WR @01=66B30C7AD71111600B
379.50ns INFO [00000381] Port=1 RD @04
380.50ns INFO [00000382] * RD COMPARE * port=1 adr=05 act=32FFCB359ABD0F28D0 exp=32FFCB359ABD0F28D0
380.50ns INFO [00000382] Port=0 RD @03
381.50ns INFO [00000383] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E
381.50ns INFO [00000383] Port=0 WR @05=85AE6865AE133DF072
381.50ns INFO [00000383] Port=0 RD @03
382.50ns INFO [00000384] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
383.50ns INFO [00000385] * RD COMPARE * port=0 adr=03 act=EFAA8071110151850D exp=EFAA8071110151850D
383.50ns INFO [00000385] Port=0 WR @01=F4AC099519740B1A00
384.50ns INFO [00000386] Port=0 WR @03=70CA80A5E89591A661
385.50ns INFO [00000387] Port=1 RD @03
386.50ns INFO [00000388] Port=0 RD @00
387.50ns INFO [00000389] * RD COMPARE * port=1 adr=03 act=70CA80A5E89591A661 exp=70CA80A5E89591A661
387.50ns INFO [00000389] Port=1 RD @05
388.50ns INFO [00000390] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
388.50ns INFO [00000390] Port=0 WR @07=86C08B321976C4BFB6
388.50ns INFO [00000390] Port=0 RD @01
389.50ns INFO [00000391] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
389.50ns INFO [00000391] Port=0 WR @02=A9C31445807D8914B3
389.50ns INFO [00000391] Port=0 RD @04
390.50ns INFO [00000392] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00
391.50ns INFO [00000393] * RD COMPARE * port=0 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E
391.50ns INFO [00000393] Port=0 WR @03=A2DCBBF01BC7E1ED7B
391.50ns INFO [00000393] Port=0 RD @05
393.50ns INFO [00000395] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
394.50ns INFO [00000396] Port=0 RD @00
394.50ns INFO [00000396] Port=1 RD @04
395.50ns INFO [00000397] Port=0 WR @06=7292D9A5343559BC7F
395.50ns INFO [00000397] Port=0 RD @03
396.50ns INFO [00000398] * RD COMPARE * port=0 adr=00 act=5DDA564D4F4C03ACE6 exp=5DDA564D4F4C03ACE6
396.50ns INFO [00000398] * RD COMPARE * port=1 adr=04 act=4CDA73B57EF8D4029E exp=4CDA73B57EF8D4029E
396.50ns INFO [00000398] Port=0 WR @00=7E5721207C5E867F0C
397.50ns INFO [00000399] * RD COMPARE * port=0 adr=03 act=A2DCBBF01BC7E1ED7B exp=A2DCBBF01BC7E1ED7B
397.50ns INFO [00000399] Port=0 WR @03=A1700D56728674E2EF
397.50ns INFO [00000399] Port=1 RD @00
398.00ns INFO [00000400] [00000400] ...tick...
398.50ns INFO [00000400] Port=1 RD @07
399.50ns INFO [00000401] * RD COMPARE * port=1 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C
400.50ns INFO [00000402] * RD COMPARE * port=1 adr=07 act=86C08B321976C4BFB6 exp=86C08B321976C4BFB6
400.50ns INFO [00000402] Port=0 WR @07=B19DC49D66D2DCC7F5
400.50ns INFO [00000402] Port=0 RD @01
400.50ns INFO [00000402] Port=1 RD @05
402.50ns INFO [00000404] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00
402.50ns INFO [00000404] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
402.50ns INFO [00000404] Port=1 RD @03
404.50ns INFO [00000406] * RD COMPARE * port=1 adr=03 act=A1700D56728674E2EF exp=A1700D56728674E2EF
406.50ns INFO [00000408] Port=0 RD @05
406.50ns INFO [00000408] Port=1 RD @06
408.50ns INFO [00000410] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
408.50ns INFO [00000410] * RD COMPARE * port=1 adr=06 act=7292D9A5343559BC7F exp=7292D9A5343559BC7F
408.50ns INFO [00000410] Port=0 WR @04=AC2653BB79AADE622D
410.50ns INFO [00000412] Port=0 WR @06=BDC2CB3297BEACCE28
410.50ns INFO [00000412] Port=0 RD @07
411.50ns INFO [00000413] Port=0 RD @01
411.50ns INFO [00000413] Port=1 RD @06
412.50ns INFO [00000414] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
412.50ns INFO [00000414] Port=0 RD @00
412.50ns INFO [00000414] Port=1 RD @07
413.50ns INFO [00000415] * RD COMPARE * port=0 adr=01 act=F4AC099519740B1A00 exp=F4AC099519740B1A00
413.50ns INFO [00000415] * RD COMPARE * port=1 adr=06 act=BDC2CB3297BEACCE28 exp=BDC2CB3297BEACCE28
413.50ns INFO [00000415] Port=0 WR @03=AEE33F199E3A7D162A
413.50ns INFO [00000415] Port=1 RD @02
414.50ns INFO [00000416] * RD COMPARE * port=0 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C
414.50ns INFO [00000416] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
415.50ns INFO [00000417] * RD COMPARE * port=1 adr=02 act=A9C31445807D8914B3 exp=A9C31445807D8914B3
415.50ns INFO [00000417] Port=0 RD @04
417.50ns INFO [00000419] * RD COMPARE * port=0 adr=04 act=AC2653BB79AADE622D exp=AC2653BB79AADE622D
418.50ns INFO [00000420] Port=0 WR @02=033FE2FA811837B05E
419.50ns INFO [00000421] Port=0 RD @07
420.50ns INFO [00000422] Port=0 WR @06=AAB06651F286040551
420.50ns INFO [00000422] Port=0 RD @00
420.50ns INFO [00000422] Port=1 RD @07
421.50ns INFO [00000423] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
421.50ns INFO [00000423] Port=0 WR @00=24C2516F8D525B145A
421.50ns INFO [00000423] Port=0 RD @05
421.50ns INFO [00000423] Port=1 RD @05
422.50ns INFO [00000424] * RD COMPARE * port=0 adr=00 act=7E5721207C5E867F0C exp=7E5721207C5E867F0C
422.50ns INFO [00000424] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
422.50ns INFO [00000424] Port=0 WR @01=3E06BC64F5E926AC9A
422.50ns INFO [00000424] Port=0 RD @00
422.50ns INFO [00000424] Port=1 RD @06
423.50ns INFO [00000425] * RD COMPARE * port=0 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
423.50ns INFO [00000425] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
423.50ns INFO [00000425] Port=1 RD @03
424.50ns INFO [00000426] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A
424.50ns INFO [00000426] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
424.50ns INFO [00000426] Port=0 WR @02=EFF2F0C3F74C74E213
424.50ns INFO [00000426] Port=1 RD @05
425.50ns INFO [00000427] * RD COMPARE * port=1 adr=03 act=AEE33F199E3A7D162A exp=AEE33F199E3A7D162A
425.50ns INFO [00000427] Port=0 WR @05=84D9BE538ABF4CC566
425.50ns INFO [00000427] Port=1 RD @04
426.50ns INFO [00000428] * RD COMPARE * port=1 adr=05 act=85AE6865AE133DF072 exp=85AE6865AE133DF072
427.50ns INFO [00000429] * RD COMPARE * port=1 adr=04 act=AC2653BB79AADE622D exp=AC2653BB79AADE622D
428.50ns INFO [00000430] Port=0 WR @03=977C8A0AF8FE241874
429.50ns INFO [00000431] Port=0 WR @03=4F853070EA971630A9
429.50ns INFO [00000431] Port=1 RD @05
430.50ns INFO [00000432] Port=0 WR @01=E9B5CB8FB5BBF1C9D2
431.50ns INFO [00000433] * RD COMPARE * port=1 adr=05 act=84D9BE538ABF4CC566 exp=84D9BE538ABF4CC566
435.50ns INFO [00000437] Port=1 RD @06
436.50ns INFO [00000438] Port=1 RD @06
437.50ns INFO [00000439] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
437.50ns INFO [00000439] Port=0 WR @05=5A35BE9A6A42CC09DC
437.50ns INFO [00000439] Port=1 RD @03
438.50ns INFO [00000440] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
438.50ns INFO [00000440] Port=0 WR @04=687C47368AFBFD4CA3
439.50ns INFO [00000441] * RD COMPARE * port=1 adr=03 act=4F853070EA971630A9 exp=4F853070EA971630A9
439.50ns INFO [00000441] Port=0 WR @05=3D3416870D45A95D83
439.50ns INFO [00000441] Port=0 RD @00
440.50ns INFO [00000442] Port=0 RD @01
441.50ns INFO [00000443] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A
441.50ns INFO [00000443] Port=0 WR @04=0D6647DF1A160E8A25
441.50ns INFO [00000443] Port=1 RD @02
442.50ns INFO [00000444] * RD COMPARE * port=0 adr=01 act=E9B5CB8FB5BBF1C9D2 exp=E9B5CB8FB5BBF1C9D2
442.50ns INFO [00000444] Port=0 WR @04=E993D9B74A94513275
442.50ns INFO [00000444] Port=0 RD @01
443.50ns INFO [00000445] * RD COMPARE * port=1 adr=02 act=EFF2F0C3F74C74E213 exp=EFF2F0C3F74C74E213
443.50ns INFO [00000445] Port=0 RD @02
443.50ns INFO [00000445] Port=1 RD @00
444.50ns INFO [00000446] * RD COMPARE * port=0 adr=01 act=E9B5CB8FB5BBF1C9D2 exp=E9B5CB8FB5BBF1C9D2
444.50ns INFO [00000446] Port=0 RD @06
444.50ns INFO [00000446] Port=1 RD @07
445.50ns INFO [00000447] * RD COMPARE * port=0 adr=02 act=EFF2F0C3F74C74E213 exp=EFF2F0C3F74C74E213
445.50ns INFO [00000447] * RD COMPARE * port=1 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A
445.50ns INFO [00000447] Port=0 WR @02=79732B267F05EB3933
445.50ns INFO [00000447] Port=0 RD @06
445.50ns INFO [00000447] Port=1 RD @04
446.50ns INFO [00000448] * RD COMPARE * port=0 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
446.50ns INFO [00000448] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
446.50ns INFO [00000448] Port=0 WR @01=66600D7B5AA0325AE5
446.50ns INFO [00000448] Port=0 RD @00
447.50ns INFO [00000449] * RD COMPARE * port=0 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
447.50ns INFO [00000449] * RD COMPARE * port=1 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275
447.50ns INFO [00000449] Port=1 RD @00
448.50ns INFO [00000450] * RD COMPARE * port=0 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A
448.50ns INFO [00000450] Port=0 WR @03=8F67285CD58686D9A0
448.50ns INFO [00000450] Port=0 RD @07
449.50ns INFO [00000451] * RD COMPARE * port=1 adr=00 act=24C2516F8D525B145A exp=24C2516F8D525B145A
449.50ns INFO [00000451] Port=0 WR @03=A52B302AE974905322
449.50ns INFO [00000451] Port=1 RD @05
450.50ns INFO [00000452] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
451.50ns INFO [00000453] * RD COMPARE * port=1 adr=05 act=3D3416870D45A95D83 exp=3D3416870D45A95D83
451.50ns INFO [00000453] Port=0 RD @04
451.50ns INFO [00000453] Port=1 RD @03
452.50ns INFO [00000454] Port=0 WR @00=D3D94226409D3D9600
452.50ns INFO [00000454] Port=1 RD @04
453.50ns INFO [00000455] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275
453.50ns INFO [00000455] * RD COMPARE * port=1 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322
453.50ns INFO [00000455] Port=0 WR @05=49B0FB355BE83C6D10
453.50ns INFO [00000455] Port=0 RD @02
453.50ns INFO [00000455] Port=1 RD @06
454.50ns INFO [00000456] * RD COMPARE * port=1 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275
454.50ns INFO [00000456] Port=1 RD @01
455.50ns INFO [00000457] * RD COMPARE * port=0 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933
455.50ns INFO [00000457] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
455.50ns INFO [00000457] Port=1 RD @01
456.50ns INFO [00000458] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
456.50ns INFO [00000458] Port=0 WR @05=F9C795AF7A40727689
456.50ns INFO [00000458] Port=0 RD @01
457.50ns INFO [00000459] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
457.50ns INFO [00000459] Port=0 RD @05
457.50ns INFO [00000459] Port=1 RD @03
458.50ns INFO [00000460] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
458.50ns INFO [00000460] Port=0 RD @04
458.50ns INFO [00000460] Port=1 RD @06
459.50ns INFO [00000461] * RD COMPARE * port=0 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689
459.50ns INFO [00000461] * RD COMPARE * port=1 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322
459.50ns INFO [00000461] Port=0 WR @06=F365AFD6870A796C05
459.50ns INFO [00000461] Port=1 RD @02
460.50ns INFO [00000462] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275
460.50ns INFO [00000462] * RD COMPARE * port=1 adr=06 act=AAB06651F286040551 exp=AAB06651F286040551
460.50ns INFO [00000462] Port=0 RD @04
460.50ns INFO [00000462] Port=1 RD @07
461.50ns INFO [00000463] * RD COMPARE * port=1 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933
461.50ns INFO [00000463] Port=0 RD @01
462.50ns INFO [00000464] * RD COMPARE * port=0 adr=04 act=E993D9B74A94513275 exp=E993D9B74A94513275
462.50ns INFO [00000464] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
462.50ns INFO [00000464] Port=0 RD @06
462.50ns INFO [00000464] Port=1 RD @05
463.50ns INFO [00000465] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
463.50ns INFO [00000465] Port=0 RD @01
464.50ns INFO [00000466] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05
464.50ns INFO [00000466] * RD COMPARE * port=1 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689
465.50ns INFO [00000467] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
465.50ns INFO [00000467] Port=0 RD @07
466.50ns INFO [00000468] Port=0 WR @00=8455CEE5F02CC3E9BD
466.50ns INFO [00000468] Port=0 RD @07
466.50ns INFO [00000468] Port=1 RD @01
467.50ns INFO [00000469] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
467.50ns INFO [00000469] Port=0 RD @02
468.50ns INFO [00000470] * RD COMPARE * port=0 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
468.50ns INFO [00000470] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
468.50ns INFO [00000470] Port=0 WR @02=6634E50617B799812B
468.50ns INFO [00000470] Port=0 RD @05
469.50ns INFO [00000471] * RD COMPARE * port=0 adr=02 act=79732B267F05EB3933 exp=79732B267F05EB3933
469.50ns INFO [00000471] Port=0 WR @04=007B29DA01CC4A8943
470.50ns INFO [00000472] * RD COMPARE * port=0 adr=05 act=F9C795AF7A40727689 exp=F9C795AF7A40727689
471.50ns INFO [00000473] Port=0 WR @00=5CCF27A68804F84D41
471.50ns INFO [00000473] Port=1 RD @01
472.50ns INFO [00000474] Port=1 RD @06
473.50ns INFO [00000475] * RD COMPARE * port=1 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
473.50ns INFO [00000475] Port=0 WR @04=8F9924CE946520C045
473.50ns INFO [00000475] Port=0 RD @01
473.50ns INFO [00000475] Port=1 RD @07
474.50ns INFO [00000476] * RD COMPARE * port=1 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05
474.50ns INFO [00000476] Port=0 WR @05=AFFAE77AA5D2E384FD
474.50ns INFO [00000476] Port=0 RD @03
475.50ns INFO [00000477] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
475.50ns INFO [00000477] * RD COMPARE * port=1 adr=07 act=B19DC49D66D2DCC7F5 exp=B19DC49D66D2DCC7F5
475.50ns INFO [00000477] Port=0 WR @00=506FFE63480DA89D03
475.50ns INFO [00000477] Port=0 RD @05
476.50ns INFO [00000478] * RD COMPARE * port=0 adr=03 act=A52B302AE974905322 exp=A52B302AE974905322
476.50ns INFO [00000478] Port=0 WR @04=45BE8584F2796076EB
477.50ns INFO [00000479] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
478.50ns INFO [00000480] Port=0 RD @00
479.50ns INFO [00000481] Port=0 WR @07=25EE2EEC340BD8D9BF
479.50ns INFO [00000481] Port=0 RD @01
480.50ns INFO [00000482] * RD COMPARE * port=0 adr=00 act=506FFE63480DA89D03 exp=506FFE63480DA89D03
480.50ns INFO [00000482] Port=0 WR @00=509AEAE68D2FAAA970
480.50ns INFO [00000482] Port=0 RD @07
481.50ns INFO [00000483] * RD COMPARE * port=0 adr=01 act=66600D7B5AA0325AE5 exp=66600D7B5AA0325AE5
482.50ns INFO [00000484] * RD COMPARE * port=0 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF
483.50ns INFO [00000485] Port=0 RD @04
484.50ns INFO [00000486] Port=0 WR @03=1DDE061FA6CA1D3AA2
484.50ns INFO [00000486] Port=0 RD @06
485.50ns INFO [00000487] * RD COMPARE * port=0 adr=04 act=45BE8584F2796076EB exp=45BE8584F2796076EB
485.50ns INFO [00000487] Port=0 WR @00=613462730C01AEF1D7
485.50ns INFO [00000487] Port=0 RD @05
485.50ns INFO [00000487] Port=1 RD @07
486.50ns INFO [00000488] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05
486.50ns INFO [00000488] Port=0 RD @00
487.50ns INFO [00000489] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
487.50ns INFO [00000489] * RD COMPARE * port=1 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF
487.50ns INFO [00000489] Port=0 WR @02=A71C8697891A0EDD07
488.50ns INFO [00000490] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
489.50ns INFO [00000491] Port=0 RD @03
491.50ns INFO [00000493] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
491.50ns INFO [00000493] Port=0 RD @05
492.50ns INFO [00000494] Port=0 RD @03
492.50ns INFO [00000494] Port=1 RD @02
493.50ns INFO [00000495] * RD COMPARE * port=0 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
494.50ns INFO [00000496] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
494.50ns INFO [00000496] * RD COMPARE * port=1 adr=02 act=A71C8697891A0EDD07 exp=A71C8697891A0EDD07
494.50ns INFO [00000496] Port=1 RD @05
495.50ns INFO [00000497] Port=0 WR @01=CF799332BA069D85DD
495.50ns INFO [00000497] Port=1 RD @07
496.50ns INFO [00000498] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
496.50ns INFO [00000498] Port=0 RD @06
497.50ns INFO [00000499] * RD COMPARE * port=1 adr=07 act=25EE2EEC340BD8D9BF exp=25EE2EEC340BD8D9BF
497.50ns INFO [00000499] Port=0 WR @06=0BFEF499A2CF4E0260
497.50ns INFO [00000499] Port=0 RD @03
497.50ns INFO [00000499] Port=1 RD @00
498.00ns INFO [00000500] [00000500] ...tick...
498.50ns INFO [00000500] * RD COMPARE * port=0 adr=06 act=F365AFD6870A796C05 exp=F365AFD6870A796C05
498.50ns INFO [00000500] Port=0 RD @06
499.50ns INFO [00000501] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
499.50ns INFO [00000501] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
499.50ns INFO [00000501] Port=0 RD @03
499.50ns INFO [00000501] Port=1 RD @03
500.50ns INFO [00000502] * RD COMPARE * port=0 adr=06 act=0BFEF499A2CF4E0260 exp=0BFEF499A2CF4E0260
500.50ns INFO [00000502] Port=1 RD @01
501.50ns INFO [00000503] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
501.50ns INFO [00000503] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
501.50ns INFO [00000503] Port=0 RD @03
501.50ns INFO [00000503] Port=1 RD @05
502.50ns INFO [00000504] * RD COMPARE * port=1 adr=01 act=CF799332BA069D85DD exp=CF799332BA069D85DD
502.50ns INFO [00000504] Port=1 RD @02
503.50ns INFO [00000505] * RD COMPARE * port=0 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
503.50ns INFO [00000505] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
503.50ns INFO [00000505] Port=1 RD @04
504.50ns INFO [00000506] * RD COMPARE * port=1 adr=02 act=A71C8697891A0EDD07 exp=A71C8697891A0EDD07
504.50ns INFO [00000506] Port=0 WR @06=BEE48DF5E754231624
504.50ns INFO [00000506] Port=1 RD @05
505.50ns INFO [00000507] * RD COMPARE * port=1 adr=04 act=45BE8584F2796076EB exp=45BE8584F2796076EB
506.50ns INFO [00000508] * RD COMPARE * port=1 adr=05 act=AFFAE77AA5D2E384FD exp=AFFAE77AA5D2E384FD
506.50ns INFO [00000508] Port=0 WR @02=327990B6D7AE116C39
506.50ns INFO [00000508] Port=1 RD @06
508.50ns INFO [00000510] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
508.50ns INFO [00000510] Port=1 RD @06
509.50ns INFO [00000511] Port=0 WR @04=641515F75CDEDA546D
509.50ns INFO [00000511] Port=1 RD @06
510.50ns INFO [00000512] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
510.50ns INFO [00000512] Port=0 WR @07=F6203335E208C77888
510.50ns INFO [00000512] Port=0 RD @00
511.50ns INFO [00000513] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
511.50ns INFO [00000513] Port=0 WR @01=206A198E392DC642DD
511.50ns INFO [00000513] Port=1 RD @04
512.50ns INFO [00000514] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
512.50ns INFO [00000514] Port=1 RD @01
513.50ns INFO [00000515] * RD COMPARE * port=1 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D
513.50ns INFO [00000515] Port=0 WR @05=CE45AB5550128D33E8
513.50ns INFO [00000515] Port=1 RD @03
514.50ns INFO [00000516] * RD COMPARE * port=1 adr=01 act=206A198E392DC642DD exp=206A198E392DC642DD
514.50ns INFO [00000516] Port=0 WR @02=5A58D67AF5AB6A9921
515.50ns INFO [00000517] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
515.50ns INFO [00000517] Port=0 WR @02=15A91A2FBD76FE2459
518.50ns INFO [00000520] Port=1 RD @00
519.50ns INFO [00000521] Port=0 RD @04
520.50ns INFO [00000522] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
520.50ns INFO [00000522] Port=0 WR @02=474FC71870A71049A3
520.50ns INFO [00000522] Port=0 RD @06
520.50ns INFO [00000522] Port=1 RD @00
521.50ns INFO [00000523] * RD COMPARE * port=0 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D
521.50ns INFO [00000523] Port=1 RD @06
522.50ns INFO [00000524] * RD COMPARE * port=0 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
522.50ns INFO [00000524] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
523.50ns INFO [00000525] * RD COMPARE * port=1 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
523.50ns INFO [00000525] Port=0 WR @01=5703B7AEADF4172B4E
523.50ns INFO [00000525] Port=1 RD @03
524.50ns INFO [00000526] Port=0 WR @03=FFE7761B7D80E7A156
524.50ns INFO [00000526] Port=1 RD @00
525.50ns INFO [00000527] * RD COMPARE * port=1 adr=03 act=1DDE061FA6CA1D3AA2 exp=1DDE061FA6CA1D3AA2
525.50ns INFO [00000527] Port=0 RD @07
525.50ns INFO [00000527] Port=1 RD @04
526.50ns INFO [00000528] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
526.50ns INFO [00000528] Port=0 WR @04=9ADC8D342A075E57A9
527.50ns INFO [00000529] * RD COMPARE * port=0 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888
527.50ns INFO [00000529] * RD COMPARE * port=1 adr=04 act=641515F75CDEDA546D exp=641515F75CDEDA546D
527.50ns INFO [00000529] Port=0 RD @07
528.50ns INFO [00000530] Port=0 RD @06
528.50ns INFO [00000530] Port=1 RD @00
529.50ns INFO [00000531] * RD COMPARE * port=0 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888
529.50ns INFO [00000531] Port=0 WR @06=7100A112D734C9CF2C
529.50ns INFO [00000531] Port=1 RD @07
530.50ns INFO [00000532] * RD COMPARE * port=0 adr=06 act=BEE48DF5E754231624 exp=BEE48DF5E754231624
530.50ns INFO [00000532] * RD COMPARE * port=1 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
530.50ns INFO [00000532] Port=0 RD @00
530.50ns INFO [00000532] Port=1 RD @04
531.50ns INFO [00000533] * RD COMPARE * port=1 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888
531.50ns INFO [00000533] Port=0 WR @00=BD3B82167C5FB474D3
531.50ns INFO [00000533] Port=0 RD @04
532.50ns INFO [00000534] * RD COMPARE * port=0 adr=00 act=613462730C01AEF1D7 exp=613462730C01AEF1D7
532.50ns INFO [00000534] * RD COMPARE * port=1 adr=04 act=9ADC8D342A075E57A9 exp=9ADC8D342A075E57A9
532.50ns INFO [00000534] Port=0 WR @03=1524B19AB01ACF8BB5
533.50ns INFO [00000535] * RD COMPARE * port=0 adr=04 act=9ADC8D342A075E57A9 exp=9ADC8D342A075E57A9
533.50ns INFO [00000535] Port=0 WR @01=9D1CD29E4067F2F67D
533.50ns INFO [00000535] Port=0 RD @06
533.50ns INFO [00000535] Port=1 RD @03
534.50ns INFO [00000536] Port=0 WR @01=90C0D077F4C9C925D0
534.50ns INFO [00000536] Port=1 RD @06
535.50ns INFO [00000537] * RD COMPARE * port=0 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C
535.50ns INFO [00000537] * RD COMPARE * port=1 adr=03 act=1524B19AB01ACF8BB5 exp=1524B19AB01ACF8BB5
535.50ns INFO [00000537] Port=0 WR @04=1722D695B4E7021AE2
535.50ns INFO [00000537] Port=1 RD @06
536.50ns INFO [00000538] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C
537.50ns INFO [00000539] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C
537.50ns INFO [00000539] Port=0 RD @05
538.50ns INFO [00000540] Port=0 RD @05
539.50ns INFO [00000541] * RD COMPARE * port=0 adr=05 act=CE45AB5550128D33E8 exp=CE45AB5550128D33E8
539.50ns INFO [00000541] Port=0 WR @01=26C85978C25BFCBDCF
540.50ns INFO [00000542] * RD COMPARE * port=0 adr=05 act=CE45AB5550128D33E8 exp=CE45AB5550128D33E8
541.50ns INFO [00000543] Port=0 RD @04
542.50ns INFO [00000544] Port=0 RD @04
542.50ns INFO [00000544] Port=1 RD @01
543.50ns INFO [00000545] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2
543.50ns INFO [00000545] Port=1 RD @07
544.50ns INFO [00000546] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2
544.50ns INFO [00000546] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
544.50ns INFO [00000546] Port=0 WR @05=4D7209593D8BE95BB3
544.50ns INFO [00000546] Port=0 RD @03
545.50ns INFO [00000547] * RD COMPARE * port=1 adr=07 act=F6203335E208C77888 exp=F6203335E208C77888
545.50ns INFO [00000547] Port=0 RD @02
546.50ns INFO [00000548] * RD COMPARE * port=0 adr=03 act=1524B19AB01ACF8BB5 exp=1524B19AB01ACF8BB5
546.50ns INFO [00000548] Port=0 WR @00=D644A835B5590CF5FC
546.50ns INFO [00000548] Port=1 RD @06
547.50ns INFO [00000549] * RD COMPARE * port=0 adr=02 act=474FC71870A71049A3 exp=474FC71870A71049A3
547.50ns INFO [00000549] Port=0 RD @05
547.50ns INFO [00000549] Port=1 RD @00
548.50ns INFO [00000550] * RD COMPARE * port=1 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C
548.50ns INFO [00000550] Port=0 WR @02=C7E609532768BDD1BF
548.50ns INFO [00000550] Port=0 RD @06
549.50ns INFO [00000551] * RD COMPARE * port=0 adr=05 act=4D7209593D8BE95BB3 exp=4D7209593D8BE95BB3
549.50ns INFO [00000551] * RD COMPARE * port=1 adr=00 act=D644A835B5590CF5FC exp=D644A835B5590CF5FC
549.50ns INFO [00000551] Port=0 WR @06=BBFA61D4058301CF45
550.50ns INFO [00000552] * RD COMPARE * port=0 adr=06 act=7100A112D734C9CF2C exp=7100A112D734C9CF2C
550.50ns INFO [00000552] Port=0 WR @06=FB206E9EAF5A473652
551.50ns INFO [00000553] Port=0 WR @03=4E274DE2CCA4D1D8B1
551.50ns INFO [00000553] Port=0 RD @04
553.50ns INFO [00000555] * RD COMPARE * port=0 adr=04 act=1722D695B4E7021AE2 exp=1722D695B4E7021AE2
553.50ns INFO [00000555] Port=0 WR @07=4C743D4CFF7C581065
553.50ns INFO [00000555] Port=1 RD @06
554.50ns INFO [00000556] Port=0 RD @06
554.50ns INFO [00000556] Port=1 RD @01
555.50ns INFO [00000557] * RD COMPARE * port=1 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652
555.50ns INFO [00000557] Port=0 RD @02
555.50ns INFO [00000557] Port=1 RD @03
556.50ns INFO [00000558] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652
556.50ns INFO [00000558] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
557.50ns INFO [00000559] * RD COMPARE * port=0 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF
557.50ns INFO [00000559] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
557.50ns INFO [00000559] Port=0 WR @04=868B89E056F1E557FE
559.50ns INFO [00000561] Port=0 RD @05
560.50ns INFO [00000562] Port=1 RD @02
561.50ns INFO [00000563] * RD COMPARE * port=0 adr=05 act=4D7209593D8BE95BB3 exp=4D7209593D8BE95BB3
561.50ns INFO [00000563] Port=0 RD @00
562.50ns INFO [00000564] * RD COMPARE * port=1 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF
563.50ns INFO [00000565] * RD COMPARE * port=0 adr=00 act=D644A835B5590CF5FC exp=D644A835B5590CF5FC
563.50ns INFO [00000565] Port=0 WR @05=DEC6C9F80DEE12AA5A
564.50ns INFO [00000566] Port=0 RD @06
564.50ns INFO [00000566] Port=1 RD @01
566.50ns INFO [00000568] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652
566.50ns INFO [00000568] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
566.50ns INFO [00000568] Port=0 WR @04=C0FA6A9FAF09ED7455
568.50ns INFO [00000570] Port=0 WR @05=8CF37079DB2D5C8910
568.50ns INFO [00000570] Port=1 RD @02
570.50ns INFO [00000572] * RD COMPARE * port=1 adr=02 act=C7E609532768BDD1BF exp=C7E609532768BDD1BF
570.50ns INFO [00000572] Port=0 RD @03
571.50ns INFO [00000573] Port=0 WR @02=A7091E800BCBA6A916
571.50ns INFO [00000573] Port=1 RD @07
572.50ns INFO [00000574] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
572.50ns INFO [00000574] Port=0 RD @05
573.50ns INFO [00000575] * RD COMPARE * port=1 adr=07 act=4C743D4CFF7C581065 exp=4C743D4CFF7C581065
573.50ns INFO [00000575] Port=0 RD @07
574.50ns INFO [00000576] * RD COMPARE * port=0 adr=05 act=8CF37079DB2D5C8910 exp=8CF37079DB2D5C8910
575.50ns INFO [00000577] * RD COMPARE * port=0 adr=07 act=4C743D4CFF7C581065 exp=4C743D4CFF7C581065
575.50ns INFO [00000577] Port=1 RD @03
577.50ns INFO [00000579] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
577.50ns INFO [00000579] Port=0 WR @07=5A86621C3888F0CFD2
578.50ns INFO [00000580] Port=1 RD @05
579.50ns INFO [00000581] Port=0 RD @04
579.50ns INFO [00000581] Port=1 RD @07
580.50ns INFO [00000582] * RD COMPARE * port=1 adr=05 act=8CF37079DB2D5C8910 exp=8CF37079DB2D5C8910
580.50ns INFO [00000582] Port=0 RD @03
581.50ns INFO [00000583] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455
581.50ns INFO [00000583] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2
581.50ns INFO [00000583] Port=1 RD @02
582.50ns INFO [00000584] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
582.50ns INFO [00000584] Port=0 WR @00=21FBC0BAE8DA51BC5F
583.50ns INFO [00000585] * RD COMPARE * port=1 adr=02 act=A7091E800BCBA6A916 exp=A7091E800BCBA6A916
584.50ns INFO [00000586] Port=0 RD @06
585.50ns INFO [00000587] Port=1 RD @00
586.50ns INFO [00000588] * RD COMPARE * port=0 adr=06 act=FB206E9EAF5A473652 exp=FB206E9EAF5A473652
587.50ns INFO [00000589] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F
587.50ns INFO [00000589] Port=0 WR @05=A1BC6854E44FBC853C
587.50ns INFO [00000589] Port=1 RD @00
589.50ns INFO [00000591] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F
589.50ns INFO [00000591] Port=0 RD @04
589.50ns INFO [00000591] Port=1 RD @00
590.50ns INFO [00000592] Port=0 WR @00=FA36B844FB7C685619
591.50ns INFO [00000593] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455
591.50ns INFO [00000593] * RD COMPARE * port=1 adr=00 act=21FBC0BAE8DA51BC5F exp=21FBC0BAE8DA51BC5F
591.50ns INFO [00000593] Port=1 RD @01
592.50ns INFO [00000594] Port=0 RD @07
593.50ns INFO [00000595] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
593.50ns INFO [00000595] Port=1 RD @04
594.50ns INFO [00000596] * RD COMPARE * port=0 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2
595.50ns INFO [00000597] * RD COMPARE * port=1 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455
595.50ns INFO [00000597] Port=1 RD @07
596.50ns INFO [00000598] Port=0 WR @02=DF29B3D5580B0E866B
597.50ns INFO [00000599] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2
597.50ns INFO [00000599] Port=0 WR @06=AA68C8C5D63296D789
598.00ns INFO [00000600] [00000600] ...tick...
600.50ns INFO [00000602] Port=0 RD @04
601.50ns INFO [00000603] Port=1 RD @06
602.50ns INFO [00000604] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455
602.50ns INFO [00000604] Port=0 WR @02=13D29E965DA7B20A71
602.50ns INFO [00000604] Port=0 RD @04
603.50ns INFO [00000605] * RD COMPARE * port=1 adr=06 act=AA68C8C5D63296D789 exp=AA68C8C5D63296D789
603.50ns INFO [00000605] Port=0 WR @00=A6459A67E19D02F1B9
603.50ns INFO [00000605] Port=1 RD @07
604.50ns INFO [00000606] * RD COMPARE * port=0 adr=04 act=C0FA6A9FAF09ED7455 exp=C0FA6A9FAF09ED7455
605.50ns INFO [00000607] * RD COMPARE * port=1 adr=07 act=5A86621C3888F0CFD2 exp=5A86621C3888F0CFD2
607.50ns INFO [00000609] Port=0 WR @06=748B14C90D2A93E83F
608.50ns INFO [00000610] Port=0 WR @04=AA6DBEDC5855202BA5
608.50ns INFO [00000610] Port=0 RD @05
609.50ns INFO [00000611] Port=0 WR @04=386D552CFFA3A07DE2
610.50ns INFO [00000612] * RD COMPARE * port=0 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C
610.50ns INFO [00000612] Port=1 RD @01
611.50ns INFO [00000613] Port=0 RD @00
612.50ns INFO [00000614] * RD COMPARE * port=1 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
613.50ns INFO [00000615] * RD COMPARE * port=0 adr=00 act=A6459A67E19D02F1B9 exp=A6459A67E19D02F1B9
613.50ns INFO [00000615] Port=0 RD @02
613.50ns INFO [00000615] Port=1 RD @02
614.50ns INFO [00000616] Port=0 RD @01
614.50ns INFO [00000616] Port=1 RD @06
615.50ns INFO [00000617] * RD COMPARE * port=0 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71
615.50ns INFO [00000617] * RD COMPARE * port=1 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71
615.50ns INFO [00000617] Port=0 WR @07=3FB51202773BBE0147
615.50ns INFO [00000617] Port=0 RD @06
616.50ns INFO [00000618] * RD COMPARE * port=0 adr=01 act=26C85978C25BFCBDCF exp=26C85978C25BFCBDCF
616.50ns INFO [00000618] * RD COMPARE * port=1 adr=06 act=748B14C90D2A93E83F exp=748B14C90D2A93E83F
617.50ns INFO [00000619] * RD COMPARE * port=0 adr=06 act=748B14C90D2A93E83F exp=748B14C90D2A93E83F
617.50ns INFO [00000619] Port=0 WR @01=E516FDCA7D5A19E7D0
617.50ns INFO [00000619] Port=0 RD @05
617.50ns INFO [00000619] Port=1 RD @00
619.50ns INFO [00000621] * RD COMPARE * port=0 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C
619.50ns INFO [00000621] * RD COMPARE * port=1 adr=00 act=A6459A67E19D02F1B9 exp=A6459A67E19D02F1B9
619.50ns INFO [00000621] Port=0 RD @04
619.50ns INFO [00000621] Port=1 RD @04
620.50ns INFO [00000622] Port=0 WR @00=2AE3B176762DE0E30C
620.50ns INFO [00000622] Port=0 RD @01
621.50ns INFO [00000623] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
621.50ns INFO [00000623] * RD COMPARE * port=1 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
622.50ns INFO [00000624] * RD COMPARE * port=0 adr=01 act=E516FDCA7D5A19E7D0 exp=E516FDCA7D5A19E7D0
624.50ns INFO [00000626] Port=0 WR @01=6E0F616B2635200C6F
626.50ns INFO [00000628] Port=1 RD @04
627.50ns INFO [00000629] Port=0 WR @01=D29106DD5257C10160
627.50ns INFO [00000629] Port=1 RD @05
628.50ns INFO [00000630] * RD COMPARE * port=1 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
628.50ns INFO [00000630] Port=0 RD @00
629.50ns INFO [00000631] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C
629.50ns INFO [00000631] Port=0 RD @04
630.50ns INFO [00000632] * RD COMPARE * port=0 adr=00 act=2AE3B176762DE0E30C exp=2AE3B176762DE0E30C
630.50ns INFO [00000632] Port=0 RD @00
630.50ns INFO [00000632] Port=1 RD @07
631.50ns INFO [00000633] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
631.50ns INFO [00000633] Port=0 WR @06=1403489622F48CFC69
631.50ns INFO [00000633] Port=1 RD @01
632.50ns INFO [00000634] * RD COMPARE * port=0 adr=00 act=2AE3B176762DE0E30C exp=2AE3B176762DE0E30C
632.50ns INFO [00000634] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147
632.50ns INFO [00000634] Port=0 RD @03
632.50ns INFO [00000634] Port=1 RD @05
633.50ns INFO [00000635] * RD COMPARE * port=1 adr=01 act=D29106DD5257C10160 exp=D29106DD5257C10160
633.50ns INFO [00000635] Port=0 RD @04
634.50ns INFO [00000636] * RD COMPARE * port=0 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
634.50ns INFO [00000636] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C
634.50ns INFO [00000636] Port=1 RD @05
635.50ns INFO [00000637] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
635.50ns INFO [00000637] Port=0 RD @04
636.50ns INFO [00000638] * RD COMPARE * port=1 adr=05 act=A1BC6854E44FBC853C exp=A1BC6854E44FBC853C
636.50ns INFO [00000638] Port=0 WR @04=000B78232D0BB62257
636.50ns INFO [00000638] Port=0 RD @02
637.50ns INFO [00000639] * RD COMPARE * port=0 adr=04 act=386D552CFFA3A07DE2 exp=386D552CFFA3A07DE2
637.50ns INFO [00000639] Port=0 WR @04=CFACB59C5EE5D661A9
638.50ns INFO [00000640] * RD COMPARE * port=0 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71
638.50ns INFO [00000640] Port=0 RD @06
639.50ns INFO [00000641] Port=0 WR @05=4E8B2CE0DACC18616A
640.50ns INFO [00000642] * RD COMPARE * port=0 adr=06 act=1403489622F48CFC69 exp=1403489622F48CFC69
641.50ns INFO [00000643] Port=0 WR @00=240E0D11A61B7600D9
641.50ns INFO [00000643] Port=0 RD @04
641.50ns INFO [00000643] Port=1 RD @03
643.50ns INFO [00000645] * RD COMPARE * port=0 adr=04 act=CFACB59C5EE5D661A9 exp=CFACB59C5EE5D661A9
643.50ns INFO [00000645] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
643.50ns INFO [00000645] Port=1 RD @01
645.50ns INFO [00000647] * RD COMPARE * port=1 adr=01 act=D29106DD5257C10160 exp=D29106DD5257C10160
646.50ns INFO [00000648] Port=0 RD @07
647.50ns INFO [00000649] Port=0 WR @05=10F2A0C52C88FD22EE
647.50ns INFO [00000649] Port=1 RD @07
648.50ns INFO [00000650] * RD COMPARE * port=0 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147
648.50ns INFO [00000650] Port=1 RD @05
649.50ns INFO [00000651] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147
650.50ns INFO [00000652] * RD COMPARE * port=1 adr=05 act=10F2A0C52C88FD22EE exp=10F2A0C52C88FD22EE
650.50ns INFO [00000652] Port=0 WR @01=19FA1D8C857FCA8796
652.50ns INFO [00000654] Port=1 RD @03
653.50ns INFO [00000655] Port=0 RD @04
654.50ns INFO [00000656] * RD COMPARE * port=1 adr=03 act=4E274DE2CCA4D1D8B1 exp=4E274DE2CCA4D1D8B1
654.50ns INFO [00000656] Port=0 WR @04=BFE09F00B8CA574800
654.50ns INFO [00000656] Port=1 RD @07
655.50ns INFO [00000657] * RD COMPARE * port=0 adr=04 act=CFACB59C5EE5D661A9 exp=CFACB59C5EE5D661A9
656.50ns INFO [00000658] * RD COMPARE * port=1 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147
656.50ns INFO [00000658] Port=0 WR @03=7581F20E76B876FB12
657.50ns INFO [00000659] Port=0 WR @05=18181AFD6A3F60860A
657.50ns INFO [00000659] Port=1 RD @01
658.50ns INFO [00000660] Port=0 RD @07
658.50ns INFO [00000660] Port=1 RD @02
659.50ns INFO [00000661] * RD COMPARE * port=1 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796
659.50ns INFO [00000661] Port=0 RD @03
660.50ns INFO [00000662] * RD COMPARE * port=0 adr=07 act=3FB51202773BBE0147 exp=3FB51202773BBE0147
660.50ns INFO [00000662] * RD COMPARE * port=1 adr=02 act=13D29E965DA7B20A71 exp=13D29E965DA7B20A71
660.50ns INFO [00000662] Port=0 WR @03=274BF6DBF381274D60
661.50ns INFO [00000663] * RD COMPARE * port=0 adr=03 act=7581F20E76B876FB12 exp=7581F20E76B876FB12
661.50ns INFO [00000663] Port=0 WR @06=B63C55FECDFC6AA3F6
661.50ns INFO [00000663] Port=0 RD @05
662.50ns INFO [00000664] Port=0 WR @02=E2B5F285BB4A943B13
663.50ns INFO [00000665] * RD COMPARE * port=0 adr=05 act=18181AFD6A3F60860A exp=18181AFD6A3F60860A
663.50ns INFO [00000665] Port=1 RD @03
664.50ns INFO [00000666] Port=0 WR @05=8DDD3F486FB766136A
665.50ns INFO [00000667] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60
666.50ns INFO [00000668] Port=0 WR @00=B5B98DFA62661E21EC
666.50ns INFO [00000668] Port=0 RD @06
667.50ns INFO [00000669] Port=0 WR @00=73760FB2EF571BC105
668.50ns INFO [00000670] * RD COMPARE * port=0 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6
668.50ns INFO [00000670] Port=0 WR @04=F376F4B4574FE3118B
668.50ns INFO [00000670] Port=0 RD @06
669.50ns INFO [00000671] Port=0 RD @01
670.50ns INFO [00000672] * RD COMPARE * port=0 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6
670.50ns INFO [00000672] Port=0 WR @05=43D6B83C85C074BF33
671.50ns INFO [00000673] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796
671.50ns INFO [00000673] Port=0 WR @05=908FC4269765A12C2C
672.50ns INFO [00000674] Port=0 RD @04
674.50ns INFO [00000676] * RD COMPARE * port=0 adr=04 act=F376F4B4574FE3118B exp=F376F4B4574FE3118B
674.50ns INFO [00000676] Port=0 WR @00=C2C62D45699D63621B
674.50ns INFO [00000676] Port=0 RD @03
674.50ns INFO [00000676] Port=1 RD @05
675.50ns INFO [00000677] Port=0 WR @04=2CB439681C902931E7
675.50ns INFO [00000677] Port=1 RD @01
676.50ns INFO [00000678] * RD COMPARE * port=0 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60
676.50ns INFO [00000678] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
676.50ns INFO [00000678] Port=0 WR @02=BCA438942A17774882
676.50ns INFO [00000678] Port=0 RD @03
676.50ns INFO [00000678] Port=1 RD @06
677.50ns INFO [00000679] * RD COMPARE * port=1 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796
677.50ns INFO [00000679] Port=0 WR @07=7E2B890087D83AF35C
678.50ns INFO [00000680] * RD COMPARE * port=0 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60
678.50ns INFO [00000680] * RD COMPARE * port=1 adr=06 act=B63C55FECDFC6AA3F6 exp=B63C55FECDFC6AA3F6
678.50ns INFO [00000680] Port=0 WR @06=50C6F09C268FFFF8EB
678.50ns INFO [00000680] Port=0 RD @01
678.50ns INFO [00000680] Port=1 RD @03
679.50ns INFO [00000681] Port=0 RD @04
679.50ns INFO [00000681] Port=1 RD @05
680.50ns INFO [00000682] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796
680.50ns INFO [00000682] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60
680.50ns INFO [00000682] Port=0 RD @02
680.50ns INFO [00000682] Port=1 RD @00
681.50ns INFO [00000683] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7
681.50ns INFO [00000683] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
682.50ns INFO [00000684] * RD COMPARE * port=0 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882
682.50ns INFO [00000684] * RD COMPARE * port=1 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B
682.50ns INFO [00000684] Port=1 RD @06
683.50ns INFO [00000685] Port=0 RD @01
683.50ns INFO [00000685] Port=1 RD @05
684.50ns INFO [00000686] * RD COMPARE * port=1 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB
684.50ns INFO [00000686] Port=1 RD @02
685.50ns INFO [00000687] * RD COMPARE * port=0 adr=01 act=19FA1D8C857FCA8796 exp=19FA1D8C857FCA8796
685.50ns INFO [00000687] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
685.50ns INFO [00000687] Port=0 RD @04
686.50ns INFO [00000688] * RD COMPARE * port=1 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882
687.50ns INFO [00000689] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7
687.50ns INFO [00000689] Port=0 RD @02
689.50ns INFO [00000691] * RD COMPARE * port=0 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882
690.50ns INFO [00000692] Port=1 RD @05
691.50ns INFO [00000693] Port=0 WR @07=09680784416CC73FE0
692.50ns INFO [00000694] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
693.50ns INFO [00000695] Port=0 WR @01=EAA60C48F21CDB19A8
693.50ns INFO [00000695] Port=1 RD @05
694.50ns INFO [00000696] Port=1 RD @03
695.50ns INFO [00000697] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
696.50ns INFO [00000698] * RD COMPARE * port=1 adr=03 act=274BF6DBF381274D60 exp=274BF6DBF381274D60
696.50ns INFO [00000698] Port=0 RD @06
697.50ns INFO [00000699] Port=0 RD @04
698.00ns INFO [00000700] [00000700] ...tick...
698.50ns INFO [00000700] * RD COMPARE * port=0 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB
698.50ns INFO [00000700] Port=1 RD @04
699.50ns INFO [00000701] * RD COMPARE * port=0 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7
699.50ns INFO [00000701] Port=1 RD @01
700.50ns INFO [00000702] * RD COMPARE * port=1 adr=04 act=2CB439681C902931E7 exp=2CB439681C902931E7
700.50ns INFO [00000702] Port=1 RD @05
701.50ns INFO [00000703] * RD COMPARE * port=1 adr=01 act=EAA60C48F21CDB19A8 exp=EAA60C48F21CDB19A8
701.50ns INFO [00000703] Port=0 WR @01=1716541502CB7F938B
701.50ns INFO [00000703] Port=0 RD @06
701.50ns INFO [00000703] Port=1 RD @02
702.50ns INFO [00000704] * RD COMPARE * port=1 adr=05 act=908FC4269765A12C2C exp=908FC4269765A12C2C
702.50ns INFO [00000704] Port=0 WR @02=6E4E8F12FECAC381AD
702.50ns INFO [00000704] Port=1 RD @06
703.50ns INFO [00000705] * RD COMPARE * port=0 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB
703.50ns INFO [00000705] * RD COMPARE * port=1 adr=02 act=BCA438942A17774882 exp=BCA438942A17774882
703.50ns INFO [00000705] Port=0 WR @01=C1C745FFD8818B8655
704.50ns INFO [00000706] * RD COMPARE * port=1 adr=06 act=50C6F09C268FFFF8EB exp=50C6F09C268FFFF8EB
704.50ns INFO [00000706] Port=0 WR @03=1950FB58DB3B154B6D
704.50ns INFO [00000706] Port=0 RD @00
705.50ns INFO [00000707] Port=0 WR @07=5287BD416FC038EE90
705.50ns INFO [00000707] Port=0 RD @01
706.50ns INFO [00000708] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B
706.50ns INFO [00000708] Port=0 RD @01
706.50ns INFO [00000708] Port=1 RD @03
707.50ns INFO [00000709] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655
707.50ns INFO [00000709] Port=0 WR @05=3852C95DCBDD25F6E5
707.50ns INFO [00000709] Port=0 RD @00
707.50ns INFO [00000709] Port=1 RD @07
708.50ns INFO [00000710] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655
708.50ns INFO [00000710] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D
708.50ns INFO [00000710] Port=0 RD @07
708.50ns INFO [00000710] Port=1 RD @05
709.50ns INFO [00000711] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B
709.50ns INFO [00000711] * RD COMPARE * port=1 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90
709.50ns INFO [00000711] Port=0 RD @01
710.50ns INFO [00000712] * RD COMPARE * port=0 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90
710.50ns INFO [00000712] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5
710.50ns INFO [00000712] Port=0 WR @04=E565170B012BBA52CE
710.50ns INFO [00000712] Port=0 RD @00
711.50ns INFO [00000713] * RD COMPARE * port=0 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655
712.50ns INFO [00000714] * RD COMPARE * port=0 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B
713.50ns INFO [00000715] Port=1 RD @05
714.50ns INFO [00000716] Port=0 WR @06=04793BA9BAA6E4CEAD
714.50ns INFO [00000716] Port=0 RD @07
714.50ns INFO [00000716] Port=1 RD @01
715.50ns INFO [00000717] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5
716.50ns INFO [00000718] * RD COMPARE * port=0 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90
716.50ns INFO [00000718] * RD COMPARE * port=1 adr=01 act=C1C745FFD8818B8655 exp=C1C745FFD8818B8655
716.50ns INFO [00000718] Port=1 RD @06
717.50ns INFO [00000719] Port=0 WR @01=34ED7BC71AA997CE18
717.50ns INFO [00000719] Port=1 RD @05
718.50ns INFO [00000720] * RD COMPARE * port=1 adr=06 act=04793BA9BAA6E4CEAD exp=04793BA9BAA6E4CEAD
718.50ns INFO [00000720] Port=0 WR @02=0736BC3F15028C14B3
719.50ns INFO [00000721] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5
722.50ns INFO [00000724] Port=0 RD @02
723.50ns INFO [00000725] Port=0 RD @02
724.50ns INFO [00000726] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
724.50ns INFO [00000726] Port=0 RD @04
725.50ns INFO [00000727] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
725.50ns INFO [00000727] Port=0 WR @01=48A013D86523EE0FE2
725.50ns INFO [00000727] Port=1 RD @04
726.50ns INFO [00000728] * RD COMPARE * port=0 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE
726.50ns INFO [00000728] Port=0 RD @01
726.50ns INFO [00000728] Port=1 RD @00
727.50ns INFO [00000729] * RD COMPARE * port=1 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE
727.50ns INFO [00000729] Port=0 WR @01=7E1489AA3CFD1B0EC2
727.50ns INFO [00000729] Port=1 RD @07
728.50ns INFO [00000730] * RD COMPARE * port=0 adr=01 act=48A013D86523EE0FE2 exp=48A013D86523EE0FE2
728.50ns INFO [00000730] * RD COMPARE * port=1 adr=00 act=C2C62D45699D63621B exp=C2C62D45699D63621B
728.50ns INFO [00000730] Port=0 WR @06=F7C1CEEC3FF133A1B0
728.50ns INFO [00000730] Port=1 RD @02
729.50ns INFO [00000731] * RD COMPARE * port=1 adr=07 act=5287BD416FC038EE90 exp=5287BD416FC038EE90
729.50ns INFO [00000731] Port=0 WR @07=618B06749FDC7A4669
729.50ns INFO [00000731] Port=1 RD @03
730.50ns INFO [00000732] * RD COMPARE * port=1 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
730.50ns INFO [00000732] Port=0 WR @01=F1B7234CCF2212362C
731.50ns INFO [00000733] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D
731.50ns INFO [00000733] Port=0 RD @04
731.50ns INFO [00000733] Port=1 RD @05
732.50ns INFO [00000734] Port=0 RD @02
733.50ns INFO [00000735] * RD COMPARE * port=0 adr=04 act=E565170B012BBA52CE exp=E565170B012BBA52CE
733.50ns INFO [00000735] * RD COMPARE * port=1 adr=05 act=3852C95DCBDD25F6E5 exp=3852C95DCBDD25F6E5
733.50ns INFO [00000735] Port=0 WR @04=B59B52541E59C8097E
733.50ns INFO [00000735] Port=1 RD @03
734.50ns INFO [00000736] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
734.50ns INFO [00000736] Port=1 RD @04
735.50ns INFO [00000737] * RD COMPARE * port=1 adr=03 act=1950FB58DB3B154B6D exp=1950FB58DB3B154B6D
735.50ns INFO [00000737] Port=1 RD @04
736.50ns INFO [00000738] * RD COMPARE * port=1 adr=04 act=B59B52541E59C8097E exp=B59B52541E59C8097E
736.50ns INFO [00000738] Port=0 RD @02
737.50ns INFO [00000739] * RD COMPARE * port=1 adr=04 act=B59B52541E59C8097E exp=B59B52541E59C8097E
738.50ns INFO [00000740] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
738.50ns INFO [00000740] Port=0 RD @02
738.50ns INFO [00000740] Port=1 RD @01
740.50ns INFO [00000742] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
740.50ns INFO [00000742] * RD COMPARE * port=1 adr=01 act=F1B7234CCF2212362C exp=F1B7234CCF2212362C
740.50ns INFO [00000742] Port=0 WR @07=A670BDFF55E41167EB
740.50ns INFO [00000742] Port=1 RD @06
742.50ns INFO [00000744] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0
742.50ns INFO [00000744] Port=0 WR @03=6E68AD163CFC71FA99
743.50ns INFO [00000745] Port=0 WR @04=66F7B9D9973505C62C
744.50ns INFO [00000746] Port=0 RD @06
745.50ns INFO [00000747] Port=0 WR @07=D066A26106A9D2D25C
746.50ns INFO [00000748] * RD COMPARE * port=0 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0
746.50ns INFO [00000748] Port=0 WR @05=8BB00CE400B740C9A5
746.50ns INFO [00000748] Port=0 RD @02
747.50ns INFO [00000749] Port=0 WR @01=B4784FB9C7BFC9F209
748.50ns INFO [00000750] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
748.50ns INFO [00000750] Port=0 WR @00=64FC8174325D57BE92
748.50ns INFO [00000750] Port=0 RD @04
748.50ns INFO [00000750] Port=1 RD @06
749.50ns INFO [00000751] Port=0 RD @04
749.50ns INFO [00000751] Port=1 RD @06
750.50ns INFO [00000752] * RD COMPARE * port=0 adr=04 act=66F7B9D9973505C62C exp=66F7B9D9973505C62C
750.50ns INFO [00000752] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0
750.50ns INFO [00000752] Port=0 WR @04=F60842258E67441CDD
750.50ns INFO [00000752] Port=0 RD @05
751.50ns INFO [00000753] * RD COMPARE * port=0 adr=04 act=66F7B9D9973505C62C exp=66F7B9D9973505C62C
751.50ns INFO [00000753] * RD COMPARE * port=1 adr=06 act=F7C1CEEC3FF133A1B0 exp=F7C1CEEC3FF133A1B0
751.50ns INFO [00000753] Port=0 RD @01
752.50ns INFO [00000754] * RD COMPARE * port=0 adr=05 act=8BB00CE400B740C9A5 exp=8BB00CE400B740C9A5
752.50ns INFO [00000754] Port=0 WR @06=8AD2EF2FD0A948A6DA
752.50ns INFO [00000754] Port=1 RD @03
753.50ns INFO [00000755] * RD COMPARE * port=0 adr=01 act=B4784FB9C7BFC9F209 exp=B4784FB9C7BFC9F209
753.50ns INFO [00000755] Port=0 WR @03=CAE544B5CC1C13E970
753.50ns INFO [00000755] Port=0 RD @01
754.50ns INFO [00000756] * RD COMPARE * port=1 adr=03 act=6E68AD163CFC71FA99 exp=6E68AD163CFC71FA99
754.50ns INFO [00000756] Port=0 WR @07=97422DD409C6C7713A
755.50ns INFO [00000757] * RD COMPARE * port=0 adr=01 act=B4784FB9C7BFC9F209 exp=B4784FB9C7BFC9F209
755.50ns INFO [00000757] Port=0 RD @02
756.50ns INFO [00000758] Port=0 WR @02=38F0B42401B701F51C
757.50ns INFO [00000759] * RD COMPARE * port=0 adr=02 act=0736BC3F15028C14B3 exp=0736BC3F15028C14B3
757.50ns INFO [00000759] Port=0 WR @01=1A4071E7FECAD1DDFA
758.50ns INFO [00000760] Port=0 WR @05=8860B0995B995DDCD7
759.50ns INFO [00000761] Port=0 WR @01=DFBD7324E37F9C5745
761.50ns INFO [00000763] Port=1 RD @06
763.50ns INFO [00000765] * RD COMPARE * port=1 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA
763.50ns INFO [00000765] Port=0 RD @06
765.50ns INFO [00000767] * RD COMPARE * port=0 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA
766.50ns INFO [00000768] Port=0 WR @04=7A9EC31F8B36AE5046
766.50ns INFO [00000768] Port=0 RD @07
766.50ns INFO [00000768] Port=1 RD @00
767.50ns INFO [00000769] Port=0 WR @01=91DB3D3CF79126C971
767.50ns INFO [00000769] Port=0 RD @07
768.50ns INFO [00000770] * RD COMPARE * port=0 adr=07 act=97422DD409C6C7713A exp=97422DD409C6C7713A
768.50ns INFO [00000770] * RD COMPARE * port=1 adr=00 act=64FC8174325D57BE92 exp=64FC8174325D57BE92
768.50ns INFO [00000770] Port=0 WR @07=735F884EDBD9AAACE8
768.50ns INFO [00000770] Port=0 RD @02
769.50ns INFO [00000771] * RD COMPARE * port=0 adr=07 act=97422DD409C6C7713A exp=97422DD409C6C7713A
769.50ns INFO [00000771] Port=0 RD @05
769.50ns INFO [00000771] Port=1 RD @06
770.50ns INFO [00000772] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
770.50ns INFO [00000772] Port=0 RD @00
771.50ns INFO [00000773] * RD COMPARE * port=0 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7
771.50ns INFO [00000773] * RD COMPARE * port=1 adr=06 act=8AD2EF2FD0A948A6DA exp=8AD2EF2FD0A948A6DA
771.50ns INFO [00000773] Port=0 WR @03=62B2D207A81D4E7AC6
772.50ns INFO [00000774] * RD COMPARE * port=0 adr=00 act=64FC8174325D57BE92 exp=64FC8174325D57BE92
772.50ns INFO [00000774] Port=0 WR @00=DDB83F7C6D32501DB1
772.50ns INFO [00000774] Port=0 RD @03
773.50ns INFO [00000775] Port=1 RD @04
774.50ns INFO [00000776] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6
774.50ns INFO [00000776] Port=0 WR @00=A49B71AA528B4EE06B
774.50ns INFO [00000776] Port=1 RD @01
775.50ns INFO [00000777] * RD COMPARE * port=1 adr=04 act=7A9EC31F8B36AE5046 exp=7A9EC31F8B36AE5046
775.50ns INFO [00000777] Port=0 WR @04=588FD2EA8CAE93AD75
775.50ns INFO [00000777] Port=0 RD @02
776.50ns INFO [00000778] * RD COMPARE * port=1 adr=01 act=91DB3D3CF79126C971 exp=91DB3D3CF79126C971
776.50ns INFO [00000778] Port=0 RD @02
777.50ns INFO [00000779] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
777.50ns INFO [00000779] Port=0 WR @06=400B1480CFBDA4E324
777.50ns INFO [00000779] Port=1 RD @05
778.50ns INFO [00000780] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
779.50ns INFO [00000781] * RD COMPARE * port=1 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7
779.50ns INFO [00000781] Port=0 RD @03
779.50ns INFO [00000781] Port=1 RD @02
780.50ns INFO [00000782] Port=0 RD @06
781.50ns INFO [00000783] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6
781.50ns INFO [00000783] * RD COMPARE * port=1 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
781.50ns INFO [00000783] Port=0 RD @00
782.50ns INFO [00000784] * RD COMPARE * port=0 adr=06 act=400B1480CFBDA4E324 exp=400B1480CFBDA4E324
782.50ns INFO [00000784] Port=1 RD @00
783.50ns INFO [00000785] * RD COMPARE * port=0 adr=00 act=A49B71AA528B4EE06B exp=A49B71AA528B4EE06B
783.50ns INFO [00000785] Port=1 RD @04
784.50ns INFO [00000786] * RD COMPARE * port=1 adr=00 act=A49B71AA528B4EE06B exp=A49B71AA528B4EE06B
784.50ns INFO [00000786] Port=0 WR @00=55FB6F8A0CA1E30AEA
785.50ns INFO [00000787] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
785.50ns INFO [00000787] Port=0 WR @07=802A1270C1409A1489
785.50ns INFO [00000787] Port=0 RD @05
786.50ns INFO [00000788] Port=0 WR @00=5C332A46BC4788A420
787.50ns INFO [00000789] * RD COMPARE * port=0 adr=05 act=8860B0995B995DDCD7 exp=8860B0995B995DDCD7
787.50ns INFO [00000789] Port=0 WR @00=4CCF654246B6A60DA3
787.50ns INFO [00000789] Port=0 RD @02
787.50ns INFO [00000789] Port=1 RD @02
788.50ns INFO [00000790] Port=0 WR @05=D4B07DE0D24C4A042C
788.50ns INFO [00000790] Port=1 RD @04
789.50ns INFO [00000791] * RD COMPARE * port=0 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
789.50ns INFO [00000791] * RD COMPARE * port=1 adr=02 act=38F0B42401B701F51C exp=38F0B42401B701F51C
790.50ns INFO [00000792] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
790.50ns INFO [00000792] Port=0 WR @07=5B83D9A53D8F00C10D
790.50ns INFO [00000792] Port=1 RD @05
792.50ns INFO [00000794] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
793.50ns INFO [00000795] Port=0 RD @05
794.50ns INFO [00000796] Port=1 RD @03
795.50ns INFO [00000797] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
795.50ns INFO [00000797] Port=0 WR @07=B63B9FB3452E3C72C2
795.50ns INFO [00000797] Port=0 RD @03
796.50ns INFO [00000798] * RD COMPARE * port=1 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6
796.50ns INFO [00000798] Port=0 WR @01=441114B62B397BE9C8
797.50ns INFO [00000799] * RD COMPARE * port=0 adr=03 act=62B2D207A81D4E7AC6 exp=62B2D207A81D4E7AC6
798.00ns INFO [00000800] [00000800] ...tick...
798.50ns INFO [00000800] Port=0 WR @07=E88BCE00D75FCD7BD3
799.50ns INFO [00000801] Port=0 WR @01=B78F7A4BE4C2EA6DD9
799.50ns INFO [00000801] Port=1 RD @04
800.50ns INFO [00000802] Port=0 WR @00=75E89C3473D2A491AC
800.50ns INFO [00000802] Port=0 RD @01
800.50ns INFO [00000802] Port=1 RD @01
801.50ns INFO [00000803] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
801.50ns INFO [00000803] Port=0 WR @07=6FB7416AF3DA863F1D
801.50ns INFO [00000803] Port=0 RD @05
801.50ns INFO [00000803] Port=1 RD @00
802.50ns INFO [00000804] * RD COMPARE * port=0 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9
802.50ns INFO [00000804] * RD COMPARE * port=1 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9
802.50ns INFO [00000804] Port=0 WR @03=5A838D8920557D94F8
802.50ns INFO [00000804] Port=0 RD @01
803.50ns INFO [00000805] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
803.50ns INFO [00000805] * RD COMPARE * port=1 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC
803.50ns INFO [00000805] Port=0 WR @07=1A42FD6857D7C48721
803.50ns INFO [00000805] Port=0 RD @04
804.50ns INFO [00000806] * RD COMPARE * port=0 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9
804.50ns INFO [00000806] Port=0 WR @03=6D68D17FF01BA91628
804.50ns INFO [00000806] Port=0 RD @04
804.50ns INFO [00000806] Port=1 RD @04
805.50ns INFO [00000807] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
805.50ns INFO [00000807] Port=0 WR @02=DE171B3CAD44B3D6A3
806.50ns INFO [00000808] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
806.50ns INFO [00000808] * RD COMPARE * port=1 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
806.50ns INFO [00000808] Port=0 WR @03=4599FCC144FAD4686E
806.50ns INFO [00000808] Port=1 RD @07
807.50ns INFO [00000809] Port=0 WR @07=8270F02C0657267EFA
807.50ns INFO [00000809] Port=1 RD @02
808.50ns INFO [00000810] * RD COMPARE * port=1 adr=07 act=1A42FD6857D7C48721 exp=1A42FD6857D7C48721
808.50ns INFO [00000810] Port=0 RD @00
809.50ns INFO [00000811] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3
809.50ns INFO [00000811] Port=0 RD @04
809.50ns INFO [00000811] Port=1 RD @01
810.50ns INFO [00000812] * RD COMPARE * port=0 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC
810.50ns INFO [00000812] Port=0 WR @04=C924FF9BD96FBD89C9
810.50ns INFO [00000812] Port=0 RD @00
810.50ns INFO [00000812] Port=1 RD @05
811.50ns INFO [00000813] * RD COMPARE * port=0 adr=04 act=588FD2EA8CAE93AD75 exp=588FD2EA8CAE93AD75
811.50ns INFO [00000813] * RD COMPARE * port=1 adr=01 act=B78F7A4BE4C2EA6DD9 exp=B78F7A4BE4C2EA6DD9
812.50ns INFO [00000814] * RD COMPARE * port=0 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC
812.50ns INFO [00000814] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
812.50ns INFO [00000814] Port=0 RD @06
814.50ns INFO [00000816] * RD COMPARE * port=0 adr=06 act=400B1480CFBDA4E324 exp=400B1480CFBDA4E324
814.50ns INFO [00000816] Port=0 RD @03
814.50ns INFO [00000816] Port=1 RD @04
815.50ns INFO [00000817] Port=0 RD @03
816.50ns INFO [00000818] * RD COMPARE * port=0 adr=03 act=4599FCC144FAD4686E exp=4599FCC144FAD4686E
816.50ns INFO [00000818] * RD COMPARE * port=1 adr=04 act=C924FF9BD96FBD89C9 exp=C924FF9BD96FBD89C9
816.50ns INFO [00000818] Port=0 RD @07
817.50ns INFO [00000819] * RD COMPARE * port=0 adr=03 act=4599FCC144FAD4686E exp=4599FCC144FAD4686E
818.50ns INFO [00000820] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA
818.50ns INFO [00000820] Port=0 WR @01=7BBF0824604B43B301
818.50ns INFO [00000820] Port=1 RD @00
819.50ns INFO [00000821] Port=0 WR @00=78B20C29975D9634F5
820.50ns INFO [00000822] * RD COMPARE * port=1 adr=00 act=75E89C3473D2A491AC exp=75E89C3473D2A491AC
820.50ns INFO [00000822] Port=0 WR @03=400FB81BCF16FFA4C3
820.50ns INFO [00000822] Port=1 RD @02
822.50ns INFO [00000824] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3
822.50ns INFO [00000824] Port=0 WR @06=6320C5C7EFADE2C288
822.50ns INFO [00000824] Port=0 RD @05
823.50ns INFO [00000825] Port=0 WR @00=E00EF68970FD1A0F5F
823.50ns INFO [00000825] Port=1 RD @01
824.50ns INFO [00000826] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
824.50ns INFO [00000826] Port=0 WR @01=95D76ACB9D7FE62393
824.50ns INFO [00000826] Port=1 RD @04
825.50ns INFO [00000827] * RD COMPARE * port=1 adr=01 act=7BBF0824604B43B301 exp=7BBF0824604B43B301
825.50ns INFO [00000827] Port=0 WR @04=F59790BCAF13F34073
826.50ns INFO [00000828] * RD COMPARE * port=1 adr=04 act=C924FF9BD96FBD89C9 exp=C924FF9BD96FBD89C9
826.50ns INFO [00000828] Port=0 WR @00=0C5D3C112B00E3E19F
826.50ns INFO [00000828] Port=0 RD @06
827.50ns INFO [00000829] Port=0 RD @00
828.50ns INFO [00000830] * RD COMPARE * port=0 adr=06 act=6320C5C7EFADE2C288 exp=6320C5C7EFADE2C288
828.50ns INFO [00000830] Port=0 RD @01
829.50ns INFO [00000831] * RD COMPARE * port=0 adr=00 act=0C5D3C112B00E3E19F exp=0C5D3C112B00E3E19F
829.50ns INFO [00000831] Port=0 RD @02
829.50ns INFO [00000831] Port=1 RD @05
830.50ns INFO [00000832] * RD COMPARE * port=0 adr=01 act=95D76ACB9D7FE62393 exp=95D76ACB9D7FE62393
831.50ns INFO [00000833] * RD COMPARE * port=0 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3
831.50ns INFO [00000833] * RD COMPARE * port=1 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
831.50ns INFO [00000833] Port=0 WR @00=8981D05B744C476848
831.50ns INFO [00000833] Port=0 RD @07
832.50ns INFO [00000834] Port=0 RD @04
832.50ns INFO [00000834] Port=1 RD @03
833.50ns INFO [00000835] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA
834.50ns INFO [00000836] * RD COMPARE * port=0 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073
834.50ns INFO [00000836] * RD COMPARE * port=1 adr=03 act=400FB81BCF16FFA4C3 exp=400FB81BCF16FFA4C3
834.50ns INFO [00000836] Port=0 WR @01=A93EB0FEC49EFBBB03
834.50ns INFO [00000836] Port=1 RD @04
835.50ns INFO [00000837] Port=1 RD @01
836.50ns INFO [00000838] * RD COMPARE * port=1 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073
836.50ns INFO [00000838] Port=0 RD @05
836.50ns INFO [00000838] Port=1 RD @04
837.50ns INFO [00000839] * RD COMPARE * port=1 adr=01 act=A93EB0FEC49EFBBB03 exp=A93EB0FEC49EFBBB03
837.50ns INFO [00000839] Port=0 WR @00=BEB3B0076190D0FB08
838.50ns INFO [00000840] * RD COMPARE * port=0 adr=05 act=D4B07DE0D24C4A042C exp=D4B07DE0D24C4A042C
838.50ns INFO [00000840] * RD COMPARE * port=1 adr=04 act=F59790BCAF13F34073 exp=F59790BCAF13F34073
838.50ns INFO [00000840] Port=0 WR @05=1344A7CAAAF47F3CAD
839.50ns INFO [00000841] Port=0 WR @04=7B2807048A59210553
839.50ns INFO [00000841] Port=1 RD @05
841.50ns INFO [00000843] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
841.50ns INFO [00000843] Port=1 RD @07
842.50ns INFO [00000844] Port=0 WR @01=0D480750644EFAA6CC
843.50ns INFO [00000845] * RD COMPARE * port=1 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA
843.50ns INFO [00000845] Port=0 RD @05
843.50ns INFO [00000845] Port=1 RD @05
844.50ns INFO [00000846] Port=1 RD @02
845.50ns INFO [00000847] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
845.50ns INFO [00000847] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
845.50ns INFO [00000847] Port=0 RD @05
845.50ns INFO [00000847] Port=1 RD @07
846.50ns INFO [00000848] * RD COMPARE * port=1 adr=02 act=DE171B3CAD44B3D6A3 exp=DE171B3CAD44B3D6A3
846.50ns INFO [00000848] Port=0 WR @01=E009ED28E5BCA4CFF8
846.50ns INFO [00000848] Port=0 RD @06
847.50ns INFO [00000849] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
847.50ns INFO [00000849] * RD COMPARE * port=1 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA
847.50ns INFO [00000849] Port=0 WR @03=EBA47903EFA3DF52C2
848.50ns INFO [00000850] * RD COMPARE * port=0 adr=06 act=6320C5C7EFADE2C288 exp=6320C5C7EFADE2C288
848.50ns INFO [00000850] Port=0 WR @02=F7B145A17AECB9BB4D
848.50ns INFO [00000850] Port=0 RD @07
849.50ns INFO [00000851] Port=0 RD @04
850.50ns INFO [00000852] * RD COMPARE * port=0 adr=07 act=8270F02C0657267EFA exp=8270F02C0657267EFA
851.50ns INFO [00000853] * RD COMPARE * port=0 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553
851.50ns INFO [00000853] Port=0 WR @01=200E031B5D3192B4FD
853.50ns INFO [00000855] Port=0 WR @06=770A8E4FFE0D9CAE8D
853.50ns INFO [00000855] Port=1 RD @00
855.50ns INFO [00000857] * RD COMPARE * port=1 adr=00 act=BEB3B0076190D0FB08 exp=BEB3B0076190D0FB08
855.50ns INFO [00000857] Port=0 WR @07=3F7816F579BAF52AE8
855.50ns INFO [00000857] Port=0 RD @06
856.50ns INFO [00000858] Port=0 RD @04
857.50ns INFO [00000859] * RD COMPARE * port=0 adr=06 act=770A8E4FFE0D9CAE8D exp=770A8E4FFE0D9CAE8D
857.50ns INFO [00000859] Port=0 RD @03
858.50ns INFO [00000860] * RD COMPARE * port=0 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553
858.50ns INFO [00000860] Port=0 WR @00=A6651116AB6FE9033D
859.50ns INFO [00000861] * RD COMPARE * port=0 adr=03 act=EBA47903EFA3DF52C2 exp=EBA47903EFA3DF52C2
859.50ns INFO [00000861] Port=1 RD @04
860.50ns INFO [00000862] Port=0 RD @02
861.50ns INFO [00000863] * RD COMPARE * port=1 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553
861.50ns INFO [00000863] Port=1 RD @07
862.50ns INFO [00000864] * RD COMPARE * port=0 adr=02 act=F7B145A17AECB9BB4D exp=F7B145A17AECB9BB4D
863.50ns INFO [00000865] * RD COMPARE * port=1 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8
863.50ns INFO [00000865] Port=0 RD @06
865.50ns INFO [00000867] * RD COMPARE * port=0 adr=06 act=770A8E4FFE0D9CAE8D exp=770A8E4FFE0D9CAE8D
866.50ns INFO [00000868] Port=0 WR @06=B0E01BC1FE89CAD6EF
868.50ns INFO [00000870] Port=1 RD @04
869.50ns INFO [00000871] Port=0 WR @00=DC71AD4877F06A53C3
870.50ns INFO [00000872] * RD COMPARE * port=1 adr=04 act=7B2807048A59210553 exp=7B2807048A59210553
870.50ns INFO [00000872] Port=0 RD @07
870.50ns INFO [00000872] Port=1 RD @07
872.50ns INFO [00000874] * RD COMPARE * port=0 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8
872.50ns INFO [00000874] * RD COMPARE * port=1 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8
872.50ns INFO [00000874] Port=0 RD @07
873.50ns INFO [00000875] Port=1 RD @06
874.50ns INFO [00000876] * RD COMPARE * port=0 adr=07 act=3F7816F579BAF52AE8 exp=3F7816F579BAF52AE8
874.50ns INFO [00000876] Port=0 WR @04=331742F2C0E8DE95C5
875.50ns INFO [00000877] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
875.50ns INFO [00000877] Port=0 RD @06
876.50ns INFO [00000878] Port=0 WR @07=7730B30B2682E6E606
876.50ns INFO [00000878] Port=1 RD @00
877.50ns INFO [00000879] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
877.50ns INFO [00000879] Port=0 RD @05
878.50ns INFO [00000880] * RD COMPARE * port=1 adr=00 act=DC71AD4877F06A53C3 exp=DC71AD4877F06A53C3
878.50ns INFO [00000880] Port=0 RD @01
879.50ns INFO [00000881] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
879.50ns INFO [00000881] Port=0 RD @01
879.50ns INFO [00000881] Port=1 RD @03
880.50ns INFO [00000882] * RD COMPARE * port=0 adr=01 act=200E031B5D3192B4FD exp=200E031B5D3192B4FD
880.50ns INFO [00000882] Port=0 WR @00=E10779972069ABCC03
880.50ns INFO [00000882] Port=0 RD @06
880.50ns INFO [00000882] Port=1 RD @06
881.50ns INFO [00000883] * RD COMPARE * port=0 adr=01 act=200E031B5D3192B4FD exp=200E031B5D3192B4FD
881.50ns INFO [00000883] * RD COMPARE * port=1 adr=03 act=EBA47903EFA3DF52C2 exp=EBA47903EFA3DF52C2
882.50ns INFO [00000884] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
882.50ns INFO [00000884] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
882.50ns INFO [00000884] Port=0 WR @01=A9F82D1BA59B83E065
883.50ns INFO [00000885] Port=0 WR @07=E703E38EBA61B17678
884.50ns INFO [00000886] Port=1 RD @06
885.50ns INFO [00000887] Port=0 RD @05
886.50ns INFO [00000888] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
886.50ns INFO [00000888] Port=0 RD @00
886.50ns INFO [00000888] Port=1 RD @05
887.50ns INFO [00000889] * RD COMPARE * port=0 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
887.50ns INFO [00000889] Port=0 RD @02
887.50ns INFO [00000889] Port=1 RD @04
888.50ns INFO [00000890] * RD COMPARE * port=0 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03
888.50ns INFO [00000890] * RD COMPARE * port=1 adr=05 act=1344A7CAAAF47F3CAD exp=1344A7CAAAF47F3CAD
889.50ns INFO [00000891] * RD COMPARE * port=0 adr=02 act=F7B145A17AECB9BB4D exp=F7B145A17AECB9BB4D
889.50ns INFO [00000891] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
889.50ns INFO [00000891] Port=1 RD @01
890.50ns INFO [00000892] Port=1 RD @00
891.50ns INFO [00000893] * RD COMPARE * port=1 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065
891.50ns INFO [00000893] Port=0 WR @03=F6E6C1012E6348858C
891.50ns INFO [00000893] Port=1 RD @04
892.50ns INFO [00000894] * RD COMPARE * port=1 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03
893.50ns INFO [00000895] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
893.50ns INFO [00000895] Port=0 RD @03
895.50ns INFO [00000897] * RD COMPARE * port=0 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C
895.50ns INFO [00000897] Port=0 RD @01
897.50ns INFO [00000899] * RD COMPARE * port=0 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065
898.00ns INFO [00000900] [00000900] ...tick...
899.50ns INFO [00000901] Port=0 WR @02=CEF05C3B45CEA9EE93
899.50ns INFO [00000901] Port=1 RD @04
900.50ns INFO [00000902] Port=0 RD @02
900.50ns INFO [00000902] Port=1 RD @01
901.50ns INFO [00000903] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
901.50ns INFO [00000903] Port=1 RD @00
902.50ns INFO [00000904] * RD COMPARE * port=0 adr=02 act=CEF05C3B45CEA9EE93 exp=CEF05C3B45CEA9EE93
902.50ns INFO [00000904] * RD COMPARE * port=1 adr=01 act=A9F82D1BA59B83E065 exp=A9F82D1BA59B83E065
902.50ns INFO [00000904] Port=0 WR @02=E83EF2930C7431F363
902.50ns INFO [00000904] Port=1 RD @06
903.50ns INFO [00000905] * RD COMPARE * port=1 adr=00 act=E10779972069ABCC03 exp=E10779972069ABCC03
903.50ns INFO [00000905] Port=0 WR @01=D740693C79CA221CCD
903.50ns INFO [00000905] Port=0 RD @06
903.50ns INFO [00000905] Port=1 RD @03
904.50ns INFO [00000906] * RD COMPARE * port=1 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
904.50ns INFO [00000906] Port=0 WR @06=0AB97432F569126F41
905.50ns INFO [00000907] * RD COMPARE * port=0 adr=06 act=B0E01BC1FE89CAD6EF exp=B0E01BC1FE89CAD6EF
905.50ns INFO [00000907] * RD COMPARE * port=1 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C
905.50ns INFO [00000907] Port=0 WR @00=8ACEE3661623860DDD
905.50ns INFO [00000907] Port=0 RD @03
906.50ns INFO [00000908] Port=1 RD @07
907.50ns INFO [00000909] * RD COMPARE * port=0 adr=03 act=F6E6C1012E6348858C exp=F6E6C1012E6348858C
907.50ns INFO [00000909] Port=0 RD @02
908.50ns INFO [00000910] * RD COMPARE * port=1 adr=07 act=E703E38EBA61B17678 exp=E703E38EBA61B17678
908.50ns INFO [00000910] Port=0 RD @04
909.50ns INFO [00000911] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
909.50ns INFO [00000911] Port=0 WR @06=7A9A979ABD625AFD92
909.50ns INFO [00000911] Port=1 RD @00
910.50ns INFO [00000912] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
910.50ns INFO [00000912] Port=0 WR @03=2D86629886886DA490
910.50ns INFO [00000912] Port=1 RD @04
911.50ns INFO [00000913] * RD COMPARE * port=1 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD
912.50ns INFO [00000914] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
912.50ns INFO [00000914] Port=0 WR @06=A352460953BF2C3ECA
913.50ns INFO [00000915] Port=1 RD @01
914.50ns INFO [00000916] Port=0 WR @01=54ECA569D4B76D5B57
915.50ns INFO [00000917] * RD COMPARE * port=1 adr=01 act=D740693C79CA221CCD exp=D740693C79CA221CCD
915.50ns INFO [00000917] Port=0 RD @01
917.50ns INFO [00000919] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57
917.50ns INFO [00000919] Port=0 RD @04
917.50ns INFO [00000919] Port=1 RD @02
918.50ns INFO [00000920] Port=1 RD @03
919.50ns INFO [00000921] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
919.50ns INFO [00000921] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
920.50ns INFO [00000922] * RD COMPARE * port=1 adr=03 act=2D86629886886DA490 exp=2D86629886886DA490
920.50ns INFO [00000922] Port=0 RD @01
922.50ns INFO [00000924] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57
922.50ns INFO [00000924] Port=0 RD @01
922.50ns INFO [00000924] Port=1 RD @02
923.50ns INFO [00000925] Port=0 RD @02
923.50ns INFO [00000925] Port=1 RD @02
924.50ns INFO [00000926] * RD COMPARE * port=0 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57
924.50ns INFO [00000926] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
925.50ns INFO [00000927] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
925.50ns INFO [00000927] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
926.50ns INFO [00000928] Port=0 WR @03=5C0C31429FAB01D5D8
926.50ns INFO [00000928] Port=0 RD @02
927.50ns INFO [00000929] Port=0 WR @07=A884BB491C530ED0FB
927.50ns INFO [00000929] Port=1 RD @03
928.50ns INFO [00000930] * RD COMPARE * port=0 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
928.50ns INFO [00000930] Port=1 RD @00
929.50ns INFO [00000931] * RD COMPARE * port=1 adr=03 act=5C0C31429FAB01D5D8 exp=5C0C31429FAB01D5D8
929.50ns INFO [00000931] Port=0 WR @03=983A905678D9CD886B
930.50ns INFO [00000932] * RD COMPARE * port=1 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD
930.50ns INFO [00000932] Port=1 RD @07
931.50ns INFO [00000933] Port=0 RD @00
932.50ns INFO [00000934] * RD COMPARE * port=1 adr=07 act=A884BB491C530ED0FB exp=A884BB491C530ED0FB
933.50ns INFO [00000935] * RD COMPARE * port=0 adr=00 act=8ACEE3661623860DDD exp=8ACEE3661623860DDD
933.50ns INFO [00000935] Port=0 WR @00=CF9A2B0A553D8DC103
933.50ns INFO [00000935] Port=1 RD @02
934.50ns INFO [00000936] Port=0 WR @06=EF923C7ECD545A6C54
935.50ns INFO [00000937] * RD COMPARE * port=1 adr=02 act=E83EF2930C7431F363 exp=E83EF2930C7431F363
935.50ns INFO [00000937] Port=0 WR @02=C138A66AF2019C629B
938.50ns INFO [00000940] Port=0 WR @06=480B115D0D3989C887
939.50ns INFO [00000941] Port=0 WR @02=1C64C15E052608F6A3
940.50ns INFO [00000942] Port=0 WR @02=FB928CFABDEED25842
940.50ns INFO [00000942] Port=0 RD @04
940.50ns INFO [00000942] Port=1 RD @01
941.50ns INFO [00000943] Port=0 WR @06=AB9820579F8E87E717
941.50ns INFO [00000943] Port=0 RD @04
941.50ns INFO [00000943] Port=1 RD @03
942.50ns INFO [00000944] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
942.50ns INFO [00000944] * RD COMPARE * port=1 adr=01 act=54ECA569D4B76D5B57 exp=54ECA569D4B76D5B57
942.50ns INFO [00000944] Port=0 WR @02=104EAF25886B91A946
943.50ns INFO [00000945] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
943.50ns INFO [00000945] * RD COMPARE * port=1 adr=03 act=983A905678D9CD886B exp=983A905678D9CD886B
943.50ns INFO [00000945] Port=0 WR @05=ECF860A148E9C9DDC2
943.50ns INFO [00000945] Port=0 RD @02
943.50ns INFO [00000945] Port=1 RD @04
945.50ns INFO [00000947] * RD COMPARE * port=0 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946
945.50ns INFO [00000947] * RD COMPARE * port=1 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
945.50ns INFO [00000947] Port=0 RD @02
945.50ns INFO [00000947] Port=1 RD @06
946.50ns INFO [00000948] Port=0 WR @01=9985FD46BCBD813EB9
946.50ns INFO [00000948] Port=1 RD @02
947.50ns INFO [00000949] * RD COMPARE * port=0 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946
947.50ns INFO [00000949] * RD COMPARE * port=1 adr=06 act=AB9820579F8E87E717 exp=AB9820579F8E87E717
947.50ns INFO [00000949] Port=0 WR @07=B35E8AE9D33F2F71B3
947.50ns INFO [00000949] Port=0 RD @05
948.50ns INFO [00000950] * RD COMPARE * port=1 adr=02 act=104EAF25886B91A946 exp=104EAF25886B91A946
948.50ns INFO [00000950] Port=0 WR @02=5FE5D280B2554A1E0F
948.50ns INFO [00000950] Port=0 RD @01
948.50ns INFO [00000950] Port=1 RD @00
949.50ns INFO [00000951] * RD COMPARE * port=0 adr=05 act=ECF860A148E9C9DDC2 exp=ECF860A148E9C9DDC2
949.50ns INFO [00000951] Port=0 WR @01=30073DE02360624D19
949.50ns INFO [00000951] Port=0 RD @00
950.50ns INFO [00000952] * RD COMPARE * port=0 adr=01 act=9985FD46BCBD813EB9 exp=9985FD46BCBD813EB9
950.50ns INFO [00000952] * RD COMPARE * port=1 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103
950.50ns INFO [00000952] Port=0 WR @03=623E3C14CF668AE323
950.50ns INFO [00000952] Port=0 RD @02
950.50ns INFO [00000952] Port=1 RD @00
951.50ns INFO [00000953] * RD COMPARE * port=0 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103
952.50ns INFO [00000954] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
952.50ns INFO [00000954] * RD COMPARE * port=1 adr=00 act=CF9A2B0A553D8DC103 exp=CF9A2B0A553D8DC103
952.50ns INFO [00000954] Port=0 WR @00=E757D511D2F4AF6290
952.50ns INFO [00000954] Port=0 RD @07
952.50ns INFO [00000954] Port=1 RD @07
953.50ns INFO [00000955] Port=0 RD @00
954.50ns INFO [00000956] * RD COMPARE * port=0 adr=07 act=B35E8AE9D33F2F71B3 exp=B35E8AE9D33F2F71B3
954.50ns INFO [00000956] * RD COMPARE * port=1 adr=07 act=B35E8AE9D33F2F71B3 exp=B35E8AE9D33F2F71B3
955.50ns INFO [00000957] * RD COMPARE * port=0 adr=00 act=E757D511D2F4AF6290 exp=E757D511D2F4AF6290
955.50ns INFO [00000957] Port=0 RD @04
955.50ns INFO [00000957] Port=1 RD @03
956.50ns INFO [00000958] Port=0 WR @03=EFBE520BFDCBD174F5
956.50ns INFO [00000958] Port=0 RD @00
957.50ns INFO [00000959] * RD COMPARE * port=0 adr=04 act=331742F2C0E8DE95C5 exp=331742F2C0E8DE95C5
957.50ns INFO [00000959] * RD COMPARE * port=1 adr=03 act=623E3C14CF668AE323 exp=623E3C14CF668AE323
958.50ns INFO [00000960] * RD COMPARE * port=0 adr=00 act=E757D511D2F4AF6290 exp=E757D511D2F4AF6290
959.50ns INFO [00000961] Port=0 WR @00=F01E2E34EA1C99FDF5
959.50ns INFO [00000961] Port=0 RD @01
960.50ns INFO [00000962] Port=0 RD @02
961.50ns INFO [00000963] * RD COMPARE * port=0 adr=01 act=30073DE02360624D19 exp=30073DE02360624D19
961.50ns INFO [00000963] Port=0 WR @04=B5BC47BB59042CCFEF
961.50ns INFO [00000963] Port=0 RD @02
962.50ns INFO [00000964] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
962.50ns INFO [00000964] Port=0 RD @00
963.50ns INFO [00000965] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
963.50ns INFO [00000965] Port=0 WR @07=6A8A7CD418C1CFFFAA
963.50ns INFO [00000965] Port=1 RD @01
964.50ns INFO [00000966] * RD COMPARE * port=0 adr=00 act=F01E2E34EA1C99FDF5 exp=F01E2E34EA1C99FDF5
965.50ns INFO [00000967] * RD COMPARE * port=1 adr=01 act=30073DE02360624D19 exp=30073DE02360624D19
965.50ns INFO [00000967] Port=0 WR @07=A4BBD517A64D9220B9
965.50ns INFO [00000967] Port=1 RD @02
966.50ns INFO [00000968] Port=0 WR @05=0A45B7ED86E8B509D8
967.50ns INFO [00000969] * RD COMPARE * port=1 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
968.50ns INFO [00000970] Port=0 RD @02
968.50ns INFO [00000970] Port=1 RD @05
969.50ns INFO [00000971] Port=0 WR @01=0FDB38369E62812088
969.50ns INFO [00000971] Port=1 RD @02
970.50ns INFO [00000972] * RD COMPARE * port=0 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
970.50ns INFO [00000972] * RD COMPARE * port=1 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8
970.50ns INFO [00000972] Port=0 WR @00=C01B88979CE7616D19
970.50ns INFO [00000972] Port=0 RD @01
970.50ns INFO [00000972] Port=1 RD @04
971.50ns INFO [00000973] * RD COMPARE * port=1 adr=02 act=5FE5D280B2554A1E0F exp=5FE5D280B2554A1E0F
971.50ns INFO [00000973] Port=0 WR @00=C3BB2746B3FA5576F0
971.50ns INFO [00000973] Port=0 RD @01
972.50ns INFO [00000974] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
972.50ns INFO [00000974] * RD COMPARE * port=1 adr=04 act=B5BC47BB59042CCFEF exp=B5BC47BB59042CCFEF
972.50ns INFO [00000974] Port=0 RD @00
972.50ns INFO [00000974] Port=1 RD @01
973.50ns INFO [00000975] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
974.50ns INFO [00000976] * RD COMPARE * port=0 adr=00 act=C3BB2746B3FA5576F0 exp=C3BB2746B3FA5576F0
974.50ns INFO [00000976] * RD COMPARE * port=1 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
974.50ns INFO [00000976] Port=0 RD @03
974.50ns INFO [00000976] Port=1 RD @06
975.50ns INFO [00000977] Port=0 WR @00=D75023146EFCB55147
975.50ns INFO [00000977] Port=0 RD @05
976.50ns INFO [00000978] * RD COMPARE * port=0 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5
976.50ns INFO [00000978] * RD COMPARE * port=1 adr=06 act=AB9820579F8E87E717 exp=AB9820579F8E87E717
976.50ns INFO [00000978] Port=1 RD @03
977.50ns INFO [00000979] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8
977.50ns INFO [00000979] Port=0 RD @00
978.50ns INFO [00000980] * RD COMPARE * port=1 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5
979.50ns INFO [00000981] * RD COMPARE * port=0 adr=00 act=D75023146EFCB55147 exp=D75023146EFCB55147
979.50ns INFO [00000981] Port=0 WR @06=3C0E5D4E79195ED116
979.50ns INFO [00000981] Port=0 RD @01
979.50ns INFO [00000981] Port=1 RD @07
980.50ns INFO [00000982] Port=0 WR @02=C98355A1A8CBEE8171
981.50ns INFO [00000983] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
981.50ns INFO [00000983] * RD COMPARE * port=1 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9
981.50ns INFO [00000983] Port=1 RD @03
983.50ns INFO [00000985] * RD COMPARE * port=1 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5
983.50ns INFO [00000985] Port=0 WR @00=7436CB08361F07F44A
983.50ns INFO [00000985] Port=1 RD @07
984.50ns INFO [00000986] Port=0 RD @03
985.50ns INFO [00000987] * RD COMPARE * port=1 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9
985.50ns INFO [00000987] Port=0 WR @03=314F4AEC615661954D
985.50ns INFO [00000987] Port=0 RD @05
985.50ns INFO [00000987] Port=1 RD @04
986.50ns INFO [00000988] * RD COMPARE * port=0 adr=03 act=EFBE520BFDCBD174F5 exp=EFBE520BFDCBD174F5
986.50ns INFO [00000988] Port=1 RD @06
987.50ns INFO [00000989] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8
987.50ns INFO [00000989] * RD COMPARE * port=1 adr=04 act=B5BC47BB59042CCFEF exp=B5BC47BB59042CCFEF
988.50ns INFO [00000990] * RD COMPARE * port=1 adr=06 act=3C0E5D4E79195ED116 exp=3C0E5D4E79195ED116
988.50ns INFO [00000990] Port=0 WR @03=08C0C08FEEEB5E5397
988.50ns INFO [00000990] Port=1 RD @02
989.50ns INFO [00000991] Port=0 WR @04=E062DBB5552C12F4A8
989.50ns INFO [00000991] Port=1 RD @02
990.50ns INFO [00000992] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
990.50ns INFO [00000992] Port=0 RD @03
991.50ns INFO [00000993] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
991.50ns INFO [00000993] Port=1 RD @06
992.50ns INFO [00000994] * RD COMPARE * port=0 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397
992.50ns INFO [00000994] Port=0 RD @01
992.50ns INFO [00000994] Port=1 RD @01
993.50ns INFO [00000995] * RD COMPARE * port=1 adr=06 act=3C0E5D4E79195ED116 exp=3C0E5D4E79195ED116
993.50ns INFO [00000995] Port=0 WR @00=F99E44F30BF39C7F07
993.50ns INFO [00000995] Port=0 RD @03
994.50ns INFO [00000996] * RD COMPARE * port=0 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
994.50ns INFO [00000996] * RD COMPARE * port=1 adr=01 act=0FDB38369E62812088 exp=0FDB38369E62812088
994.50ns INFO [00000996] Port=0 RD @05
994.50ns INFO [00000996] Port=1 RD @00
995.50ns INFO [00000997] * RD COMPARE * port=0 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397
995.50ns INFO [00000997] Port=0 WR @06=F50F0A3BB08CFFE954
995.50ns INFO [00000997] Port=0 RD @00
995.50ns INFO [00000997] Port=1 RD @05
996.50ns INFO [00000998] * RD COMPARE * port=0 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8
996.50ns INFO [00000998] * RD COMPARE * port=1 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07
996.50ns INFO [00000998] Port=1 RD @02
997.50ns INFO [00000999] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07
997.50ns INFO [00000999] * RD COMPARE * port=1 adr=05 act=0A45B7ED86E8B509D8 exp=0A45B7ED86E8B509D8
997.50ns INFO [00000999] Port=0 WR @05=460303F0FE62769B7F
997.50ns INFO [00000999] Port=0 RD @02
998.00ns INFO [00001000] [00001000] ...tick...
998.50ns INFO [00001000] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
998.50ns INFO [00001000] Port=0 RD @02
999.50ns INFO [00001001] * RD COMPARE * port=0 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
999.50ns INFO [00001001] Port=0 WR @04=AB044F88C3AC20484B
999.50ns INFO [00001001] Port=0 RD @06
1000.50ns INFO [00001002] * RD COMPARE * port=0 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
1000.50ns INFO [00001002] Port=1 RD @03
1001.50ns INFO [00001003] * RD COMPARE * port=0 adr=06 act=F50F0A3BB08CFFE954 exp=F50F0A3BB08CFFE954
1001.50ns INFO [00001003] Port=0 WR @01=18D8589125B0374EA1
1001.50ns INFO [00001003] Port=1 RD @03
1002.50ns INFO [00001004] * RD COMPARE * port=1 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397
1002.50ns INFO [00001004] Port=0 WR @01=36C6D6A53741916036
1003.50ns INFO [00001005] * RD COMPARE * port=1 adr=03 act=08C0C08FEEEB5E5397 exp=08C0C08FEEEB5E5397
1003.50ns INFO [00001005] Port=1 RD @01
1004.50ns INFO [00001006] Port=0 WR @03=DF3E1516947C90DFEC
1005.50ns INFO [00001007] * RD COMPARE * port=1 adr=01 act=36C6D6A53741916036 exp=36C6D6A53741916036
1005.50ns INFO [00001007] Port=0 WR @03=5394141F47F36CF9D3
1005.50ns INFO [00001007] Port=1 RD @05
1006.50ns INFO [00001008] Port=0 WR @01=54D1DF3BA0AED95861
1006.50ns INFO [00001008] Port=0 RD @03
1006.50ns INFO [00001008] Port=1 RD @02
1007.50ns INFO [00001009] * RD COMPARE * port=1 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F
1007.50ns INFO [00001009] Port=0 RD @00
1007.50ns INFO [00001009] Port=1 RD @01
1008.50ns INFO [00001010] * RD COMPARE * port=0 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3
1008.50ns INFO [00001010] * RD COMPARE * port=1 adr=02 act=C98355A1A8CBEE8171 exp=C98355A1A8CBEE8171
1008.50ns INFO [00001010] Port=0 RD @05
1009.50ns INFO [00001011] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07
1009.50ns INFO [00001011] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861
1009.50ns INFO [00001011] Port=1 RD @03
1010.50ns INFO [00001012] * RD COMPARE * port=0 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F
1010.50ns INFO [00001012] Port=0 RD @07
1011.50ns INFO [00001013] * RD COMPARE * port=1 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3
1011.50ns INFO [00001013] Port=0 RD @00
1012.50ns INFO [00001014] * RD COMPARE * port=0 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9
1013.50ns INFO [00001015] * RD COMPARE * port=0 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07
1013.50ns INFO [00001015] Port=0 RD @07
1014.50ns INFO [00001016] Port=0 WR @02=E2021521542E132CE2
1015.50ns INFO [00001017] * RD COMPARE * port=0 adr=07 act=A4BBD517A64D9220B9 exp=A4BBD517A64D9220B9
1015.50ns INFO [00001017] Port=0 WR @07=1794B8D48A57BE53FD
1015.50ns INFO [00001017] Port=0 RD @05
1016.50ns INFO [00001018] Port=0 RD @03
1016.50ns INFO [00001018] Port=1 RD @01
1017.50ns INFO [00001019] * RD COMPARE * port=0 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F
1017.50ns INFO [00001019] Port=0 WR @06=17108B86912C624D82
1017.50ns INFO [00001019] Port=0 RD @04
1017.50ns INFO [00001019] Port=1 RD @07
1018.50ns INFO [00001020] * RD COMPARE * port=0 adr=03 act=5394141F47F36CF9D3 exp=5394141F47F36CF9D3
1018.50ns INFO [00001020] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861
1018.50ns INFO [00001020] Port=0 WR @03=3EC9B8E2F9B24B03D2
1018.50ns INFO [00001020] Port=1 RD @07
1019.50ns INFO [00001021] * RD COMPARE * port=0 adr=04 act=AB044F88C3AC20484B exp=AB044F88C3AC20484B
1019.50ns INFO [00001021] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1019.50ns INFO [00001021] Port=0 RD @02
1019.50ns INFO [00001021] Port=1 RD @01
1020.50ns INFO [00001022] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1021.50ns INFO [00001023] * RD COMPARE * port=0 adr=02 act=E2021521542E132CE2 exp=E2021521542E132CE2
1021.50ns INFO [00001023] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861
1021.50ns INFO [00001023] Port=0 RD @07
1022.50ns INFO [00001024] Port=1 RD @01
1023.50ns INFO [00001025] * RD COMPARE * port=0 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1023.50ns INFO [00001025] Port=1 RD @07
1024.50ns INFO [00001026] * RD COMPARE * port=1 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861
1024.50ns INFO [00001026] Port=0 WR @04=53685A05BCA9D9D5EF
1024.50ns INFO [00001026] Port=0 RD @01
1024.50ns INFO [00001026] Port=1 RD @05
1025.50ns INFO [00001027] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1026.50ns INFO [00001028] * RD COMPARE * port=0 adr=01 act=54D1DF3BA0AED95861 exp=54D1DF3BA0AED95861
1026.50ns INFO [00001028] * RD COMPARE * port=1 adr=05 act=460303F0FE62769B7F exp=460303F0FE62769B7F
1026.50ns INFO [00001028] Port=0 RD @07
1027.50ns INFO [00001029] Port=0 WR @02=C96FE177B9E5BD4BF7
1027.50ns INFO [00001029] Port=0 RD @04
1027.50ns INFO [00001029] Port=1 RD @00
1028.50ns INFO [00001030] * RD COMPARE * port=0 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1028.50ns INFO [00001030] Port=0 WR @06=BEB4BB6B7399EB3EB2
1029.50ns INFO [00001031] * RD COMPARE * port=0 adr=04 act=53685A05BCA9D9D5EF exp=53685A05BCA9D9D5EF
1029.50ns INFO [00001031] * RD COMPARE * port=1 adr=00 act=F99E44F30BF39C7F07 exp=F99E44F30BF39C7F07
1029.50ns INFO [00001031] Port=0 WR @00=4FE045B6EDA20146A8
1029.50ns INFO [00001031] Port=1 RD @07
1030.50ns INFO [00001032] Port=1 RD @06
1031.50ns INFO [00001033] * RD COMPARE * port=1 adr=07 act=1794B8D48A57BE53FD exp=1794B8D48A57BE53FD
1032.50ns INFO [00001034] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2
1032.50ns INFO [00001034] Port=0 WR @04=97D31CE2C93804CCBC
1033.50ns INFO [00001035] Port=0 WR @01=1552B09F72824D3BD0
1033.50ns INFO [00001035] Port=0 RD @02
1033.50ns INFO [00001035] Port=1 RD @06
1034.50ns INFO [00001036] Port=0 WR @05=2E3598BAA916958611
1034.50ns INFO [00001036] Port=0 RD @02
1035.50ns INFO [00001037] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7
1035.50ns INFO [00001037] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2
1035.50ns INFO [00001037] Port=0 RD @00
1036.50ns INFO [00001038] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7
1036.50ns INFO [00001038] Port=0 RD @05
1036.50ns INFO [00001038] Port=1 RD @06
1037.50ns INFO [00001039] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1037.50ns INFO [00001039] Port=1 RD @04
1038.50ns INFO [00001040] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1038.50ns INFO [00001040] * RD COMPARE * port=1 adr=06 act=BEB4BB6B7399EB3EB2 exp=BEB4BB6B7399EB3EB2
1038.50ns INFO [00001040] Port=0 RD @01
1038.50ns INFO [00001040] Port=1 RD @05
1039.50ns INFO [00001041] * RD COMPARE * port=1 adr=04 act=97D31CE2C93804CCBC exp=97D31CE2C93804CCBC
1039.50ns INFO [00001041] Port=0 WR @06=4CCF8300AE2EA716EB
1039.50ns INFO [00001041] Port=0 RD @05
1040.50ns INFO [00001042] * RD COMPARE * port=0 adr=01 act=1552B09F72824D3BD0 exp=1552B09F72824D3BD0
1040.50ns INFO [00001042] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1041.50ns INFO [00001043] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1043.50ns INFO [00001045] Port=0 RD @05
1045.50ns INFO [00001047] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1046.50ns INFO [00001048] Port=0 WR @01=0BC82D1111CA534878
1046.50ns INFO [00001048] Port=0 RD @02
1046.50ns INFO [00001048] Port=1 RD @02
1048.50ns INFO [00001050] * RD COMPARE * port=0 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7
1048.50ns INFO [00001050] * RD COMPARE * port=1 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7
1048.50ns INFO [00001050] Port=0 RD @05
1050.50ns INFO [00001052] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1050.50ns INFO [00001052] Port=0 WR @04=E9CBEC47BBBA722241
1050.50ns INFO [00001052] Port=0 RD @00
1050.50ns INFO [00001052] Port=1 RD @03
1051.50ns INFO [00001053] Port=0 WR @07=B40BF7E2835C01F882
1052.50ns INFO [00001054] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1052.50ns INFO [00001054] * RD COMPARE * port=1 adr=03 act=3EC9B8E2F9B24B03D2 exp=3EC9B8E2F9B24B03D2
1053.50ns INFO [00001055] Port=1 RD @07
1054.50ns INFO [00001056] Port=0 WR @07=7AE65C4DE26B082741
1054.50ns INFO [00001056] Port=1 RD @00
1055.50ns INFO [00001057] * RD COMPARE * port=1 adr=07 act=B40BF7E2835C01F882 exp=B40BF7E2835C01F882
1056.50ns INFO [00001058] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1056.50ns INFO [00001058] Port=0 RD @03
1057.50ns INFO [00001059] Port=0 WR @03=A818190FE8998A556A
1057.50ns INFO [00001059] Port=0 RD @05
1058.50ns INFO [00001060] * RD COMPARE * port=0 adr=03 act=3EC9B8E2F9B24B03D2 exp=3EC9B8E2F9B24B03D2
1058.50ns INFO [00001060] Port=1 RD @01
1059.50ns INFO [00001061] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1059.50ns INFO [00001061] Port=0 RD @07
1059.50ns INFO [00001061] Port=1 RD @03
1060.50ns INFO [00001062] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878
1060.50ns INFO [00001062] Port=1 RD @00
1061.50ns INFO [00001063] * RD COMPARE * port=0 adr=07 act=7AE65C4DE26B082741 exp=7AE65C4DE26B082741
1061.50ns INFO [00001063] * RD COMPARE * port=1 adr=03 act=A818190FE8998A556A exp=A818190FE8998A556A
1061.50ns INFO [00001063] Port=0 WR @07=5BF70FD3EF1D01313E
1061.50ns INFO [00001063] Port=1 RD @02
1062.50ns INFO [00001064] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1063.50ns INFO [00001065] * RD COMPARE * port=1 adr=02 act=C96FE177B9E5BD4BF7 exp=C96FE177B9E5BD4BF7
1064.50ns INFO [00001066] Port=0 WR @03=3E142F58CCE8CE30E0
1065.50ns INFO [00001067] Port=0 RD @00
1065.50ns INFO [00001067] Port=1 RD @05
1066.50ns INFO [00001068] Port=0 RD @05
1067.50ns INFO [00001069] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1067.50ns INFO [00001069] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1067.50ns INFO [00001069] Port=0 WR @04=84AF158E61586816DE
1067.50ns INFO [00001069] Port=0 RD @05
1067.50ns INFO [00001069] Port=1 RD @01
1068.50ns INFO [00001070] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1068.50ns INFO [00001070] Port=0 WR @04=51DF8BB6C06D808545
1069.50ns INFO [00001071] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1069.50ns INFO [00001071] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878
1069.50ns INFO [00001071] Port=1 RD @00
1070.50ns INFO [00001072] Port=1 RD @00
1071.50ns INFO [00001073] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1072.50ns INFO [00001074] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1072.50ns INFO [00001074] Port=0 WR @07=884E3FF1B77772053C
1072.50ns INFO [00001074] Port=0 RD @05
1072.50ns INFO [00001074] Port=1 RD @04
1073.50ns INFO [00001075] Port=1 RD @03
1074.50ns INFO [00001076] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1074.50ns INFO [00001076] * RD COMPARE * port=1 adr=04 act=51DF8BB6C06D808545 exp=51DF8BB6C06D808545
1074.50ns INFO [00001076] Port=0 WR @07=67C67762E8B8FB07A4
1074.50ns INFO [00001076] Port=1 RD @00
1075.50ns INFO [00001077] * RD COMPARE * port=1 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0
1075.50ns INFO [00001077] Port=1 RD @00
1076.50ns INFO [00001078] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1076.50ns INFO [00001078] Port=0 WR @04=087FC64347BD9AA9F6
1076.50ns INFO [00001078] Port=0 RD @06
1077.50ns INFO [00001079] * RD COMPARE * port=1 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1077.50ns INFO [00001079] Port=0 RD @03
1077.50ns INFO [00001079] Port=1 RD @05
1078.50ns INFO [00001080] * RD COMPARE * port=0 adr=06 act=4CCF8300AE2EA716EB exp=4CCF8300AE2EA716EB
1079.50ns INFO [00001081] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0
1079.50ns INFO [00001081] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1079.50ns INFO [00001081] Port=1 RD @01
1081.50ns INFO [00001083] * RD COMPARE * port=1 adr=01 act=0BC82D1111CA534878 exp=0BC82D1111CA534878
1081.50ns INFO [00001083] Port=0 WR @01=1466AF9E23C47D38B5
1081.50ns INFO [00001083] Port=0 RD @00
1081.50ns INFO [00001083] Port=1 RD @05
1083.50ns INFO [00001085] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1083.50ns INFO [00001085] * RD COMPARE * port=1 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1083.50ns INFO [00001085] Port=0 WR @04=9D6A2AB247FB998D4D
1083.50ns INFO [00001085] Port=1 RD @01
1084.50ns INFO [00001086] Port=0 RD @00
1085.50ns INFO [00001087] * RD COMPARE * port=1 adr=01 act=1466AF9E23C47D38B5 exp=1466AF9E23C47D38B5
1086.50ns INFO [00001088] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1086.50ns INFO [00001088] Port=0 RD @03
1086.50ns INFO [00001088] Port=1 RD @07
1087.50ns INFO [00001089] Port=0 WR @07=3CEE766C5A7094F650
1088.50ns INFO [00001090] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0
1088.50ns INFO [00001090] * RD COMPARE * port=1 adr=07 act=67C67762E8B8FB07A4 exp=67C67762E8B8FB07A4
1088.50ns INFO [00001090] Port=0 WR @04=47696074E857BB0598
1088.50ns INFO [00001090] Port=0 RD @05
1089.50ns INFO [00001091] Port=0 WR @01=CD420ACCC98D89FDD7
1089.50ns INFO [00001091] Port=0 RD @07
1090.50ns INFO [00001092] * RD COMPARE * port=0 adr=05 act=2E3598BAA916958611 exp=2E3598BAA916958611
1090.50ns INFO [00001092] Port=0 RD @07
1091.50ns INFO [00001093] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650
1091.50ns INFO [00001093] Port=0 WR @06=00B1A240E2B5B9175C
1091.50ns INFO [00001093] Port=0 RD @03
1092.50ns INFO [00001094] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650
1092.50ns INFO [00001094] Port=0 RD @01
1093.50ns INFO [00001095] * RD COMPARE * port=0 adr=03 act=3E142F58CCE8CE30E0 exp=3E142F58CCE8CE30E0
1093.50ns INFO [00001095] Port=0 WR @06=755DBD106667EE90CE
1094.50ns INFO [00001096] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7
1094.50ns INFO [00001096] Port=0 WR @03=16A960069CFF36E9C8
1094.50ns INFO [00001096] Port=0 RD @07
1095.50ns INFO [00001097] Port=0 RD @00
1096.50ns INFO [00001098] * RD COMPARE * port=0 adr=07 act=3CEE766C5A7094F650 exp=3CEE766C5A7094F650
1096.50ns INFO [00001098] Port=0 WR @07=84FFF771C4C8A05666
1096.50ns INFO [00001098] Port=0 RD @06
1097.50ns INFO [00001099] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1098.00ns INFO [00001100] [00001100] ...tick...
1098.50ns INFO [00001100] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE
1098.50ns INFO [00001100] Port=0 RD @07
1098.50ns INFO [00001100] Port=1 RD @07
1099.50ns INFO [00001101] Port=1 RD @01
1100.50ns INFO [00001102] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666
1100.50ns INFO [00001102] * RD COMPARE * port=1 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666
1101.50ns INFO [00001103] * RD COMPARE * port=1 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7
1101.50ns INFO [00001103] Port=0 WR @05=26B2EBFA41661D4010
1101.50ns INFO [00001103] Port=1 RD @03
1103.50ns INFO [00001105] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1103.50ns INFO [00001105] Port=0 RD @04
1105.50ns INFO [00001107] * RD COMPARE * port=0 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598
1105.50ns INFO [00001107] Port=0 RD @03
1106.50ns INFO [00001108] Port=0 WR @02=308C76C418D59B0C41
1106.50ns INFO [00001108] Port=0 RD @06
1107.50ns INFO [00001109] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1107.50ns INFO [00001109] Port=0 WR @05=0CB3E4E0CDFD5C0A96
1108.50ns INFO [00001110] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE
1109.50ns INFO [00001111] Port=0 RD @04
1109.50ns INFO [00001111] Port=1 RD @05
1110.50ns INFO [00001112] Port=0 RD @01
1111.50ns INFO [00001113] * RD COMPARE * port=0 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598
1111.50ns INFO [00001113] * RD COMPARE * port=1 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96
1111.50ns INFO [00001113] Port=0 RD @06
1111.50ns INFO [00001113] Port=1 RD @02
1112.50ns INFO [00001114] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7
1112.50ns INFO [00001114] Port=0 WR @02=A627BE9C3D0B0C2067
1113.50ns INFO [00001115] * RD COMPARE * port=0 adr=06 act=755DBD106667EE90CE exp=755DBD106667EE90CE
1113.50ns INFO [00001115] * RD COMPARE * port=1 adr=02 act=308C76C418D59B0C41 exp=308C76C418D59B0C41
1113.50ns INFO [00001115] Port=0 RD @00
1113.50ns INFO [00001115] Port=1 RD @02
1114.50ns INFO [00001116] Port=0 RD @01
1114.50ns INFO [00001116] Port=1 RD @04
1115.50ns INFO [00001117] * RD COMPARE * port=0 adr=00 act=4FE045B6EDA20146A8 exp=4FE045B6EDA20146A8
1115.50ns INFO [00001117] * RD COMPARE * port=1 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067
1115.50ns INFO [00001117] Port=0 WR @06=34DF019BC1A9BCD86C
1116.50ns INFO [00001118] * RD COMPARE * port=0 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7
1116.50ns INFO [00001118] * RD COMPARE * port=1 adr=04 act=47696074E857BB0598 exp=47696074E857BB0598
1116.50ns INFO [00001118] Port=0 WR @00=CA9CE92E488DBEC386
1117.50ns INFO [00001119] Port=0 RD @02
1118.50ns INFO [00001120] Port=0 WR @00=00764261782E3D4D32
1118.50ns INFO [00001120] Port=0 RD @05
1119.50ns INFO [00001121] * RD COMPARE * port=0 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067
1119.50ns INFO [00001121] Port=1 RD @01
1120.50ns INFO [00001122] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96
1120.50ns INFO [00001122] Port=1 RD @00
1121.50ns INFO [00001123] * RD COMPARE * port=1 adr=01 act=CD420ACCC98D89FDD7 exp=CD420ACCC98D89FDD7
1121.50ns INFO [00001123] Port=0 WR @00=DB353D486448DD0256
1121.50ns INFO [00001123] Port=1 RD @03
1122.50ns INFO [00001124] * RD COMPARE * port=1 adr=00 act=00764261782E3D4D32 exp=00764261782E3D4D32
1122.50ns INFO [00001124] Port=0 WR @01=8DB6274648BB639AAE
1122.50ns INFO [00001124] Port=1 RD @02
1123.50ns INFO [00001125] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1124.50ns INFO [00001126] * RD COMPARE * port=1 adr=02 act=A627BE9C3D0B0C2067 exp=A627BE9C3D0B0C2067
1124.50ns INFO [00001126] Port=0 RD @07
1125.50ns INFO [00001127] Port=0 WR @06=1F61F38D4D4D44DD5C
1125.50ns INFO [00001127] Port=1 RD @01
1126.50ns INFO [00001128] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666
1126.50ns INFO [00001128] Port=1 RD @03
1127.50ns INFO [00001129] * RD COMPARE * port=1 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1127.50ns INFO [00001129] Port=1 RD @06
1128.50ns INFO [00001130] * RD COMPARE * port=1 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1128.50ns INFO [00001130] Port=0 WR @04=4B7DC5309DFE5E30F5
1129.50ns INFO [00001131] * RD COMPARE * port=1 adr=06 act=1F61F38D4D4D44DD5C exp=1F61F38D4D4D44DD5C
1130.50ns INFO [00001132] Port=0 RD @01
1131.50ns INFO [00001133] Port=0 RD @05
1132.50ns INFO [00001134] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1132.50ns INFO [00001134] Port=0 WR @06=2BAAFD1D99544FBE9F
1132.50ns INFO [00001134] Port=0 RD @04
1133.50ns INFO [00001135] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96
1133.50ns INFO [00001135] Port=0 WR @02=037DF5F9A5567E9B14
1133.50ns INFO [00001135] Port=1 RD @07
1134.50ns INFO [00001136] * RD COMPARE * port=0 adr=04 act=4B7DC5309DFE5E30F5 exp=4B7DC5309DFE5E30F5
1134.50ns INFO [00001136] Port=1 RD @02
1135.50ns INFO [00001137] * RD COMPARE * port=1 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666
1136.50ns INFO [00001138] * RD COMPARE * port=1 adr=02 act=037DF5F9A5567E9B14 exp=037DF5F9A5567E9B14
1136.50ns INFO [00001138] Port=0 RD @03
1137.50ns INFO [00001139] Port=0 WR @02=B42046602ED1FCF96C
1138.50ns INFO [00001140] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1138.50ns INFO [00001140] Port=0 WR @04=325FDD0CB9C9C2D90C
1138.50ns INFO [00001140] Port=0 RD @05
1138.50ns INFO [00001140] Port=1 RD @02
1140.50ns INFO [00001142] * RD COMPARE * port=0 adr=05 act=0CB3E4E0CDFD5C0A96 exp=0CB3E4E0CDFD5C0A96
1140.50ns INFO [00001142] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C
1141.50ns INFO [00001143] Port=0 WR @05=29FDC6A1954B516A2A
1142.50ns INFO [00001144] Port=0 RD @07
1142.50ns INFO [00001144] Port=1 RD @05
1143.50ns INFO [00001145] Port=0 WR @06=0CFD6B4BFDC249CDB0
1144.50ns INFO [00001146] * RD COMPARE * port=0 adr=07 act=84FFF771C4C8A05666 exp=84FFF771C4C8A05666
1144.50ns INFO [00001146] * RD COMPARE * port=1 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A
1145.50ns INFO [00001147] Port=0 WR @07=D45504AEF06CA8DCF1
1146.50ns INFO [00001148] Port=0 RD @05
1147.50ns INFO [00001149] Port=0 WR @06=C135ABD49D22905B13
1147.50ns INFO [00001149] Port=0 RD @01
1147.50ns INFO [00001149] Port=1 RD @07
1148.50ns INFO [00001150] * RD COMPARE * port=0 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A
1149.50ns INFO [00001151] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1149.50ns INFO [00001151] * RD COMPARE * port=1 adr=07 act=D45504AEF06CA8DCF1 exp=D45504AEF06CA8DCF1
1149.50ns INFO [00001151] Port=0 WR @00=32AD5CE7835736408E
1149.50ns INFO [00001151] Port=0 RD @05
1151.50ns INFO [00001153] * RD COMPARE * port=0 adr=05 act=29FDC6A1954B516A2A exp=29FDC6A1954B516A2A
1151.50ns INFO [00001153] Port=0 WR @05=2E0B89FCE3F67F9512
1151.50ns INFO [00001153] Port=0 RD @04
1151.50ns INFO [00001153] Port=1 RD @00
1152.50ns INFO [00001154] Port=0 RD @01
1153.50ns INFO [00001155] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C
1153.50ns INFO [00001155] * RD COMPARE * port=1 adr=00 act=32AD5CE7835736408E exp=32AD5CE7835736408E
1153.50ns INFO [00001155] Port=0 WR @00=915AA7F0829B830C95
1153.50ns INFO [00001155] Port=1 RD @07
1154.50ns INFO [00001156] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1154.50ns INFO [00001156] Port=0 RD @03
1154.50ns INFO [00001156] Port=1 RD @04
1155.50ns INFO [00001157] * RD COMPARE * port=1 adr=07 act=D45504AEF06CA8DCF1 exp=D45504AEF06CA8DCF1
1155.50ns INFO [00001157] Port=0 RD @01
1156.50ns INFO [00001158] * RD COMPARE * port=0 adr=03 act=16A960069CFF36E9C8 exp=16A960069CFF36E9C8
1156.50ns INFO [00001158] * RD COMPARE * port=1 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C
1156.50ns INFO [00001158] Port=0 RD @04
1157.50ns INFO [00001159] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1157.50ns INFO [00001159] Port=1 RD @00
1158.50ns INFO [00001160] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C
1158.50ns INFO [00001160] Port=0 RD @06
1158.50ns INFO [00001160] Port=1 RD @00
1159.50ns INFO [00001161] * RD COMPARE * port=1 adr=00 act=915AA7F0829B830C95 exp=915AA7F0829B830C95
1159.50ns INFO [00001161] Port=0 WR @05=1C9D00EEF8FE956EF0
1160.50ns INFO [00001162] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13
1160.50ns INFO [00001162] * RD COMPARE * port=1 adr=00 act=915AA7F0829B830C95 exp=915AA7F0829B830C95
1161.50ns INFO [00001163] Port=0 RD @04
1161.50ns INFO [00001163] Port=1 RD @02
1162.50ns INFO [00001164] Port=0 WR @03=43461F5FA0EDAD472E
1163.50ns INFO [00001165] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C
1163.50ns INFO [00001165] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C
1163.50ns INFO [00001165] Port=0 WR @00=4D30F86D757263A2CD
1163.50ns INFO [00001165] Port=0 RD @04
1165.50ns INFO [00001167] * RD COMPARE * port=0 adr=04 act=325FDD0CB9C9C2D90C exp=325FDD0CB9C9C2D90C
1166.50ns INFO [00001168] Port=0 WR @04=AA795847AB7AE7BE0C
1166.50ns INFO [00001168] Port=0 RD @01
1167.50ns INFO [00001169] Port=0 WR @07=BBF887F5C2BE08B5A7
1167.50ns INFO [00001169] Port=0 RD @06
1167.50ns INFO [00001169] Port=1 RD @06
1168.50ns INFO [00001170] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1169.50ns INFO [00001171] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13
1169.50ns INFO [00001171] * RD COMPARE * port=1 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13
1169.50ns INFO [00001171] Port=0 RD @04
1170.50ns INFO [00001172] Port=0 WR @05=6F1E43839F40C758B9
1170.50ns INFO [00001172] Port=0 RD @06
1171.50ns INFO [00001173] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C
1171.50ns INFO [00001173] Port=0 RD @05
1172.50ns INFO [00001174] * RD COMPARE * port=0 adr=06 act=C135ABD49D22905B13 exp=C135ABD49D22905B13
1172.50ns INFO [00001174] Port=0 RD @05
1172.50ns INFO [00001174] Port=1 RD @00
1173.50ns INFO [00001175] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1174.50ns INFO [00001176] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1174.50ns INFO [00001176] * RD COMPARE * port=1 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD
1175.50ns INFO [00001177] Port=1 RD @02
1176.50ns INFO [00001178] Port=0 RD @00
1176.50ns INFO [00001178] Port=1 RD @07
1177.50ns INFO [00001179] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C
1177.50ns INFO [00001179] Port=0 RD @04
1178.50ns INFO [00001180] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD
1178.50ns INFO [00001180] * RD COMPARE * port=1 adr=07 act=BBF887F5C2BE08B5A7 exp=BBF887F5C2BE08B5A7
1179.50ns INFO [00001181] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C
1179.50ns INFO [00001181] Port=0 RD @05
1179.50ns INFO [00001181] Port=1 RD @07
1180.50ns INFO [00001182] Port=0 RD @04
1181.50ns INFO [00001183] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1181.50ns INFO [00001183] * RD COMPARE * port=1 adr=07 act=BBF887F5C2BE08B5A7 exp=BBF887F5C2BE08B5A7
1181.50ns INFO [00001183] Port=0 RD @05
1182.50ns INFO [00001184] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C
1183.50ns INFO [00001185] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1183.50ns INFO [00001185] Port=0 RD @00
1184.50ns INFO [00001186] Port=0 WR @06=10CC40568A69988080
1184.50ns INFO [00001186] Port=0 RD @01
1185.50ns INFO [00001187] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD
1185.50ns INFO [00001187] Port=0 RD @05
1186.50ns INFO [00001188] * RD COMPARE * port=0 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1186.50ns INFO [00001188] Port=1 RD @04
1187.50ns INFO [00001189] * RD COMPARE * port=0 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1187.50ns INFO [00001189] Port=1 RD @01
1188.50ns INFO [00001190] * RD COMPARE * port=1 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C
1188.50ns INFO [00001190] Port=0 RD @06
1189.50ns INFO [00001191] * RD COMPARE * port=1 adr=01 act=8DB6274648BB639AAE exp=8DB6274648BB639AAE
1190.50ns INFO [00001192] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080
1190.50ns INFO [00001192] Port=0 RD @04
1191.50ns INFO [00001193] Port=1 RD @05
1192.50ns INFO [00001194] * RD COMPARE * port=0 adr=04 act=AA795847AB7AE7BE0C exp=AA795847AB7AE7BE0C
1192.50ns INFO [00001194] Port=0 WR @07=6C84BF9D221DB31F7D
1192.50ns INFO [00001194] Port=0 RD @06
1193.50ns INFO [00001195] * RD COMPARE * port=1 adr=05 act=6F1E43839F40C758B9 exp=6F1E43839F40C758B9
1193.50ns INFO [00001195] Port=0 RD @00
1193.50ns INFO [00001195] Port=1 RD @07
1194.50ns INFO [00001196] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080
1194.50ns INFO [00001196] Port=1 RD @07
1195.50ns INFO [00001197] * RD COMPARE * port=0 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD
1195.50ns INFO [00001197] * RD COMPARE * port=1 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D
1195.50ns INFO [00001197] Port=1 RD @02
1196.50ns INFO [00001198] * RD COMPARE * port=1 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D
1196.50ns INFO [00001198] Port=0 WR @05=427DDDC2B76AE15CE3
1196.50ns INFO [00001198] Port=0 RD @06
1196.50ns INFO [00001198] Port=1 RD @00
1197.50ns INFO [00001199] * RD COMPARE * port=1 adr=02 act=B42046602ED1FCF96C exp=B42046602ED1FCF96C
1197.50ns INFO [00001199] Port=0 WR @01=D914FA2C34FE224B3B
1198.00ns INFO [00001200] [00001200] ...tick...
1198.50ns INFO [00001200] * RD COMPARE * port=0 adr=06 act=10CC40568A69988080 exp=10CC40568A69988080
1198.50ns INFO [00001200] * RD COMPARE * port=1 adr=00 act=4D30F86D757263A2CD exp=4D30F86D757263A2CD
1198.50ns INFO [00001200] Port=1 RD @01
1199.50ns INFO [00001201] Port=0 WR @03=1532E87BEBB4B6CC18
1199.50ns INFO [00001201] Port=0 RD @07
1200.50ns INFO [00001202] * RD COMPARE * port=1 adr=01 act=D914FA2C34FE224B3B exp=D914FA2C34FE224B3B
1200.50ns INFO [00001202] Port=0 WR @06=A89ACA819E1A59D141
1200.50ns INFO [00001202] Port=0 RD @07
1201.50ns INFO [00001203] * RD COMPARE * port=0 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D
1201.50ns INFO [00001203] Port=0 RD @03
1202.50ns INFO [00001204] * RD COMPARE * port=0 adr=07 act=6C84BF9D221DB31F7D exp=6C84BF9D221DB31F7D
1202.50ns INFO [00001204] Port=0 WR @02=E595D83668391D3D69
1202.50ns INFO [00001204] Port=0 RD @01
1203.50ns INFO [00001205] * RD COMPARE * port=0 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18
1203.50ns INFO [00001205] Port=0 WR @04=CE594EE8729CEF2F90
1204.50ns INFO [00001206] * RD COMPARE * port=0 adr=01 act=D914FA2C34FE224B3B exp=D914FA2C34FE224B3B
1204.50ns INFO [00001206] Port=0 WR @07=C284325B581FBC836E
1204.50ns INFO [00001206] Port=1 RD @05
1205.50ns INFO [00001207] Port=0 RD @07
1206.50ns INFO [00001208] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3
1207.50ns INFO [00001209] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E
1207.50ns INFO [00001209] Port=0 RD @02
1208.50ns INFO [00001210] Port=1 RD @07
1209.50ns INFO [00001211] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1209.50ns INFO [00001211] Port=1 RD @02
1210.50ns INFO [00001212] * RD COMPARE * port=1 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E
1210.50ns INFO [00001212] Port=0 WR @00=49B7EFF494D6C09E06
1210.50ns INFO [00001212] Port=0 RD @02
1210.50ns INFO [00001212] Port=1 RD @03
1211.50ns INFO [00001213] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1211.50ns INFO [00001213] Port=0 WR @06=0BD141612B0A3AF5D7
1212.50ns INFO [00001214] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1212.50ns INFO [00001214] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18
1212.50ns INFO [00001214] Port=1 RD @03
1213.50ns INFO [00001215] Port=1 RD @07
1214.50ns INFO [00001216] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18
1215.50ns INFO [00001217] * RD COMPARE * port=1 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E
1215.50ns INFO [00001217] Port=1 RD @03
1216.50ns INFO [00001218] Port=1 RD @00
1217.50ns INFO [00001219] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18
1217.50ns INFO [00001219] Port=1 RD @05
1218.50ns INFO [00001220] * RD COMPARE * port=1 adr=00 act=49B7EFF494D6C09E06 exp=49B7EFF494D6C09E06
1218.50ns INFO [00001220] Port=0 WR @00=F22866A2847E368F36
1218.50ns INFO [00001220] Port=0 RD @06
1218.50ns INFO [00001220] Port=1 RD @03
1219.50ns INFO [00001221] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3
1219.50ns INFO [00001221] Port=0 WR @04=B7763C3309FC850050
1220.50ns INFO [00001222] * RD COMPARE * port=0 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1220.50ns INFO [00001222] * RD COMPARE * port=1 adr=03 act=1532E87BEBB4B6CC18 exp=1532E87BEBB4B6CC18
1220.50ns INFO [00001222] Port=0 RD @04
1221.50ns INFO [00001223] Port=0 WR @00=9CFF1A5A6CEA624654
1221.50ns INFO [00001223] Port=1 RD @06
1222.50ns INFO [00001224] * RD COMPARE * port=0 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050
1223.50ns INFO [00001225] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1223.50ns INFO [00001225] Port=1 RD @02
1224.50ns INFO [00001226] Port=0 WR @01=B2D512DE05A9CA5345
1224.50ns INFO [00001226] Port=0 RD @05
1224.50ns INFO [00001226] Port=1 RD @04
1225.50ns INFO [00001227] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1225.50ns INFO [00001227] Port=0 RD @07
1225.50ns INFO [00001227] Port=1 RD @06
1226.50ns INFO [00001228] * RD COMPARE * port=0 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3
1226.50ns INFO [00001228] * RD COMPARE * port=1 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050
1226.50ns INFO [00001228] Port=0 RD @04
1227.50ns INFO [00001229] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E
1227.50ns INFO [00001229] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1227.50ns INFO [00001229] Port=1 RD @05
1228.50ns INFO [00001230] * RD COMPARE * port=0 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050
1228.50ns INFO [00001230] Port=1 RD @04
1229.50ns INFO [00001231] * RD COMPARE * port=1 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3
1229.50ns INFO [00001231] Port=0 RD @00
1230.50ns INFO [00001232] * RD COMPARE * port=1 adr=04 act=B7763C3309FC850050 exp=B7763C3309FC850050
1230.50ns INFO [00001232] Port=0 WR @03=50C88F7AF695B1FA45
1230.50ns INFO [00001232] Port=0 RD @06
1230.50ns INFO [00001232] Port=1 RD @06
1231.50ns INFO [00001233] * RD COMPARE * port=0 adr=00 act=9CFF1A5A6CEA624654 exp=9CFF1A5A6CEA624654
1231.50ns INFO [00001233] Port=0 WR @04=5C93A89E70C18296F9
1231.50ns INFO [00001233] Port=0 RD @05
1232.50ns INFO [00001234] * RD COMPARE * port=0 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1232.50ns INFO [00001234] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1233.50ns INFO [00001235] * RD COMPARE * port=0 adr=05 act=427DDDC2B76AE15CE3 exp=427DDDC2B76AE15CE3
1233.50ns INFO [00001235] Port=0 WR @05=EA61398AB045036277
1233.50ns INFO [00001235] Port=1 RD @06
1235.50ns INFO [00001237] * RD COMPARE * port=1 adr=06 act=0BD141612B0A3AF5D7 exp=0BD141612B0A3AF5D7
1235.50ns INFO [00001237] Port=0 RD @07
1236.50ns INFO [00001238] Port=0 WR @06=93A07B4DD6FF170128
1237.50ns INFO [00001239] * RD COMPARE * port=0 adr=07 act=C284325B581FBC836E exp=C284325B581FBC836E
1237.50ns INFO [00001239] Port=0 WR @07=D521D66AEFE08C62B1
1237.50ns INFO [00001239] Port=1 RD @03
1238.50ns INFO [00001240] Port=0 RD @03
1239.50ns INFO [00001241] * RD COMPARE * port=1 adr=03 act=50C88F7AF695B1FA45 exp=50C88F7AF695B1FA45
1239.50ns INFO [00001241] Port=1 RD @00
1240.50ns INFO [00001242] * RD COMPARE * port=0 adr=03 act=50C88F7AF695B1FA45 exp=50C88F7AF695B1FA45
1240.50ns INFO [00001242] Port=0 WR @03=53981940A4AF35426C
1240.50ns INFO [00001242] Port=0 RD @01
1241.50ns INFO [00001243] * RD COMPARE * port=1 adr=00 act=9CFF1A5A6CEA624654 exp=9CFF1A5A6CEA624654
1241.50ns INFO [00001243] Port=0 WR @06=D0C49DFA7233C3276A
1241.50ns INFO [00001243] Port=0 RD @05
1242.50ns INFO [00001244] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1242.50ns INFO [00001244] Port=0 WR @03=64C5408E88CAFA64B3
1243.50ns INFO [00001245] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277
1243.50ns INFO [00001245] Port=1 RD @03
1244.50ns INFO [00001246] Port=0 RD @01
1244.50ns INFO [00001246] Port=1 RD @06
1245.50ns INFO [00001247] * RD COMPARE * port=1 adr=03 act=64C5408E88CAFA64B3 exp=64C5408E88CAFA64B3
1246.50ns INFO [00001248] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1246.50ns INFO [00001248] * RD COMPARE * port=1 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A
1247.50ns INFO [00001249] Port=0 RD @04
1247.50ns INFO [00001249] Port=1 RD @07
1248.50ns INFO [00001250] Port=0 RD @05
1249.50ns INFO [00001251] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9
1249.50ns INFO [00001251] * RD COMPARE * port=1 adr=07 act=D521D66AEFE08C62B1 exp=D521D66AEFE08C62B1
1249.50ns INFO [00001251] Port=0 RD @01
1250.50ns INFO [00001252] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277
1250.50ns INFO [00001252] Port=0 RD @07
1251.50ns INFO [00001253] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1251.50ns INFO [00001253] Port=0 WR @07=E5E50A0BBD79B0D9FD
1251.50ns INFO [00001253] Port=0 RD @02
1252.50ns INFO [00001254] * RD COMPARE * port=0 adr=07 act=D521D66AEFE08C62B1 exp=D521D66AEFE08C62B1
1252.50ns INFO [00001254] Port=0 RD @04
1253.50ns INFO [00001255] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1253.50ns INFO [00001255] Port=0 RD @02
1253.50ns INFO [00001255] Port=1 RD @06
1254.50ns INFO [00001256] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9
1254.50ns INFO [00001256] Port=0 RD @05
1254.50ns INFO [00001256] Port=1 RD @01
1255.50ns INFO [00001257] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1255.50ns INFO [00001257] * RD COMPARE * port=1 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A
1255.50ns INFO [00001257] Port=0 RD @04
1256.50ns INFO [00001258] * RD COMPARE * port=0 adr=05 act=EA61398AB045036277 exp=EA61398AB045036277
1256.50ns INFO [00001258] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1256.50ns INFO [00001258] Port=0 WR @05=933DE324223355D9A2
1257.50ns INFO [00001259] * RD COMPARE * port=0 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9
1258.50ns INFO [00001260] Port=0 RD @06
1258.50ns INFO [00001260] Port=1 RD @05
1259.50ns INFO [00001261] Port=0 WR @05=4B26F5E43A100B0E6F
1260.50ns INFO [00001262] * RD COMPARE * port=0 adr=06 act=D0C49DFA7233C3276A exp=D0C49DFA7233C3276A
1260.50ns INFO [00001262] * RD COMPARE * port=1 adr=05 act=933DE324223355D9A2 exp=933DE324223355D9A2
1260.50ns INFO [00001262] Port=0 RD @05
1260.50ns INFO [00001262] Port=1 RD @04
1261.50ns INFO [00001263] Port=0 RD @02
1262.50ns INFO [00001264] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F
1262.50ns INFO [00001264] * RD COMPARE * port=1 adr=04 act=5C93A89E70C18296F9 exp=5C93A89E70C18296F9
1262.50ns INFO [00001264] Port=0 WR @04=D5BE17DC06FBA33363
1263.50ns INFO [00001265] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1264.50ns INFO [00001266] Port=1 RD @02
1265.50ns INFO [00001267] Port=0 WR @06=97212DF7DFF6910E28
1266.50ns INFO [00001268] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1266.50ns INFO [00001268] Port=0 WR @00=54D2F0AAAE7AC65CF6
1267.50ns INFO [00001269] Port=0 WR @04=5EF72B2B9AF1F38761
1267.50ns INFO [00001269] Port=0 RD @02
1268.50ns INFO [00001270] Port=0 WR @03=58B6BF57E8971F89A2
1268.50ns INFO [00001270] Port=1 RD @02
1269.50ns INFO [00001271] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1269.50ns INFO [00001271] Port=1 RD @04
1270.50ns INFO [00001272] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1270.50ns INFO [00001272] Port=0 RD @02
1271.50ns INFO [00001273] * RD COMPARE * port=1 adr=04 act=5EF72B2B9AF1F38761 exp=5EF72B2B9AF1F38761
1271.50ns INFO [00001273] Port=0 RD @02
1272.50ns INFO [00001274] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1273.50ns INFO [00001275] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1273.50ns INFO [00001275] Port=0 WR @00=1C2733AC6B40674552
1273.50ns INFO [00001275] Port=0 RD @07
1274.50ns INFO [00001276] Port=0 WR @04=514F3B62A8187BDFFA
1275.50ns INFO [00001277] * RD COMPARE * port=0 adr=07 act=E5E50A0BBD79B0D9FD exp=E5E50A0BBD79B0D9FD
1275.50ns INFO [00001277] Port=1 RD @04
1276.50ns INFO [00001278] Port=0 WR @04=7E8414CA35FE2A0FCA
1277.50ns INFO [00001279] * RD COMPARE * port=1 adr=04 act=514F3B62A8187BDFFA exp=514F3B62A8187BDFFA
1278.50ns INFO [00001280] Port=0 WR @04=956F303C9A03CD0FDF
1278.50ns INFO [00001280] Port=0 RD @02
1279.50ns INFO [00001281] Port=0 RD @07
1280.50ns INFO [00001282] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1281.50ns INFO [00001283] * RD COMPARE * port=0 adr=07 act=E5E50A0BBD79B0D9FD exp=E5E50A0BBD79B0D9FD
1282.50ns INFO [00001284] Port=0 WR @07=5C5EDE88F36244DFC6
1282.50ns INFO [00001284] Port=0 RD @02
1282.50ns INFO [00001284] Port=1 RD @00
1283.50ns INFO [00001285] Port=0 RD @05
1284.50ns INFO [00001286] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1284.50ns INFO [00001286] * RD COMPARE * port=1 adr=00 act=1C2733AC6B40674552 exp=1C2733AC6B40674552
1284.50ns INFO [00001286] Port=1 RD @07
1285.50ns INFO [00001287] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F
1285.50ns INFO [00001287] Port=0 RD @07
1285.50ns INFO [00001287] Port=1 RD @04
1286.50ns INFO [00001288] * RD COMPARE * port=1 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6
1286.50ns INFO [00001288] Port=0 WR @06=A6C0A5B08DC3FDC42A
1286.50ns INFO [00001288] Port=0 RD @05
1286.50ns INFO [00001288] Port=1 RD @05
1287.50ns INFO [00001289] * RD COMPARE * port=0 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6
1287.50ns INFO [00001289] * RD COMPARE * port=1 adr=04 act=956F303C9A03CD0FDF exp=956F303C9A03CD0FDF
1288.50ns INFO [00001290] * RD COMPARE * port=0 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F
1288.50ns INFO [00001290] * RD COMPARE * port=1 adr=05 act=4B26F5E43A100B0E6F exp=4B26F5E43A100B0E6F
1288.50ns INFO [00001290] Port=0 WR @04=42013B2EB42B549DE2
1288.50ns INFO [00001290] Port=0 RD @07
1289.50ns INFO [00001291] Port=0 WR @00=5CDEA6833D8BB02864
1289.50ns INFO [00001291] Port=0 RD @06
1290.50ns INFO [00001292] * RD COMPARE * port=0 adr=07 act=5C5EDE88F36244DFC6 exp=5C5EDE88F36244DFC6
1290.50ns INFO [00001292] Port=0 WR @05=206114028930B79059
1291.50ns INFO [00001293] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A
1291.50ns INFO [00001293] Port=0 WR @07=B26812E420D7188C6A
1291.50ns INFO [00001293] Port=0 RD @02
1291.50ns INFO [00001293] Port=1 RD @01
1292.50ns INFO [00001294] Port=0 WR @03=6972D9813BDEF838DE
1293.50ns INFO [00001295] * RD COMPARE * port=0 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1293.50ns INFO [00001295] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1293.50ns INFO [00001295] Port=0 RD @01
1294.50ns INFO [00001296] Port=0 RD @01
1295.50ns INFO [00001297] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1296.50ns INFO [00001298] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1296.50ns INFO [00001298] Port=0 WR @03=255C1A840C9BA5856D
1296.50ns INFO [00001298] Port=0 RD @07
1296.50ns INFO [00001298] Port=1 RD @01
1297.50ns INFO [00001299] Port=0 RD @06
1297.50ns INFO [00001299] Port=1 RD @05
1298.00ns INFO [00001300] [00001300] ...tick...
1298.50ns INFO [00001300] * RD COMPARE * port=0 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A
1298.50ns INFO [00001300] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1298.50ns INFO [00001300] Port=0 WR @03=D16EF91500651AE54F
1298.50ns INFO [00001300] Port=1 RD @00
1299.50ns INFO [00001301] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A
1299.50ns INFO [00001301] * RD COMPARE * port=1 adr=05 act=206114028930B79059 exp=206114028930B79059
1300.50ns INFO [00001302] * RD COMPARE * port=1 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864
1300.50ns INFO [00001302] Port=0 WR @03=CC4C7048497BCDF63B
1300.50ns INFO [00001302] Port=1 RD @05
1301.50ns INFO [00001303] Port=0 WR @05=4977E5F5D157DD3F37
1301.50ns INFO [00001303] Port=1 RD @02
1302.50ns INFO [00001304] * RD COMPARE * port=1 adr=05 act=206114028930B79059 exp=206114028930B79059
1302.50ns INFO [00001304] Port=0 WR @03=49AA651808C160F626
1303.50ns INFO [00001305] * RD COMPARE * port=1 adr=02 act=E595D83668391D3D69 exp=E595D83668391D3D69
1303.50ns INFO [00001305] Port=0 RD @05
1303.50ns INFO [00001305] Port=1 RD @01
1305.50ns INFO [00001307] * RD COMPARE * port=0 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37
1305.50ns INFO [00001307] * RD COMPARE * port=1 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1306.50ns INFO [00001308] Port=0 RD @03
1308.50ns INFO [00001310] * RD COMPARE * port=0 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626
1308.50ns INFO [00001310] Port=1 RD @07
1309.50ns INFO [00001311] Port=0 RD @05
1310.50ns INFO [00001312] * RD COMPARE * port=1 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A
1310.50ns INFO [00001312] Port=0 WR @02=97B976E2D7ED8EC914
1310.50ns INFO [00001312] Port=0 RD @01
1311.50ns INFO [00001313] * RD COMPARE * port=0 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37
1312.50ns INFO [00001314] * RD COMPARE * port=0 adr=01 act=B2D512DE05A9CA5345 exp=B2D512DE05A9CA5345
1312.50ns INFO [00001314] Port=0 RD @06
1313.50ns INFO [00001315] Port=1 RD @04
1314.50ns INFO [00001316] * RD COMPARE * port=0 adr=06 act=A6C0A5B08DC3FDC42A exp=A6C0A5B08DC3FDC42A
1314.50ns INFO [00001316] Port=0 WR @01=9F8AE091FBFA8CF522
1315.50ns INFO [00001317] * RD COMPARE * port=1 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2
1315.50ns INFO [00001317] Port=0 RD @00
1315.50ns INFO [00001317] Port=1 RD @07
1316.50ns INFO [00001318] Port=0 WR @07=5167CA98FB2427AC99
1317.50ns INFO [00001319] * RD COMPARE * port=0 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864
1317.50ns INFO [00001319] * RD COMPARE * port=1 adr=07 act=B26812E420D7188C6A exp=B26812E420D7188C6A
1317.50ns INFO [00001319] Port=0 WR @01=5DB79D7A398C508431
1317.50ns INFO [00001319] Port=1 RD @03
1318.50ns INFO [00001320] Port=1 RD @03
1319.50ns INFO [00001321] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626
1320.50ns INFO [00001322] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626
1320.50ns INFO [00001322] Port=0 RD @00
1320.50ns INFO [00001322] Port=1 RD @00
1321.50ns INFO [00001323] Port=0 RD @02
1322.50ns INFO [00001324] * RD COMPARE * port=0 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864
1322.50ns INFO [00001324] * RD COMPARE * port=1 adr=00 act=5CDEA6833D8BB02864 exp=5CDEA6833D8BB02864
1322.50ns INFO [00001324] Port=0 RD @04
1322.50ns INFO [00001324] Port=1 RD @02
1323.50ns INFO [00001325] * RD COMPARE * port=0 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914
1324.50ns INFO [00001326] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2
1324.50ns INFO [00001326] * RD COMPARE * port=1 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914
1324.50ns INFO [00001326] Port=0 WR @06=A60A3D688A1923AF06
1325.50ns INFO [00001327] Port=1 RD @05
1326.50ns INFO [00001328] Port=0 RD @02
1327.50ns INFO [00001329] * RD COMPARE * port=1 adr=05 act=4977E5F5D157DD3F37 exp=4977E5F5D157DD3F37
1327.50ns INFO [00001329] Port=0 WR @05=9883E73C92E6DE5854
1328.50ns INFO [00001330] * RD COMPARE * port=0 adr=02 act=97B976E2D7ED8EC914 exp=97B976E2D7ED8EC914
1328.50ns INFO [00001330] Port=0 WR @05=492ACD977854ED629E
1330.50ns INFO [00001332] Port=0 WR @02=4A35C0F3486D9BC544
1331.50ns INFO [00001333] Port=0 WR @01=716866D93A8D37075E
1331.50ns INFO [00001333] Port=0 RD @04
1333.50ns INFO [00001335] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2
1333.50ns INFO [00001335] Port=0 WR @02=1E5EBD20739DDF1B00
1333.50ns INFO [00001335] Port=0 RD @04
1335.50ns INFO [00001337] * RD COMPARE * port=0 adr=04 act=42013B2EB42B549DE2 exp=42013B2EB42B549DE2
1335.50ns INFO [00001337] Port=0 WR @04=6163D14D7D2F1F2054
1335.50ns INFO [00001337] Port=0 RD @01
1335.50ns INFO [00001337] Port=1 RD @06
1336.50ns INFO [00001338] Port=0 RD @04
1336.50ns INFO [00001338] Port=1 RD @02
1337.50ns INFO [00001339] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E
1337.50ns INFO [00001339] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1337.50ns INFO [00001339] Port=0 WR @00=95AC9287AF96B6A571
1337.50ns INFO [00001339] Port=0 RD @06
1337.50ns INFO [00001339] Port=1 RD @03
1338.50ns INFO [00001340] * RD COMPARE * port=0 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054
1338.50ns INFO [00001340] * RD COMPARE * port=1 adr=02 act=1E5EBD20739DDF1B00 exp=1E5EBD20739DDF1B00
1338.50ns INFO [00001340] Port=0 RD @05
1339.50ns INFO [00001341] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1339.50ns INFO [00001341] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626
1340.50ns INFO [00001342] * RD COMPARE * port=0 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E
1340.50ns INFO [00001342] Port=1 RD @03
1341.50ns INFO [00001343] Port=0 WR @02=C760FEF8894A0C3C6B
1341.50ns INFO [00001343] Port=0 RD @01
1341.50ns INFO [00001343] Port=1 RD @06
1342.50ns INFO [00001344] * RD COMPARE * port=1 adr=03 act=49AA651808C160F626 exp=49AA651808C160F626
1342.50ns INFO [00001344] Port=0 WR @07=97F92EF5E8AC1FAE89
1342.50ns INFO [00001344] Port=1 RD @00
1343.50ns INFO [00001345] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E
1343.50ns INFO [00001345] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1343.50ns INFO [00001345] Port=1 RD @07
1344.50ns INFO [00001346] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1344.50ns INFO [00001346] Port=0 RD @06
1345.50ns INFO [00001347] * RD COMPARE * port=1 adr=07 act=97F92EF5E8AC1FAE89 exp=97F92EF5E8AC1FAE89
1345.50ns INFO [00001347] Port=0 RD @06
1346.50ns INFO [00001348] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1346.50ns INFO [00001348] Port=0 WR @07=7745808712ADB68A84
1346.50ns INFO [00001348] Port=1 RD @02
1347.50ns INFO [00001349] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1348.50ns INFO [00001350] * RD COMPARE * port=1 adr=02 act=C760FEF8894A0C3C6B exp=C760FEF8894A0C3C6B
1348.50ns INFO [00001350] Port=0 WR @03=4EDBCB1F6633E76121
1348.50ns INFO [00001350] Port=1 RD @00
1349.50ns INFO [00001351] Port=1 RD @04
1350.50ns INFO [00001352] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1350.50ns INFO [00001352] Port=0 WR @02=4139A7AFC73DB88873
1350.50ns INFO [00001352] Port=1 RD @03
1351.50ns INFO [00001353] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054
1351.50ns INFO [00001353] Port=1 RD @00
1352.50ns INFO [00001354] * RD COMPARE * port=1 adr=03 act=4EDBCB1F6633E76121 exp=4EDBCB1F6633E76121
1352.50ns INFO [00001354] Port=0 RD @06
1352.50ns INFO [00001354] Port=1 RD @04
1353.50ns INFO [00001355] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1353.50ns INFO [00001355] Port=1 RD @02
1354.50ns INFO [00001356] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1354.50ns INFO [00001356] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054
1354.50ns INFO [00001356] Port=0 WR @03=07DBBB92CF0174CE92
1354.50ns INFO [00001356] Port=1 RD @06
1355.50ns INFO [00001357] * RD COMPARE * port=1 adr=02 act=4139A7AFC73DB88873 exp=4139A7AFC73DB88873
1355.50ns INFO [00001357] Port=1 RD @04
1356.50ns INFO [00001358] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1356.50ns INFO [00001358] Port=0 WR @02=5CBB2D4160E8D46360
1356.50ns INFO [00001358] Port=0 RD @06
1357.50ns INFO [00001359] * RD COMPARE * port=1 adr=04 act=6163D14D7D2F1F2054 exp=6163D14D7D2F1F2054
1357.50ns INFO [00001359] Port=1 RD @03
1358.50ns INFO [00001360] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1358.50ns INFO [00001360] Port=0 RD @01
1359.50ns INFO [00001361] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92
1359.50ns INFO [00001361] Port=1 RD @00
1360.50ns INFO [00001362] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E
1360.50ns INFO [00001362] Port=0 RD @06
1360.50ns INFO [00001362] Port=1 RD @06
1361.50ns INFO [00001363] * RD COMPARE * port=1 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1361.50ns INFO [00001363] Port=0 RD @06
1362.50ns INFO [00001364] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1362.50ns INFO [00001364] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1362.50ns INFO [00001364] Port=0 RD @01
1362.50ns INFO [00001364] Port=1 RD @03
1363.50ns INFO [00001365] * RD COMPARE * port=0 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1363.50ns INFO [00001365] Port=1 RD @03
1364.50ns INFO [00001366] * RD COMPARE * port=0 adr=01 act=716866D93A8D37075E exp=716866D93A8D37075E
1364.50ns INFO [00001366] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92
1364.50ns INFO [00001366] Port=1 RD @05
1365.50ns INFO [00001367] * RD COMPARE * port=1 adr=03 act=07DBBB92CF0174CE92 exp=07DBBB92CF0174CE92
1365.50ns INFO [00001367] Port=0 WR @03=34B21A11B48E3CB5ED
1365.50ns INFO [00001367] Port=0 RD @00
1366.50ns INFO [00001368] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E
1366.50ns INFO [00001368] Port=0 RD @00
1367.50ns INFO [00001369] * RD COMPARE * port=0 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1367.50ns INFO [00001369] Port=1 RD @07
1368.50ns INFO [00001370] * RD COMPARE * port=0 adr=00 act=95AC9287AF96B6A571 exp=95AC9287AF96B6A571
1369.50ns INFO [00001371] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1369.50ns INFO [00001371] Port=0 WR @02=CC23CD56CF47FD7D83
1369.50ns INFO [00001371] Port=1 RD @03
1370.50ns INFO [00001372] Port=0 RD @02
1371.50ns INFO [00001373] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1371.50ns INFO [00001373] Port=0 WR @00=935AE0BF74876FA10D
1371.50ns INFO [00001373] Port=1 RD @06
1372.50ns INFO [00001374] * RD COMPARE * port=0 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83
1372.50ns INFO [00001374] Port=1 RD @03
1373.50ns INFO [00001375] * RD COMPARE * port=1 adr=06 act=A60A3D688A1923AF06 exp=A60A3D688A1923AF06
1373.50ns INFO [00001375] Port=0 RD @00
1374.50ns INFO [00001376] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1374.50ns INFO [00001376] Port=0 RD @00
1374.50ns INFO [00001376] Port=1 RD @02
1375.50ns INFO [00001377] * RD COMPARE * port=0 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D
1375.50ns INFO [00001377] Port=0 WR @06=B36B616C3C4A32134D
1376.50ns INFO [00001378] * RD COMPARE * port=0 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D
1376.50ns INFO [00001378] * RD COMPARE * port=1 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83
1376.50ns INFO [00001378] Port=1 RD @06
1377.50ns INFO [00001379] Port=0 WR @04=F2ADB04C24BE1842E2
1377.50ns INFO [00001379] Port=0 RD @02
1378.50ns INFO [00001380] * RD COMPARE * port=1 adr=06 act=B36B616C3C4A32134D exp=B36B616C3C4A32134D
1379.50ns INFO [00001381] * RD COMPARE * port=0 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83
1380.50ns INFO [00001382] Port=0 RD @07
1380.50ns INFO [00001382] Port=1 RD @06
1381.50ns INFO [00001383] Port=0 WR @01=E76F939D48870956E0
1381.50ns INFO [00001383] Port=1 RD @00
1382.50ns INFO [00001384] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1382.50ns INFO [00001384] * RD COMPARE * port=1 adr=06 act=B36B616C3C4A32134D exp=B36B616C3C4A32134D
1382.50ns INFO [00001384] Port=1 RD @02
1383.50ns INFO [00001385] * RD COMPARE * port=1 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D
1383.50ns INFO [00001385] Port=1 RD @05
1384.50ns INFO [00001386] * RD COMPARE * port=1 adr=02 act=CC23CD56CF47FD7D83 exp=CC23CD56CF47FD7D83
1385.50ns INFO [00001387] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E
1385.50ns INFO [00001387] Port=0 WR @02=262413163CBD3C6990
1385.50ns INFO [00001387] Port=0 RD @03
1385.50ns INFO [00001387] Port=1 RD @03
1386.50ns INFO [00001388] Port=0 WR @06=2F2C02D4EAC9E79743
1386.50ns INFO [00001388] Port=0 RD @04
1386.50ns INFO [00001388] Port=1 RD @05
1387.50ns INFO [00001389] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1387.50ns INFO [00001389] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1388.50ns INFO [00001390] * RD COMPARE * port=0 adr=04 act=F2ADB04C24BE1842E2 exp=F2ADB04C24BE1842E2
1388.50ns INFO [00001390] * RD COMPARE * port=1 adr=05 act=492ACD977854ED629E exp=492ACD977854ED629E
1388.50ns INFO [00001390] Port=0 RD @06
1388.50ns INFO [00001390] Port=1 RD @07
1389.50ns INFO [00001391] Port=0 RD @06
1390.50ns INFO [00001392] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1390.50ns INFO [00001392] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1391.50ns INFO [00001393] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1391.50ns INFO [00001393] Port=0 RD @07
1392.50ns INFO [00001394] Port=0 WR @05=4BB0DD34DB3623CBB2
1392.50ns INFO [00001394] Port=1 RD @04
1393.50ns INFO [00001395] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1393.50ns INFO [00001395] Port=0 RD @05
1394.50ns INFO [00001396] * RD COMPARE * port=1 adr=04 act=F2ADB04C24BE1842E2 exp=F2ADB04C24BE1842E2
1394.50ns INFO [00001396] Port=0 WR @02=0DE2E3386066F3407B
1395.50ns INFO [00001397] * RD COMPARE * port=0 adr=05 act=4BB0DD34DB3623CBB2 exp=4BB0DD34DB3623CBB2
1395.50ns INFO [00001397] Port=1 RD @01
1396.50ns INFO [00001398] Port=0 RD @06
1397.50ns INFO [00001399] * RD COMPARE * port=1 adr=01 act=E76F939D48870956E0 exp=E76F939D48870956E0
1397.50ns INFO [00001399] Port=0 RD @02
1398.00ns INFO [00001400] [00001400] ...tick...
1398.50ns INFO [00001400] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1398.50ns INFO [00001400] Port=1 RD @07
1399.50ns INFO [00001401] * RD COMPARE * port=0 adr=02 act=0DE2E3386066F3407B exp=0DE2E3386066F3407B
1399.50ns INFO [00001401] Port=0 RD @03
1399.50ns INFO [00001401] Port=1 RD @00
1400.50ns INFO [00001402] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1400.50ns INFO [00001402] Port=1 RD @06
1401.50ns INFO [00001403] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1401.50ns INFO [00001403] * RD COMPARE * port=1 adr=00 act=935AE0BF74876FA10D exp=935AE0BF74876FA10D
1401.50ns INFO [00001403] Port=0 WR @00=2605E6BE28019F98C4
1401.50ns INFO [00001403] Port=0 RD @05
1401.50ns INFO [00001403] Port=1 RD @06
1402.50ns INFO [00001404] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1402.50ns INFO [00001404] Port=0 WR @01=61BFD1DD5D3F57D93A
1402.50ns INFO [00001404] Port=1 RD @06
1403.50ns INFO [00001405] * RD COMPARE * port=0 adr=05 act=4BB0DD34DB3623CBB2 exp=4BB0DD34DB3623CBB2
1403.50ns INFO [00001405] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1403.50ns INFO [00001405] Port=0 WR @01=C81AA7AA7BA7CAC5DA
1403.50ns INFO [00001405] Port=0 RD @03
1404.50ns INFO [00001406] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1404.50ns INFO [00001406] Port=0 WR @05=6ED2041C325A0E8788
1404.50ns INFO [00001406] Port=0 RD @07
1405.50ns INFO [00001407] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1406.50ns INFO [00001408] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1406.50ns INFO [00001408] Port=0 RD @03
1406.50ns INFO [00001408] Port=1 RD @00
1407.50ns INFO [00001409] Port=0 WR @04=1A9D84C09D9872D6B3
1408.50ns INFO [00001410] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1408.50ns INFO [00001410] * RD COMPARE * port=1 adr=00 act=2605E6BE28019F98C4 exp=2605E6BE28019F98C4
1408.50ns INFO [00001410] Port=0 WR @01=0A9B9897566D888FD2
1408.50ns INFO [00001410] Port=1 RD @05
1409.50ns INFO [00001411] Port=0 RD @04
1410.50ns INFO [00001412] * RD COMPARE * port=1 adr=05 act=6ED2041C325A0E8788 exp=6ED2041C325A0E8788
1411.50ns INFO [00001413] * RD COMPARE * port=0 adr=04 act=1A9D84C09D9872D6B3 exp=1A9D84C09D9872D6B3
1411.50ns INFO [00001413] Port=0 RD @06
1411.50ns INFO [00001413] Port=1 RD @04
1412.50ns INFO [00001414] Port=1 RD @07
1413.50ns INFO [00001415] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1413.50ns INFO [00001415] * RD COMPARE * port=1 adr=04 act=1A9D84C09D9872D6B3 exp=1A9D84C09D9872D6B3
1413.50ns INFO [00001415] Port=0 WR @00=0C054F258A1A081B92
1414.50ns INFO [00001416] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1414.50ns INFO [00001416] Port=0 WR @00=3F447D4AE9ECE3F025
1414.50ns INFO [00001416] Port=0 RD @01
1416.50ns INFO [00001418] * RD COMPARE * port=0 adr=01 act=0A9B9897566D888FD2 exp=0A9B9897566D888FD2
1416.50ns INFO [00001418] Port=0 WR @00=E1CA90B43CA2B6FA4F
1416.50ns INFO [00001418] Port=1 RD @03
1417.50ns INFO [00001419] Port=0 WR @04=5AAD4A047A873AEDF9
1418.50ns INFO [00001420] * RD COMPARE * port=1 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1419.50ns INFO [00001421] Port=0 RD @07
1419.50ns INFO [00001421] Port=1 RD @05
1420.50ns INFO [00001422] Port=0 WR @02=90D9FD860E2C65E479
1420.50ns INFO [00001422] Port=0 RD @07
1421.50ns INFO [00001423] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1421.50ns INFO [00001423] * RD COMPARE * port=1 adr=05 act=6ED2041C325A0E8788 exp=6ED2041C325A0E8788
1421.50ns INFO [00001423] Port=0 WR @05=237C9E70CCDC1A4432
1422.50ns INFO [00001424] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1422.50ns INFO [00001424] Port=0 RD @03
1424.50ns INFO [00001426] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1424.50ns INFO [00001426] Port=1 RD @07
1425.50ns INFO [00001427] Port=0 RD @05
1425.50ns INFO [00001427] Port=1 RD @06
1426.50ns INFO [00001428] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1426.50ns INFO [00001428] Port=0 WR @01=1FAE2C8CAA142E4448
1426.50ns INFO [00001428] Port=0 RD @02
1427.50ns INFO [00001429] * RD COMPARE * port=0 adr=05 act=237C9E70CCDC1A4432 exp=237C9E70CCDC1A4432
1427.50ns INFO [00001429] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1427.50ns INFO [00001429] Port=0 RD @03
1428.50ns INFO [00001430] * RD COMPARE * port=0 adr=02 act=90D9FD860E2C65E479 exp=90D9FD860E2C65E479
1428.50ns INFO [00001430] Port=0 WR @04=E9EFAFA34C65B868D2
1429.50ns INFO [00001431] * RD COMPARE * port=0 adr=03 act=34B21A11B48E3CB5ED exp=34B21A11B48E3CB5ED
1429.50ns INFO [00001431] Port=0 WR @05=FF5CA06144893F1E17
1429.50ns INFO [00001431] Port=0 RD @01
1430.50ns INFO [00001432] Port=0 RD @01
1430.50ns INFO [00001432] Port=1 RD @06
1431.50ns INFO [00001433] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448
1431.50ns INFO [00001433] Port=0 RD @06
1432.50ns INFO [00001434] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448
1432.50ns INFO [00001434] * RD COMPARE * port=1 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1432.50ns INFO [00001434] Port=0 WR @06=3107705E61C927F1C1
1433.50ns INFO [00001435] * RD COMPARE * port=0 adr=06 act=2F2C02D4EAC9E79743 exp=2F2C02D4EAC9E79743
1433.50ns INFO [00001435] Port=0 WR @00=2C00C303541BDA4165
1433.50ns INFO [00001435] Port=0 RD @05
1434.50ns INFO [00001436] Port=0 RD @04
1434.50ns INFO [00001436] Port=1 RD @07
1435.50ns INFO [00001437] * RD COMPARE * port=0 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17
1435.50ns INFO [00001437] Port=0 WR @00=6719FC8A1405B07F94
1435.50ns INFO [00001437] Port=1 RD @07
1436.50ns INFO [00001438] * RD COMPARE * port=0 adr=04 act=E9EFAFA34C65B868D2 exp=E9EFAFA34C65B868D2
1436.50ns INFO [00001438] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1436.50ns INFO [00001438] Port=0 WR @06=44D6F777A767CAD489
1437.50ns INFO [00001439] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1437.50ns INFO [00001439] Port=0 WR @03=D05FEDFBCF35767861
1438.50ns INFO [00001440] Port=0 WR @02=1D39E4B68F907A43F6
1438.50ns INFO [00001440] Port=1 RD @00
1439.50ns INFO [00001441] Port=0 WR @04=071FD7D1F7977140C8
1440.50ns INFO [00001442] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1440.50ns INFO [00001442] Port=0 WR @02=F60C44AE81AF110B20
1440.50ns INFO [00001442] Port=0 RD @07
1441.50ns INFO [00001443] Port=1 RD @07
1442.50ns INFO [00001444] * RD COMPARE * port=0 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1442.50ns INFO [00001444] Port=0 WR @04=A639AA7EBE5FEC20F3
1442.50ns INFO [00001444] Port=0 RD @01
1442.50ns INFO [00001444] Port=1 RD @02
1443.50ns INFO [00001445] * RD COMPARE * port=1 adr=07 act=7745808712ADB68A84 exp=7745808712ADB68A84
1443.50ns INFO [00001445] Port=0 WR @02=45C65916F3F6AAB35B
1443.50ns INFO [00001445] Port=1 RD @03
1444.50ns INFO [00001446] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448
1444.50ns INFO [00001446] * RD COMPARE * port=1 adr=02 act=F60C44AE81AF110B20 exp=F60C44AE81AF110B20
1444.50ns INFO [00001446] Port=1 RD @00
1445.50ns INFO [00001447] * RD COMPARE * port=1 adr=03 act=D05FEDFBCF35767861 exp=D05FEDFBCF35767861
1446.50ns INFO [00001448] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1446.50ns INFO [00001448] Port=0 RD @05
1447.50ns INFO [00001449] Port=0 RD @01
1448.50ns INFO [00001450] * RD COMPARE * port=0 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17
1448.50ns INFO [00001450] Port=0 WR @07=A46432A05A5C453D73
1448.50ns INFO [00001450] Port=1 RD @01
1449.50ns INFO [00001451] * RD COMPARE * port=0 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448
1449.50ns INFO [00001451] Port=0 WR @03=CBB780BEE1A4F63327
1449.50ns INFO [00001451] Port=0 RD @07
1449.50ns INFO [00001451] Port=1 RD @06
1450.50ns INFO [00001452] * RD COMPARE * port=1 adr=01 act=1FAE2C8CAA142E4448 exp=1FAE2C8CAA142E4448
1450.50ns INFO [00001452] Port=0 RD @06
1450.50ns INFO [00001452] Port=1 RD @05
1451.50ns INFO [00001453] * RD COMPARE * port=0 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73
1451.50ns INFO [00001453] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1452.50ns INFO [00001454] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1452.50ns INFO [00001454] * RD COMPARE * port=1 adr=05 act=FF5CA06144893F1E17 exp=FF5CA06144893F1E17
1453.50ns INFO [00001455] Port=0 WR @03=15D9D3383626B8C371
1453.50ns INFO [00001455] Port=0 RD @02
1453.50ns INFO [00001455] Port=1 RD @00
1454.50ns INFO [00001456] Port=0 RD @04
1454.50ns INFO [00001456] Port=1 RD @04
1455.50ns INFO [00001457] * RD COMPARE * port=0 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B
1455.50ns INFO [00001457] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1455.50ns INFO [00001457] Port=0 WR @05=22D78949FF19EA8B5D
1456.50ns INFO [00001458] * RD COMPARE * port=0 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3
1456.50ns INFO [00001458] * RD COMPARE * port=1 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3
1456.50ns INFO [00001458] Port=0 WR @01=49C16C0CC3ADEFB47F
1456.50ns INFO [00001458] Port=0 RD @03
1456.50ns INFO [00001458] Port=1 RD @04
1457.50ns INFO [00001459] Port=0 WR @03=1CCB67CD804FA78940
1458.50ns INFO [00001460] * RD COMPARE * port=0 adr=03 act=15D9D3383626B8C371 exp=15D9D3383626B8C371
1458.50ns INFO [00001460] * RD COMPARE * port=1 adr=04 act=A639AA7EBE5FEC20F3 exp=A639AA7EBE5FEC20F3
1459.50ns INFO [00001461] Port=1 RD @00
1460.50ns INFO [00001462] Port=0 WR @05=54F435F10B8917CF44
1461.50ns INFO [00001463] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1461.50ns INFO [00001463] Port=1 RD @02
1462.50ns INFO [00001464] Port=0 WR @01=F51B5491B6CC284108
1462.50ns INFO [00001464] Port=0 RD @06
1462.50ns INFO [00001464] Port=1 RD @06
1463.50ns INFO [00001465] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B
1463.50ns INFO [00001465] Port=1 RD @06
1464.50ns INFO [00001466] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1464.50ns INFO [00001466] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1464.50ns INFO [00001466] Port=0 RD @00
1464.50ns INFO [00001466] Port=1 RD @02
1465.50ns INFO [00001467] * RD COMPARE * port=1 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1466.50ns INFO [00001468] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1466.50ns INFO [00001468] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B
1466.50ns INFO [00001468] Port=1 RD @07
1467.50ns INFO [00001469] Port=0 WR @04=1EC0C4FC5225C1646C
1468.50ns INFO [00001470] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73
1468.50ns INFO [00001470] Port=0 WR @03=6C9F9BB011B570A329
1472.50ns INFO [00001474] Port=1 RD @07
1473.50ns INFO [00001475] Port=0 RD @04
1473.50ns INFO [00001475] Port=1 RD @07
1474.50ns INFO [00001476] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73
1474.50ns INFO [00001476] Port=0 WR @07=C2DBB557F77C19982F
1474.50ns INFO [00001476] Port=0 RD @04
1474.50ns INFO [00001476] Port=1 RD @02
1475.50ns INFO [00001477] * RD COMPARE * port=0 adr=04 act=1EC0C4FC5225C1646C exp=1EC0C4FC5225C1646C
1475.50ns INFO [00001477] * RD COMPARE * port=1 adr=07 act=A46432A05A5C453D73 exp=A46432A05A5C453D73
1475.50ns INFO [00001477] Port=0 WR @02=75FFF93898B406E8FA
1475.50ns INFO [00001477] Port=0 RD @01
1475.50ns INFO [00001477] Port=1 RD @00
1476.50ns INFO [00001478] * RD COMPARE * port=0 adr=04 act=1EC0C4FC5225C1646C exp=1EC0C4FC5225C1646C
1476.50ns INFO [00001478] * RD COMPARE * port=1 adr=02 act=45C65916F3F6AAB35B exp=45C65916F3F6AAB35B
1476.50ns INFO [00001478] Port=0 WR @05=8E1B0911FCB174B982
1476.50ns INFO [00001478] Port=0 RD @06
1477.50ns INFO [00001479] * RD COMPARE * port=0 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108
1477.50ns INFO [00001479] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1477.50ns INFO [00001479] Port=0 WR @06=C96B4FE17954A7B18E
1478.50ns INFO [00001480] * RD COMPARE * port=0 adr=06 act=44D6F777A767CAD489 exp=44D6F777A767CAD489
1478.50ns INFO [00001480] Port=0 WR @06=FB3AAC64C3DBD98789
1478.50ns INFO [00001480] Port=0 RD @03
1480.50ns INFO [00001482] * RD COMPARE * port=0 adr=03 act=6C9F9BB011B570A329 exp=6C9F9BB011B570A329
1481.50ns INFO [00001483] Port=0 RD @07
1482.50ns INFO [00001484] Port=0 WR @05=66C876B13127A7485F
1482.50ns INFO [00001484] Port=0 RD @00
1483.50ns INFO [00001485] * RD COMPARE * port=0 adr=07 act=C2DBB557F77C19982F exp=C2DBB557F77C19982F
1483.50ns INFO [00001485] Port=0 WR @04=5C050B24012E09B6FB
1483.50ns INFO [00001485] Port=0 RD @05
1483.50ns INFO [00001485] Port=1 RD @05
1484.50ns INFO [00001486] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1484.50ns INFO [00001486] Port=1 RD @07
1485.50ns INFO [00001487] * RD COMPARE * port=0 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F
1485.50ns INFO [00001487] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F
1485.50ns INFO [00001487] Port=0 RD @06
1486.50ns INFO [00001488] * RD COMPARE * port=1 adr=07 act=C2DBB557F77C19982F exp=C2DBB557F77C19982F
1487.50ns INFO [00001489] * RD COMPARE * port=0 adr=06 act=FB3AAC64C3DBD98789 exp=FB3AAC64C3DBD98789
1487.50ns INFO [00001489] Port=0 WR @02=8265898D8F99D0E8A0
1487.50ns INFO [00001489] Port=1 RD @05
1488.50ns INFO [00001490] Port=0 WR @04=2956E63DF52D45EE3E
1489.50ns INFO [00001491] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F
1490.50ns INFO [00001492] Port=0 WR @07=751F3BEF86AC5B5A46
1490.50ns INFO [00001492] Port=1 RD @06
1492.50ns INFO [00001494] * RD COMPARE * port=1 adr=06 act=FB3AAC64C3DBD98789 exp=FB3AAC64C3DBD98789
1492.50ns INFO [00001494] Port=0 RD @01
1492.50ns INFO [00001494] Port=1 RD @01
1493.50ns INFO [00001495] Port=0 WR @06=EC56120F057AB6B739
1493.50ns INFO [00001495] Port=1 RD @07
1494.50ns INFO [00001496] * RD COMPARE * port=0 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108
1494.50ns INFO [00001496] * RD COMPARE * port=1 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108
1494.50ns INFO [00001496] Port=0 WR @04=271985C5F6B36053B0
1494.50ns INFO [00001496] Port=1 RD @01
1495.50ns INFO [00001497] * RD COMPARE * port=1 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46
1496.50ns INFO [00001498] * RD COMPARE * port=1 adr=01 act=F51B5491B6CC284108 exp=F51B5491B6CC284108
1497.50ns INFO [00001499] Port=0 WR @01=F5A0A6E1D005C90963
1497.50ns INFO [00001499] Port=0 RD @06
1497.50ns INFO [00001499] Port=1 RD @05
1498.00ns INFO [00001500] [00001500] ...tick...
1498.50ns INFO [00001500] Port=0 RD @07
1499.50ns INFO [00001501] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1499.50ns INFO [00001501] * RD COMPARE * port=1 adr=05 act=66C876B13127A7485F exp=66C876B13127A7485F
1499.50ns INFO [00001501] Port=0 WR @01=914D5E852EE803812E
1499.50ns INFO [00001501] Port=0 RD @07
1499.50ns INFO [00001501] Port=1 RD @06
1500.50ns INFO [00001502] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46
1500.50ns INFO [00001502] Port=1 RD @06
1501.50ns INFO [00001503] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46
1501.50ns INFO [00001503] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1501.50ns INFO [00001503] Port=0 RD @02
1502.50ns INFO [00001504] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1503.50ns INFO [00001505] * RD COMPARE * port=0 adr=02 act=8265898D8F99D0E8A0 exp=8265898D8F99D0E8A0
1504.50ns INFO [00001506] Port=0 WR @03=4D3BC51DF34453E94A
1504.50ns INFO [00001506] Port=0 RD @00
1506.50ns INFO [00001508] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1507.50ns INFO [00001509] Port=0 WR @05=AAD28244E4E5385182
1507.50ns INFO [00001509] Port=0 RD @07
1508.50ns INFO [00001510] Port=0 WR @03=E22A3F1CB7D3608E33
1508.50ns INFO [00001510] Port=0 RD @04
1508.50ns INFO [00001510] Port=1 RD @00
1509.50ns INFO [00001511] * RD COMPARE * port=0 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46
1509.50ns INFO [00001511] Port=0 RD @00
1509.50ns INFO [00001511] Port=1 RD @01
1510.50ns INFO [00001512] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0
1510.50ns INFO [00001512] * RD COMPARE * port=1 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1510.50ns INFO [00001512] Port=0 WR @02=D7DC78AE27C4EED361
1510.50ns INFO [00001512] Port=0 RD @04
1511.50ns INFO [00001513] * RD COMPARE * port=0 adr=00 act=6719FC8A1405B07F94 exp=6719FC8A1405B07F94
1511.50ns INFO [00001513] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1511.50ns INFO [00001513] Port=0 WR @05=2B20EEFA691459623B
1511.50ns INFO [00001513] Port=0 RD @03
1512.50ns INFO [00001514] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0
1512.50ns INFO [00001514] Port=0 RD @01
1513.50ns INFO [00001515] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1513.50ns INFO [00001515] Port=0 WR @00=EF0DA128A71F16CE9C
1514.50ns INFO [00001516] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1514.50ns INFO [00001516] Port=0 RD @00
1515.50ns INFO [00001517] Port=0 RD @03
1515.50ns INFO [00001517] Port=1 RD @07
1516.50ns INFO [00001518] * RD COMPARE * port=0 adr=00 act=EF0DA128A71F16CE9C exp=EF0DA128A71F16CE9C
1517.50ns INFO [00001519] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1517.50ns INFO [00001519] * RD COMPARE * port=1 adr=07 act=751F3BEF86AC5B5A46 exp=751F3BEF86AC5B5A46
1517.50ns INFO [00001519] Port=0 WR @07=99B2637FE3174A6ABA
1517.50ns INFO [00001519] Port=0 RD @01
1518.50ns INFO [00001520] Port=1 RD @05
1519.50ns INFO [00001521] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1519.50ns INFO [00001521] Port=0 RD @01
1520.50ns INFO [00001522] * RD COMPARE * port=1 adr=05 act=2B20EEFA691459623B exp=2B20EEFA691459623B
1520.50ns INFO [00001522] Port=0 RD @04
1521.50ns INFO [00001523] * RD COMPARE * port=0 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1521.50ns INFO [00001523] Port=0 RD @07
1522.50ns INFO [00001524] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0
1522.50ns INFO [00001524] Port=0 RD @05
1523.50ns INFO [00001525] * RD COMPARE * port=0 adr=07 act=99B2637FE3174A6ABA exp=99B2637FE3174A6ABA
1523.50ns INFO [00001525] Port=0 RD @04
1524.50ns INFO [00001526] * RD COMPARE * port=0 adr=05 act=2B20EEFA691459623B exp=2B20EEFA691459623B
1524.50ns INFO [00001526] Port=0 WR @00=F13AEE1985C4F17BA3
1524.50ns INFO [00001526] Port=1 RD @02
1525.50ns INFO [00001527] * RD COMPARE * port=0 adr=04 act=271985C5F6B36053B0 exp=271985C5F6B36053B0
1526.50ns INFO [00001528] * RD COMPARE * port=1 adr=02 act=D7DC78AE27C4EED361 exp=D7DC78AE27C4EED361
1527.50ns INFO [00001529] Port=0 WR @04=CE0D0E9BB09F520758
1527.50ns INFO [00001529] Port=0 RD @06
1527.50ns INFO [00001529] Port=1 RD @03
1528.50ns INFO [00001530] Port=0 RD @03
1529.50ns INFO [00001531] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1529.50ns INFO [00001531] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1529.50ns INFO [00001531] Port=1 RD @03
1530.50ns INFO [00001532] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1530.50ns INFO [00001532] Port=1 RD @00
1531.50ns INFO [00001533] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1531.50ns INFO [00001533] Port=1 RD @03
1532.50ns INFO [00001534] * RD COMPARE * port=1 adr=00 act=F13AEE1985C4F17BA3 exp=F13AEE1985C4F17BA3
1533.50ns INFO [00001535] * RD COMPARE * port=1 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1533.50ns INFO [00001535] Port=0 WR @05=47A0F1025E4231C9C3
1534.50ns INFO [00001536] Port=0 WR @07=EFCFD828C0106BF30D
1534.50ns INFO [00001536] Port=1 RD @01
1535.50ns INFO [00001537] Port=0 RD @07
1535.50ns INFO [00001537] Port=1 RD @01
1536.50ns INFO [00001538] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1536.50ns INFO [00001538] Port=0 WR @01=5DDB80755546F2CC73
1537.50ns INFO [00001539] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1537.50ns INFO [00001539] * RD COMPARE * port=1 adr=01 act=914D5E852EE803812E exp=914D5E852EE803812E
1537.50ns INFO [00001539] Port=0 RD @07
1538.50ns INFO [00001540] Port=0 RD @00
1538.50ns INFO [00001540] Port=1 RD @04
1539.50ns INFO [00001541] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1539.50ns INFO [00001541] Port=0 WR @02=069D13BACF39A0170C
1540.50ns INFO [00001542] * RD COMPARE * port=0 adr=00 act=F13AEE1985C4F17BA3 exp=F13AEE1985C4F17BA3
1540.50ns INFO [00001542] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1540.50ns INFO [00001542] Port=0 WR @00=4AABF792CBBF7E93BD
1540.50ns INFO [00001542] Port=0 RD @01
1542.50ns INFO [00001544] * RD COMPARE * port=0 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73
1543.50ns INFO [00001545] Port=1 RD @05
1544.50ns INFO [00001546] Port=0 WR @02=634B403C44B28BB687
1544.50ns INFO [00001546] Port=0 RD @04
1544.50ns INFO [00001546] Port=1 RD @07
1545.50ns INFO [00001547] * RD COMPARE * port=1 adr=05 act=47A0F1025E4231C9C3 exp=47A0F1025E4231C9C3
1546.50ns INFO [00001548] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1546.50ns INFO [00001548] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1546.50ns INFO [00001548] Port=0 RD @07
1546.50ns INFO [00001548] Port=1 RD @05
1547.50ns INFO [00001549] Port=1 RD @06
1548.50ns INFO [00001550] * RD COMPARE * port=0 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1548.50ns INFO [00001550] * RD COMPARE * port=1 adr=05 act=47A0F1025E4231C9C3 exp=47A0F1025E4231C9C3
1548.50ns INFO [00001550] Port=0 WR @00=D8B28319F44A56F2FA
1549.50ns INFO [00001551] * RD COMPARE * port=1 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1549.50ns INFO [00001551] Port=0 WR @00=445780DE3AE9C849E1
1550.50ns INFO [00001552] Port=0 RD @06
1551.50ns INFO [00001553] Port=0 WR @05=7DBC61D40264912C09
1551.50ns INFO [00001553] Port=0 RD @03
1551.50ns INFO [00001553] Port=1 RD @02
1552.50ns INFO [00001554] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1552.50ns INFO [00001554] Port=1 RD @01
1553.50ns INFO [00001555] * RD COMPARE * port=0 adr=03 act=E22A3F1CB7D3608E33 exp=E22A3F1CB7D3608E33
1553.50ns INFO [00001555] * RD COMPARE * port=1 adr=02 act=634B403C44B28BB687 exp=634B403C44B28BB687
1553.50ns INFO [00001555] Port=0 RD @06
1553.50ns INFO [00001555] Port=1 RD @04
1554.50ns INFO [00001556] * RD COMPARE * port=1 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73
1554.50ns INFO [00001556] Port=0 WR @06=0CD51CB6F1018D1ED3
1555.50ns INFO [00001557] * RD COMPARE * port=0 adr=06 act=EC56120F057AB6B739 exp=EC56120F057AB6B739
1555.50ns INFO [00001557] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1556.50ns INFO [00001558] Port=1 RD @05
1557.50ns INFO [00001559] Port=0 RD @06
1558.50ns INFO [00001560] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1558.50ns INFO [00001560] Port=1 RD @04
1559.50ns INFO [00001561] * RD COMPARE * port=0 adr=06 act=0CD51CB6F1018D1ED3 exp=0CD51CB6F1018D1ED3
1560.50ns INFO [00001562] * RD COMPARE * port=1 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1562.50ns INFO [00001564] Port=1 RD @01
1564.50ns INFO [00001566] * RD COMPARE * port=1 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73
1564.50ns INFO [00001566] Port=0 RD @04
1564.50ns INFO [00001566] Port=1 RD @05
1565.50ns INFO [00001567] Port=0 WR @02=5E727043917D35DC4D
1565.50ns INFO [00001567] Port=0 RD @00
1566.50ns INFO [00001568] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1566.50ns INFO [00001568] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1566.50ns INFO [00001568] Port=0 RD @01
1566.50ns INFO [00001568] Port=1 RD @07
1567.50ns INFO [00001569] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1567.50ns INFO [00001569] Port=0 WR @01=206BBCB4C120BAF660
1567.50ns INFO [00001569] Port=0 RD @04
1567.50ns INFO [00001569] Port=1 RD @07
1568.50ns INFO [00001570] * RD COMPARE * port=0 adr=01 act=5DDB80755546F2CC73 exp=5DDB80755546F2CC73
1568.50ns INFO [00001570] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1568.50ns INFO [00001570] Port=0 WR @06=9B5B55BEEFF71292EE
1569.50ns INFO [00001571] * RD COMPARE * port=0 adr=04 act=CE0D0E9BB09F520758 exp=CE0D0E9BB09F520758
1569.50ns INFO [00001571] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1569.50ns INFO [00001571] Port=0 RD @02
1570.50ns INFO [00001572] Port=1 RD @01
1571.50ns INFO [00001573] * RD COMPARE * port=0 adr=02 act=5E727043917D35DC4D exp=5E727043917D35DC4D
1571.50ns INFO [00001573] Port=0 WR @04=D0D8C2EBB05DC6B860
1571.50ns INFO [00001573] Port=1 RD @02
1572.50ns INFO [00001574] * RD COMPARE * port=1 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660
1573.50ns INFO [00001575] * RD COMPARE * port=1 adr=02 act=5E727043917D35DC4D exp=5E727043917D35DC4D
1574.50ns INFO [00001576] Port=0 WR @02=8D5357C8FAF984ABD9
1574.50ns INFO [00001576] Port=0 RD @01
1575.50ns INFO [00001577] Port=0 WR @04=77610ABD64EBFE706C
1575.50ns INFO [00001577] Port=1 RD @00
1576.50ns INFO [00001578] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660
1577.50ns INFO [00001579] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1577.50ns INFO [00001579] Port=0 WR @02=7C58F6505830906E79
1577.50ns INFO [00001579] Port=0 RD @01
1577.50ns INFO [00001579] Port=1 RD @07
1578.50ns INFO [00001580] Port=0 RD @00
1579.50ns INFO [00001581] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660
1579.50ns INFO [00001581] * RD COMPARE * port=1 adr=07 act=EFCFD828C0106BF30D exp=EFCFD828C0106BF30D
1580.50ns INFO [00001582] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1580.50ns INFO [00001582] Port=0 WR @07=58E46DEA772817F9B3
1580.50ns INFO [00001582] Port=0 RD @05
1581.50ns INFO [00001583] Port=0 WR @03=5C2684B85FBD67E754
1582.50ns INFO [00001584] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1583.50ns INFO [00001585] Port=1 RD @04
1584.50ns INFO [00001586] Port=0 RD @01
1585.50ns INFO [00001587] * RD COMPARE * port=1 adr=04 act=77610ABD64EBFE706C exp=77610ABD64EBFE706C
1585.50ns INFO [00001587] Port=1 RD @05
1586.50ns INFO [00001588] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660
1586.50ns INFO [00001588] Port=0 WR @04=886C463FF367FE1C6F
1586.50ns INFO [00001588] Port=0 RD @01
1587.50ns INFO [00001589] * RD COMPARE * port=1 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1587.50ns INFO [00001589] Port=0 WR @02=4019AA77F16E9CE4B6
1588.50ns INFO [00001590] * RD COMPARE * port=0 adr=01 act=206BBCB4C120BAF660 exp=206BBCB4C120BAF660
1588.50ns INFO [00001590] Port=0 WR @07=62449925C32EB748D9
1588.50ns INFO [00001590] Port=0 RD @03
1588.50ns INFO [00001590] Port=1 RD @00
1589.50ns INFO [00001591] Port=0 RD @05
1590.50ns INFO [00001592] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754
1590.50ns INFO [00001592] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1591.50ns INFO [00001593] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1591.50ns INFO [00001593] Port=1 RD @02
1592.50ns INFO [00001594] Port=0 WR @01=E7B4483D9B66349FC7
1592.50ns INFO [00001594] Port=1 RD @00
1593.50ns INFO [00001595] * RD COMPARE * port=1 adr=02 act=4019AA77F16E9CE4B6 exp=4019AA77F16E9CE4B6
1594.50ns INFO [00001596] * RD COMPARE * port=1 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1594.50ns INFO [00001596] Port=0 WR @07=BE8BEB6BD9291854FF
1594.50ns INFO [00001596] Port=0 RD @03
1596.50ns INFO [00001598] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754
1596.50ns INFO [00001598] Port=0 WR @02=FE8F71EC6997D78EDB
1597.50ns INFO [00001599] Port=0 RD @01
1597.50ns INFO [00001599] Port=1 RD @07
1598.00ns INFO [00001600] [00001600] ...tick...
1598.50ns INFO [00001600] Port=0 WR @02=9053A4B180DAB23F46
1599.50ns INFO [00001601] * RD COMPARE * port=0 adr=01 act=E7B4483D9B66349FC7 exp=E7B4483D9B66349FC7
1599.50ns INFO [00001601] * RD COMPARE * port=1 adr=07 act=BE8BEB6BD9291854FF exp=BE8BEB6BD9291854FF
1599.50ns INFO [00001601] Port=0 RD @00
1599.50ns INFO [00001601] Port=1 RD @03
1600.50ns INFO [00001602] Port=0 RD @03
1600.50ns INFO [00001602] Port=1 RD @04
1601.50ns INFO [00001603] * RD COMPARE * port=0 adr=00 act=445780DE3AE9C849E1 exp=445780DE3AE9C849E1
1601.50ns INFO [00001603] * RD COMPARE * port=1 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754
1601.50ns INFO [00001603] Port=0 RD @04
1601.50ns INFO [00001603] Port=1 RD @03
1602.50ns INFO [00001604] * RD COMPARE * port=0 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754
1602.50ns INFO [00001604] * RD COMPARE * port=1 adr=04 act=886C463FF367FE1C6F exp=886C463FF367FE1C6F
1603.50ns INFO [00001605] * RD COMPARE * port=0 adr=04 act=886C463FF367FE1C6F exp=886C463FF367FE1C6F
1603.50ns INFO [00001605] * RD COMPARE * port=1 adr=03 act=5C2684B85FBD67E754 exp=5C2684B85FBD67E754
1603.50ns INFO [00001605] Port=0 RD @05
1604.50ns INFO [00001606] Port=0 RD @06
1605.50ns INFO [00001607] * RD COMPARE * port=0 adr=05 act=7DBC61D40264912C09 exp=7DBC61D40264912C09
1605.50ns INFO [00001607] Port=0 WR @04=2D8796C5C34060A052
1606.50ns INFO [00001608] * RD COMPARE * port=0 adr=06 act=9B5B55BEEFF71292EE exp=9B5B55BEEFF71292EE
1606.50ns INFO [00001608] Port=0 WR @00=D4A8FF72F5FBD9B2C3
1607.50ns INFO [00001609] Port=0 WR @05=9D2BFCFC7F0CB92DA2
1607.50ns INFO [00001609] Port=0 RD @01
1609.50ns INFO [00001611] * RD COMPARE * port=0 adr=01 act=E7B4483D9B66349FC7 exp=E7B4483D9B66349FC7
1609.50ns INFO [00001611] Port=0 WR @01=726A16D6AD0A543FB2
1609.50ns INFO [00001611] Port=0 RD @05
1609.50ns INFO [00001611] Port=1 RD @05
1611.50ns INFO [00001613] * RD COMPARE * port=0 adr=05 act=9D2BFCFC7F0CB92DA2 exp=9D2BFCFC7F0CB92DA2
1611.50ns INFO [00001613] * RD COMPARE * port=1 adr=05 act=9D2BFCFC7F0CB92DA2 exp=9D2BFCFC7F0CB92DA2
1611.50ns INFO [00001613] Port=0 WR @07=5023BFE7C83DF8EB76
1612.50ns INFO [00001614] Port=0 WR @00=9D246B6CA3A3294BEE
1612.50ns INFO [00001614] Port=0 RD @07
1614.50ns INFO [00001616] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76
1614.50ns INFO [00001616] Port=0 RD @07
1615.50ns INFO [00001617] Port=0 WR @06=086EE77E378F211A41
1615.50ns INFO [00001617] Port=1 RD @02
1616.50ns INFO [00001618] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76
1616.50ns INFO [00001618] Port=0 WR @00=A0AD90ED03B68CD0DC
1617.50ns INFO [00001619] * RD COMPARE * port=1 adr=02 act=9053A4B180DAB23F46 exp=9053A4B180DAB23F46
1617.50ns INFO [00001619] Port=1 RD @02
1619.50ns INFO [00001621] * RD COMPARE * port=1 adr=02 act=9053A4B180DAB23F46 exp=9053A4B180DAB23F46
1619.50ns INFO [00001621] Port=0 WR @06=4DAA113D50F56C7A3E
1620.50ns INFO [00001622] Port=0 WR @00=61395509C5342CB27D
1620.50ns INFO [00001622] Port=0 RD @06
1621.50ns INFO [00001623] Port=1 RD @06
1622.50ns INFO [00001624] * RD COMPARE * port=0 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E
1622.50ns INFO [00001624] Port=0 WR @05=F9CBFB908469A7A548
1623.50ns INFO [00001625] * RD COMPARE * port=1 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E
1623.50ns INFO [00001625] Port=0 WR @02=1D5E0E0493B05FCE82
1623.50ns INFO [00001625] Port=0 RD @04
1624.50ns INFO [00001626] Port=0 WR @03=2BBAEF350D5340F521
1624.50ns INFO [00001626] Port=0 RD @06
1624.50ns INFO [00001626] Port=1 RD @05
1625.50ns INFO [00001627] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1625.50ns INFO [00001627] Port=0 RD @02
1626.50ns INFO [00001628] * RD COMPARE * port=0 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E
1626.50ns INFO [00001628] * RD COMPARE * port=1 adr=05 act=F9CBFB908469A7A548 exp=F9CBFB908469A7A548
1626.50ns INFO [00001628] Port=1 RD @06
1627.50ns INFO [00001629] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82
1627.50ns INFO [00001629] Port=0 RD @04
1628.50ns INFO [00001630] * RD COMPARE * port=1 adr=06 act=4DAA113D50F56C7A3E exp=4DAA113D50F56C7A3E
1628.50ns INFO [00001630] Port=0 RD @01
1629.50ns INFO [00001631] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1629.50ns INFO [00001631] Port=0 WR @03=08E9D1DBC252A9C2A0
1629.50ns INFO [00001631] Port=0 RD @07
1630.50ns INFO [00001632] * RD COMPARE * port=0 adr=01 act=726A16D6AD0A543FB2 exp=726A16D6AD0A543FB2
1630.50ns INFO [00001632] Port=0 WR @03=61F106CE36F1BBB058
1631.50ns INFO [00001633] * RD COMPARE * port=0 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76
1631.50ns INFO [00001633] Port=0 WR @01=FEA16738C52C8C26E7
1631.50ns INFO [00001633] Port=1 RD @07
1633.50ns INFO [00001635] * RD COMPARE * port=1 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76
1633.50ns INFO [00001635] Port=0 WR @06=394C2605C78F484BD1
1633.50ns INFO [00001635] Port=1 RD @07
1635.50ns INFO [00001637] * RD COMPARE * port=1 adr=07 act=5023BFE7C83DF8EB76 exp=5023BFE7C83DF8EB76
1635.50ns INFO [00001637] Port=0 WR @03=C220F4B921230811D4
1635.50ns INFO [00001637] Port=1 RD @05
1636.50ns INFO [00001638] Port=0 RD @06
1637.50ns INFO [00001639] * RD COMPARE * port=1 adr=05 act=F9CBFB908469A7A548 exp=F9CBFB908469A7A548
1637.50ns INFO [00001639] Port=0 RD @04
1638.50ns INFO [00001640] * RD COMPARE * port=0 adr=06 act=394C2605C78F484BD1 exp=394C2605C78F484BD1
1638.50ns INFO [00001640] Port=0 WR @05=311674209284486216
1638.50ns INFO [00001640] Port=0 RD @01
1639.50ns INFO [00001641] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1639.50ns INFO [00001641] Port=0 RD @04
1640.50ns INFO [00001642] * RD COMPARE * port=0 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7
1641.50ns INFO [00001643] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1641.50ns INFO [00001643] Port=0 WR @06=319FB53A1B6C5CFA7A
1642.50ns INFO [00001644] Port=0 RD @05
1643.50ns INFO [00001645] Port=0 RD @02
1644.50ns INFO [00001646] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1645.50ns INFO [00001647] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82
1647.50ns INFO [00001649] Port=0 RD @04
1647.50ns INFO [00001649] Port=1 RD @03
1649.50ns INFO [00001651] * RD COMPARE * port=0 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1649.50ns INFO [00001651] * RD COMPARE * port=1 adr=03 act=C220F4B921230811D4 exp=C220F4B921230811D4
1650.50ns INFO [00001652] Port=0 WR @00=CB3B8169A021BD7578
1650.50ns INFO [00001652] Port=1 RD @01
1652.50ns INFO [00001654] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7
1652.50ns INFO [00001654] Port=1 RD @00
1653.50ns INFO [00001655] Port=1 RD @04
1654.50ns INFO [00001656] * RD COMPARE * port=1 adr=00 act=CB3B8169A021BD7578 exp=CB3B8169A021BD7578
1655.50ns INFO [00001657] * RD COMPARE * port=1 adr=04 act=2D8796C5C34060A052 exp=2D8796C5C34060A052
1655.50ns INFO [00001657] Port=0 WR @07=3A08E89D0F5B44E70A
1656.50ns INFO [00001658] Port=0 WR @07=7A05EA71A03CBF7853
1656.50ns INFO [00001658] Port=0 RD @02
1657.50ns INFO [00001659] Port=0 WR @04=46FE6640457F0568BD
1657.50ns INFO [00001659] Port=1 RD @02
1658.50ns INFO [00001660] * RD COMPARE * port=0 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82
1658.50ns INFO [00001660] Port=1 RD @01
1659.50ns INFO [00001661] * RD COMPARE * port=1 adr=02 act=1D5E0E0493B05FCE82 exp=1D5E0E0493B05FCE82
1659.50ns INFO [00001661] Port=0 WR @00=ACC4FDC17E4E0141D8
1659.50ns INFO [00001661] Port=0 RD @01
1659.50ns INFO [00001661] Port=1 RD @01
1660.50ns INFO [00001662] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7
1660.50ns INFO [00001662] Port=0 WR @04=561CFB0A01D504CC57
1660.50ns INFO [00001662] Port=0 RD @07
1661.50ns INFO [00001663] * RD COMPARE * port=0 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7
1661.50ns INFO [00001663] * RD COMPARE * port=1 adr=01 act=FEA16738C52C8C26E7 exp=FEA16738C52C8C26E7
1661.50ns INFO [00001663] Port=0 WR @01=6E6DD92960D8515929
1662.50ns INFO [00001664] * RD COMPARE * port=0 adr=07 act=7A05EA71A03CBF7853 exp=7A05EA71A03CBF7853
1663.50ns INFO [00001665] Port=0 WR @07=B26D28C0C8A6DDFF6C
1663.50ns INFO [00001665] Port=1 RD @04
1664.50ns INFO [00001666] Port=0 WR @02=674170D1E5FEE9F1C7
1664.50ns INFO [00001666] Port=0 RD @06
1665.50ns INFO [00001667] * RD COMPARE * port=1 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57
1665.50ns INFO [00001667] Port=1 RD @04
1666.50ns INFO [00001668] * RD COMPARE * port=0 adr=06 act=319FB53A1B6C5CFA7A exp=319FB53A1B6C5CFA7A
1666.50ns INFO [00001668] Port=0 WR @03=777651A58BA922AFA3
1666.50ns INFO [00001668] Port=0 RD @05
1666.50ns INFO [00001668] Port=1 RD @02
1667.50ns INFO [00001669] * RD COMPARE * port=1 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57
1668.50ns INFO [00001670] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1668.50ns INFO [00001670] * RD COMPARE * port=1 adr=02 act=674170D1E5FEE9F1C7 exp=674170D1E5FEE9F1C7
1668.50ns INFO [00001670] Port=0 WR @07=12D0A7FF0990C996D3
1668.50ns INFO [00001670] Port=1 RD @01
1669.50ns INFO [00001671] Port=0 RD @04
1670.50ns INFO [00001672] * RD COMPARE * port=1 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929
1670.50ns INFO [00001672] Port=0 RD @05
1671.50ns INFO [00001673] * RD COMPARE * port=0 adr=04 act=561CFB0A01D504CC57 exp=561CFB0A01D504CC57
1672.50ns INFO [00001674] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1672.50ns INFO [00001674] Port=1 RD @05
1673.50ns INFO [00001675] Port=0 RD @07
1674.50ns INFO [00001676] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216
1674.50ns INFO [00001676] Port=0 WR @00=7269DE791E3BBA61DF
1675.50ns INFO [00001677] * RD COMPARE * port=0 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3
1675.50ns INFO [00001677] Port=0 RD @01
1675.50ns INFO [00001677] Port=1 RD @05
1676.50ns INFO [00001678] Port=0 WR @06=7410CDAA3956293D13
1677.50ns INFO [00001679] * RD COMPARE * port=0 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929
1677.50ns INFO [00001679] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216
1677.50ns INFO [00001679] Port=0 WR @02=1C311B63B6ABA8F687
1677.50ns INFO [00001679] Port=0 RD @07
1677.50ns INFO [00001679] Port=1 RD @06
1679.50ns INFO [00001681] * RD COMPARE * port=0 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3
1679.50ns INFO [00001681] * RD COMPARE * port=1 adr=06 act=7410CDAA3956293D13 exp=7410CDAA3956293D13
1679.50ns INFO [00001681] Port=0 WR @00=BF998A4611B16D416C
1679.50ns INFO [00001681] Port=0 RD @02
1679.50ns INFO [00001681] Port=1 RD @06
1680.50ns INFO [00001682] Port=0 RD @03
1681.50ns INFO [00001683] * RD COMPARE * port=0 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687
1681.50ns INFO [00001683] * RD COMPARE * port=1 adr=06 act=7410CDAA3956293D13 exp=7410CDAA3956293D13
1681.50ns INFO [00001683] Port=0 WR @06=239C8D7C15B698093A
1681.50ns INFO [00001683] Port=0 RD @01
1682.50ns INFO [00001684] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1682.50ns INFO [00001684] Port=1 RD @00
1683.50ns INFO [00001685] * RD COMPARE * port=0 adr=01 act=6E6DD92960D8515929 exp=6E6DD92960D8515929
1683.50ns INFO [00001685] Port=0 RD @05
1684.50ns INFO [00001686] * RD COMPARE * port=1 adr=00 act=BF998A4611B16D416C exp=BF998A4611B16D416C
1685.50ns INFO [00001687] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1685.50ns INFO [00001687] Port=0 WR @00=D67AFFC03DE6CF978A
1685.50ns INFO [00001687] Port=0 RD @05
1686.50ns INFO [00001688] Port=0 WR @04=58BAF6BD104F4308D4
1687.50ns INFO [00001689] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1687.50ns INFO [00001689] Port=0 RD @03
1687.50ns INFO [00001689] Port=1 RD @02
1688.50ns INFO [00001690] Port=0 WR @01=8D627BC772A003A9A8
1689.50ns INFO [00001691] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1689.50ns INFO [00001691] * RD COMPARE * port=1 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687
1689.50ns INFO [00001691] Port=0 RD @01
1689.50ns INFO [00001691] Port=1 RD @02
1690.50ns INFO [00001692] Port=0 RD @03
1690.50ns INFO [00001692] Port=1 RD @03
1691.50ns INFO [00001693] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1691.50ns INFO [00001693] * RD COMPARE * port=1 adr=02 act=1C311B63B6ABA8F687 exp=1C311B63B6ABA8F687
1691.50ns INFO [00001693] Port=0 RD @01
1691.50ns INFO [00001693] Port=1 RD @04
1692.50ns INFO [00001694] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1692.50ns INFO [00001694] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1692.50ns INFO [00001694] Port=0 WR @06=5F771842B79B1E6137
1692.50ns INFO [00001694] Port=0 RD @00
1693.50ns INFO [00001695] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1693.50ns INFO [00001695] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4
1694.50ns INFO [00001696] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1694.50ns INFO [00001696] Port=1 RD @07
1696.50ns INFO [00001698] * RD COMPARE * port=1 adr=07 act=12D0A7FF0990C996D3 exp=12D0A7FF0990C996D3
1697.50ns INFO [00001699] Port=1 RD @06
1698.00ns INFO [00001700] [00001700] ...tick...
1698.50ns INFO [00001700] Port=0 WR @02=E4CC18F7FFC7CBC352
1698.50ns INFO [00001700] Port=0 RD @03
1698.50ns INFO [00001700] Port=1 RD @05
1699.50ns INFO [00001701] * RD COMPARE * port=1 adr=06 act=5F771842B79B1E6137 exp=5F771842B79B1E6137
1699.50ns INFO [00001701] Port=1 RD @05
1700.50ns INFO [00001702] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1700.50ns INFO [00001702] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216
1700.50ns INFO [00001702] Port=1 RD @00
1701.50ns INFO [00001703] * RD COMPARE * port=1 adr=05 act=311674209284486216 exp=311674209284486216
1702.50ns INFO [00001704] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1702.50ns INFO [00001704] Port=0 WR @02=F206CA19E1CB1E8543
1703.50ns INFO [00001705] Port=0 RD @06
1704.50ns INFO [00001706] Port=0 WR @06=8721C61CE2172773D4
1705.50ns INFO [00001707] * RD COMPARE * port=0 adr=06 act=5F771842B79B1E6137 exp=5F771842B79B1E6137
1706.50ns INFO [00001708] Port=0 RD @05
1706.50ns INFO [00001708] Port=1 RD @06
1707.50ns INFO [00001709] Port=0 RD @06
1708.50ns INFO [00001710] * RD COMPARE * port=0 adr=05 act=311674209284486216 exp=311674209284486216
1708.50ns INFO [00001710] * RD COMPARE * port=1 adr=06 act=8721C61CE2172773D4 exp=8721C61CE2172773D4
1708.50ns INFO [00001710] Port=1 RD @01
1709.50ns INFO [00001711] * RD COMPARE * port=0 adr=06 act=8721C61CE2172773D4 exp=8721C61CE2172773D4
1709.50ns INFO [00001711] Port=0 WR @06=6903FD224B28DDC171
1710.50ns INFO [00001712] * RD COMPARE * port=1 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1710.50ns INFO [00001712] Port=1 RD @02
1711.50ns INFO [00001713] Port=0 WR @06=D54ADEB51064296B9E
1711.50ns INFO [00001713] Port=0 RD @04
1712.50ns INFO [00001714] * RD COMPARE * port=1 adr=02 act=F206CA19E1CB1E8543 exp=F206CA19E1CB1E8543
1712.50ns INFO [00001714] Port=0 WR @07=239E890D1DCDD4B8A4
1713.50ns INFO [00001715] * RD COMPARE * port=0 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4
1713.50ns INFO [00001715] Port=0 WR @06=F5760FE118C80128FE
1713.50ns INFO [00001715] Port=1 RD @04
1714.50ns INFO [00001716] Port=0 RD @00
1714.50ns INFO [00001716] Port=1 RD @00
1715.50ns INFO [00001717] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4
1715.50ns INFO [00001717] Port=0 WR @02=AFB29E932134260FC5
1715.50ns INFO [00001717] Port=1 RD @03
1716.50ns INFO [00001718] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1716.50ns INFO [00001718] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1716.50ns INFO [00001718] Port=0 RD @01
1717.50ns INFO [00001719] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1717.50ns INFO [00001719] Port=1 RD @04
1718.50ns INFO [00001720] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1718.50ns INFO [00001720] Port=0 RD @04
1719.50ns INFO [00001721] * RD COMPARE * port=1 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4
1719.50ns INFO [00001721] Port=0 WR @04=880BE54F68734F9D7B
1719.50ns INFO [00001721] Port=0 RD @00
1720.50ns INFO [00001722] * RD COMPARE * port=0 adr=04 act=58BAF6BD104F4308D4 exp=58BAF6BD104F4308D4
1721.50ns INFO [00001723] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1721.50ns INFO [00001723] Port=0 RD @02
1722.50ns INFO [00001724] Port=0 WR @02=C4D15157C44BFA4B09
1723.50ns INFO [00001725] * RD COMPARE * port=0 adr=02 act=AFB29E932134260FC5 exp=AFB29E932134260FC5
1723.50ns INFO [00001725] Port=0 RD @01
1724.50ns INFO [00001726] Port=1 RD @07
1725.50ns INFO [00001727] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1725.50ns INFO [00001727] Port=0 WR @07=F07DC34E3A46A1D3D5
1726.50ns INFO [00001728] * RD COMPARE * port=1 adr=07 act=239E890D1DCDD4B8A4 exp=239E890D1DCDD4B8A4
1726.50ns INFO [00001728] Port=0 RD @04
1727.50ns INFO [00001729] Port=0 RD @00
1728.50ns INFO [00001730] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B
1728.50ns INFO [00001730] Port=0 WR @07=22BF8F48C28892DD06
1729.50ns INFO [00001731] * RD COMPARE * port=0 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1729.50ns INFO [00001731] Port=0 WR @05=819F7F149FD62974A8
1729.50ns INFO [00001731] Port=0 RD @01
1731.50ns INFO [00001733] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1731.50ns INFO [00001733] Port=1 RD @05
1732.50ns INFO [00001734] Port=0 RD @05
1732.50ns INFO [00001734] Port=1 RD @06
1733.50ns INFO [00001735] * RD COMPARE * port=1 adr=05 act=819F7F149FD62974A8 exp=819F7F149FD62974A8
1733.50ns INFO [00001735] Port=0 RD @04
1734.50ns INFO [00001736] * RD COMPARE * port=0 adr=05 act=819F7F149FD62974A8 exp=819F7F149FD62974A8
1734.50ns INFO [00001736] * RD COMPARE * port=1 adr=06 act=F5760FE118C80128FE exp=F5760FE118C80128FE
1734.50ns INFO [00001736] Port=0 RD @07
1735.50ns INFO [00001737] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B
1735.50ns INFO [00001737] Port=1 RD @00
1736.50ns INFO [00001738] * RD COMPARE * port=0 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06
1736.50ns INFO [00001738] Port=0 WR @00=E7ACC82B3B7B4288EB
1736.50ns INFO [00001738] Port=0 RD @04
1737.50ns INFO [00001739] * RD COMPARE * port=1 adr=00 act=D67AFFC03DE6CF978A exp=D67AFFC03DE6CF978A
1737.50ns INFO [00001739] Port=0 WR @04=34C322AD5385425A19
1738.50ns INFO [00001740] * RD COMPARE * port=0 adr=04 act=880BE54F68734F9D7B exp=880BE54F68734F9D7B
1738.50ns INFO [00001740] Port=0 WR @05=14BC2E87191DF7F834
1739.50ns INFO [00001741] Port=1 RD @02
1740.50ns INFO [00001742] Port=0 RD @01
1741.50ns INFO [00001743] * RD COMPARE * port=1 adr=02 act=C4D15157C44BFA4B09 exp=C4D15157C44BFA4B09
1741.50ns INFO [00001743] Port=1 RD @05
1742.50ns INFO [00001744] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1742.50ns INFO [00001744] Port=0 WR @05=CAFB05CE91BDD976D9
1742.50ns INFO [00001744] Port=0 RD @07
1743.50ns INFO [00001745] * RD COMPARE * port=1 adr=05 act=14BC2E87191DF7F834 exp=14BC2E87191DF7F834
1743.50ns INFO [00001745] Port=1 RD @07
1744.50ns INFO [00001746] * RD COMPARE * port=0 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06
1745.50ns INFO [00001747] * RD COMPARE * port=1 adr=07 act=22BF8F48C28892DD06 exp=22BF8F48C28892DD06
1745.50ns INFO [00001747] Port=0 RD @02
1746.50ns INFO [00001748] Port=0 WR @07=DF7A34A14D88006ED0
1746.50ns INFO [00001748] Port=1 RD @03
1747.50ns INFO [00001749] * RD COMPARE * port=0 adr=02 act=C4D15157C44BFA4B09 exp=C4D15157C44BFA4B09
1747.50ns INFO [00001749] Port=1 RD @00
1748.50ns INFO [00001750] * RD COMPARE * port=1 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1748.50ns INFO [00001750] Port=0 RD @07
1749.50ns INFO [00001751] * RD COMPARE * port=1 adr=00 act=E7ACC82B3B7B4288EB exp=E7ACC82B3B7B4288EB
1750.50ns INFO [00001752] * RD COMPARE * port=0 adr=07 act=DF7A34A14D88006ED0 exp=DF7A34A14D88006ED0
1751.50ns INFO [00001753] Port=0 RD @04
1751.50ns INFO [00001753] Port=1 RD @06
1752.50ns INFO [00001754] Port=0 RD @01
1753.50ns INFO [00001755] * RD COMPARE * port=0 adr=04 act=34C322AD5385425A19 exp=34C322AD5385425A19
1753.50ns INFO [00001755] * RD COMPARE * port=1 adr=06 act=F5760FE118C80128FE exp=F5760FE118C80128FE
1753.50ns INFO [00001755] Port=0 WR @02=A668B6FF1B48C0DC1F
1753.50ns INFO [00001755] Port=0 RD @05
1754.50ns INFO [00001756] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1754.50ns INFO [00001756] Port=0 WR @04=055879ABB5C1918D80
1754.50ns INFO [00001756] Port=0 RD @01
1755.50ns INFO [00001757] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1755.50ns INFO [00001757] Port=0 WR @00=6D97446FE7AA88AC90
1756.50ns INFO [00001758] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1757.50ns INFO [00001759] Port=0 WR @06=3279E5D1F6D28328B3
1757.50ns INFO [00001759] Port=0 RD @03
1758.50ns INFO [00001760] Port=0 RD @07
1759.50ns INFO [00001761] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1760.50ns INFO [00001762] * RD COMPARE * port=0 adr=07 act=DF7A34A14D88006ED0 exp=DF7A34A14D88006ED0
1760.50ns INFO [00001762] Port=1 RD @01
1761.50ns INFO [00001763] Port=0 RD @00
1762.50ns INFO [00001764] * RD COMPARE * port=1 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1762.50ns INFO [00001764] Port=0 WR @02=276D60E4892D411DCE
1763.50ns INFO [00001765] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1763.50ns INFO [00001765] Port=0 RD @01
1765.50ns INFO [00001767] * RD COMPARE * port=0 adr=01 act=8D627BC772A003A9A8 exp=8D627BC772A003A9A8
1765.50ns INFO [00001767] Port=0 RD @06
1766.50ns INFO [00001768] Port=0 RD @05
1766.50ns INFO [00001768] Port=1 RD @04
1767.50ns INFO [00001769] * RD COMPARE * port=0 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3
1767.50ns INFO [00001769] Port=0 RD @03
1768.50ns INFO [00001770] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1768.50ns INFO [00001770] * RD COMPARE * port=1 adr=04 act=055879ABB5C1918D80 exp=055879ABB5C1918D80
1768.50ns INFO [00001770] Port=0 WR @01=EA48689A0962898855
1769.50ns INFO [00001771] * RD COMPARE * port=0 adr=03 act=777651A58BA922AFA3 exp=777651A58BA922AFA3
1769.50ns INFO [00001771] Port=1 RD @00
1771.50ns INFO [00001773] * RD COMPARE * port=1 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1771.50ns INFO [00001773] Port=1 RD @06
1772.50ns INFO [00001774] Port=1 RD @05
1773.50ns INFO [00001775] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3
1773.50ns INFO [00001775] Port=0 WR @03=B08E7C2C93BA7E1718
1773.50ns INFO [00001775] Port=0 RD @00
1774.50ns INFO [00001776] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1774.50ns INFO [00001776] Port=0 WR @07=79D6599A31A2AA9AB3
1775.50ns INFO [00001777] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1775.50ns INFO [00001777] Port=1 RD @00
1777.50ns INFO [00001779] * RD COMPARE * port=1 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1777.50ns INFO [00001779] Port=0 RD @04
1777.50ns INFO [00001779] Port=1 RD @07
1779.50ns INFO [00001781] * RD COMPARE * port=0 adr=04 act=055879ABB5C1918D80 exp=055879ABB5C1918D80
1779.50ns INFO [00001781] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1781.50ns INFO [00001783] Port=0 RD @02
1781.50ns INFO [00001783] Port=1 RD @06
1783.50ns INFO [00001785] * RD COMPARE * port=0 adr=02 act=276D60E4892D411DCE exp=276D60E4892D411DCE
1783.50ns INFO [00001785] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3
1783.50ns INFO [00001785] Port=0 WR @04=936A097CA6EA69AA4A
1783.50ns INFO [00001785] Port=0 RD @03
1783.50ns INFO [00001785] Port=1 RD @01
1784.50ns INFO [00001786] Port=1 RD @06
1785.50ns INFO [00001787] * RD COMPARE * port=0 adr=03 act=B08E7C2C93BA7E1718 exp=B08E7C2C93BA7E1718
1785.50ns INFO [00001787] * RD COMPARE * port=1 adr=01 act=EA48689A0962898855 exp=EA48689A0962898855
1785.50ns INFO [00001787] Port=0 RD @06
1786.50ns INFO [00001788] * RD COMPARE * port=1 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3
1787.50ns INFO [00001789] * RD COMPARE * port=0 adr=06 act=3279E5D1F6D28328B3 exp=3279E5D1F6D28328B3
1787.50ns INFO [00001789] Port=0 WR @02=F764BF1A509EC75B7F
1787.50ns INFO [00001789] Port=1 RD @07
1788.50ns INFO [00001790] Port=0 WR @06=504F34205D67A50AA3
1788.50ns INFO [00001790] Port=1 RD @01
1789.50ns INFO [00001791] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1789.50ns INFO [00001791] Port=0 WR @03=2E5B837F7B439E8D33
1790.50ns INFO [00001792] * RD COMPARE * port=1 adr=01 act=EA48689A0962898855 exp=EA48689A0962898855
1790.50ns INFO [00001792] Port=0 RD @04
1790.50ns INFO [00001792] Port=1 RD @02
1791.50ns INFO [00001793] Port=0 WR @01=61E4A28F6AA8B8F5F1
1791.50ns INFO [00001793] Port=1 RD @04
1792.50ns INFO [00001794] * RD COMPARE * port=0 adr=04 act=936A097CA6EA69AA4A exp=936A097CA6EA69AA4A
1792.50ns INFO [00001794] * RD COMPARE * port=1 adr=02 act=F764BF1A509EC75B7F exp=F764BF1A509EC75B7F
1793.50ns INFO [00001795] * RD COMPARE * port=1 adr=04 act=936A097CA6EA69AA4A exp=936A097CA6EA69AA4A
1793.50ns INFO [00001795] Port=0 WR @01=0927ED3595FEA603B2
1793.50ns INFO [00001795] Port=0 RD @07
1794.50ns INFO [00001796] Port=0 WR @04=750C5FFC0644013DF5
1795.50ns INFO [00001797] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1795.50ns INFO [00001797] Port=0 WR @03=3341A2A883D1CB9C82
1796.50ns INFO [00001798] Port=0 WR @01=9408049A365B9F09C2
1797.50ns INFO [00001799] Port=0 RD @05
1797.50ns INFO [00001799] Port=1 RD @03
1798.00ns INFO [00001800] [00001800] ...tick...
1798.50ns INFO [00001800] Port=0 WR @02=2F9953FAD323ABFC78
1798.50ns INFO [00001800] Port=1 RD @01
1799.50ns INFO [00001801] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1799.50ns INFO [00001801] * RD COMPARE * port=1 adr=03 act=3341A2A883D1CB9C82 exp=3341A2A883D1CB9C82
1799.50ns INFO [00001801] Port=0 WR @02=DAE7F77FF05D43DA0C
1799.50ns INFO [00001801] Port=1 RD @04
1800.50ns INFO [00001802] * RD COMPARE * port=1 adr=01 act=9408049A365B9F09C2 exp=9408049A365B9F09C2
1800.50ns INFO [00001802] Port=0 RD @05
1801.50ns INFO [00001803] * RD COMPARE * port=1 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5
1801.50ns INFO [00001803] Port=0 WR @01=DBFDA2085C6FB698DF
1802.50ns INFO [00001804] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1802.50ns INFO [00001804] Port=0 RD @06
1804.50ns INFO [00001806] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3
1805.50ns INFO [00001807] Port=0 WR @02=9C994BC3CAD8E659A5
1805.50ns INFO [00001807] Port=0 RD @05
1805.50ns INFO [00001807] Port=1 RD @05
1806.50ns INFO [00001808] Port=0 RD @07
1806.50ns INFO [00001808] Port=1 RD @02
1807.50ns INFO [00001809] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1807.50ns INFO [00001809] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1807.50ns INFO [00001809] Port=0 RD @04
1808.50ns INFO [00001810] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1808.50ns INFO [00001810] * RD COMPARE * port=1 adr=02 act=9C994BC3CAD8E659A5 exp=9C994BC3CAD8E659A5
1808.50ns INFO [00001810] Port=0 RD @06
1809.50ns INFO [00001811] * RD COMPARE * port=0 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5
1809.50ns INFO [00001811] Port=0 WR @02=5F49083F3C60603CC6
1809.50ns INFO [00001811] Port=1 RD @05
1810.50ns INFO [00001812] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3
1810.50ns INFO [00001812] Port=0 WR @03=10AD69A779E80CFFCD
1810.50ns INFO [00001812] Port=1 RD @04
1811.50ns INFO [00001813] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1811.50ns INFO [00001813] Port=0 RD @06
1812.50ns INFO [00001814] * RD COMPARE * port=1 adr=04 act=750C5FFC0644013DF5 exp=750C5FFC0644013DF5
1812.50ns INFO [00001814] Port=0 WR @06=12890963FD276B155D
1812.50ns INFO [00001814] Port=0 RD @00
1812.50ns INFO [00001814] Port=1 RD @05
1813.50ns INFO [00001815] * RD COMPARE * port=0 adr=06 act=504F34205D67A50AA3 exp=504F34205D67A50AA3
1813.50ns INFO [00001815] Port=0 WR @04=7A1628CC2E7DA184B0
1813.50ns INFO [00001815] Port=0 RD @00
1813.50ns INFO [00001815] Port=1 RD @03
1814.50ns INFO [00001816] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1814.50ns INFO [00001816] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1815.50ns INFO [00001817] * RD COMPARE * port=0 adr=00 act=6D97446FE7AA88AC90 exp=6D97446FE7AA88AC90
1815.50ns INFO [00001817] * RD COMPARE * port=1 adr=03 act=10AD69A779E80CFFCD exp=10AD69A779E80CFFCD
1815.50ns INFO [00001817] Port=0 WR @03=9BEE6BB04B94C3381E
1815.50ns INFO [00001817] Port=1 RD @04
1817.50ns INFO [00001819] * RD COMPARE * port=1 adr=04 act=7A1628CC2E7DA184B0 exp=7A1628CC2E7DA184B0
1817.50ns INFO [00001819] Port=0 RD @07
1819.50ns INFO [00001821] * RD COMPARE * port=0 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1819.50ns INFO [00001821] Port=0 WR @02=144C91E46599040AE1
1819.50ns INFO [00001821] Port=1 RD @07
1820.50ns INFO [00001822] Port=0 RD @05
1821.50ns INFO [00001823] * RD COMPARE * port=1 adr=07 act=79D6599A31A2AA9AB3 exp=79D6599A31A2AA9AB3
1821.50ns INFO [00001823] Port=0 RD @02
1822.50ns INFO [00001824] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1822.50ns INFO [00001824] Port=0 WR @00=DCEDF79BC9FDCFA1B7
1822.50ns INFO [00001824] Port=0 RD @03
1822.50ns INFO [00001824] Port=1 RD @06
1823.50ns INFO [00001825] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1
1824.50ns INFO [00001826] * RD COMPARE * port=0 adr=03 act=9BEE6BB04B94C3381E exp=9BEE6BB04B94C3381E
1824.50ns INFO [00001826] * RD COMPARE * port=1 adr=06 act=12890963FD276B155D exp=12890963FD276B155D
1824.50ns INFO [00001826] Port=0 RD @05
1825.50ns INFO [00001827] Port=0 RD @02
1826.50ns INFO [00001828] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1827.50ns INFO [00001829] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1
1827.50ns INFO [00001829] Port=0 WR @00=E6D6AAC34E2C0D5600
1827.50ns INFO [00001829] Port=1 RD @02
1828.50ns INFO [00001830] Port=0 WR @07=0D1D44DFDCEC79ABD8
1828.50ns INFO [00001830] Port=0 RD @01
1828.50ns INFO [00001830] Port=1 RD @02
1829.50ns INFO [00001831] * RD COMPARE * port=1 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1
1829.50ns INFO [00001831] Port=0 RD @00
1830.50ns INFO [00001832] * RD COMPARE * port=0 adr=01 act=DBFDA2085C6FB698DF exp=DBFDA2085C6FB698DF
1830.50ns INFO [00001832] * RD COMPARE * port=1 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1
1830.50ns INFO [00001832] Port=0 RD @02
1831.50ns INFO [00001833] * RD COMPARE * port=0 adr=00 act=E6D6AAC34E2C0D5600 exp=E6D6AAC34E2C0D5600
1831.50ns INFO [00001833] Port=1 RD @00
1832.50ns INFO [00001834] * RD COMPARE * port=0 adr=02 act=144C91E46599040AE1 exp=144C91E46599040AE1
1832.50ns INFO [00001834] Port=0 WR @06=88A20F051CEF881AA8
1833.50ns INFO [00001835] * RD COMPARE * port=1 adr=00 act=E6D6AAC34E2C0D5600 exp=E6D6AAC34E2C0D5600
1833.50ns INFO [00001835] Port=0 WR @07=F62EDE01576425C1D1
1833.50ns INFO [00001835] Port=0 RD @05
1834.50ns INFO [00001836] Port=1 RD @05
1835.50ns INFO [00001837] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1835.50ns INFO [00001837] Port=0 WR @00=E392A5FC73F9F7DF96
1836.50ns INFO [00001838] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1836.50ns INFO [00001838] Port=0 RD @00
1837.50ns INFO [00001839] Port=0 WR @02=C78647934F11D4262B
1837.50ns INFO [00001839] Port=0 RD @06
1838.50ns INFO [00001840] * RD COMPARE * port=0 adr=00 act=E392A5FC73F9F7DF96 exp=E392A5FC73F9F7DF96
1838.50ns INFO [00001840] Port=0 WR @04=DF0A18519DA9FB0DF9
1839.50ns INFO [00001841] * RD COMPARE * port=0 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8
1839.50ns INFO [00001841] Port=0 WR @00=28578FED65DCABC5EB
1839.50ns INFO [00001841] Port=0 RD @04
1839.50ns INFO [00001841] Port=1 RD @04
1840.50ns INFO [00001842] Port=0 WR @03=8023FFBC23CB2548F1
1841.50ns INFO [00001843] * RD COMPARE * port=0 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9
1841.50ns INFO [00001843] * RD COMPARE * port=1 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9
1841.50ns INFO [00001843] Port=1 RD @04
1843.50ns INFO [00001845] * RD COMPARE * port=1 adr=04 act=DF0A18519DA9FB0DF9 exp=DF0A18519DA9FB0DF9
1844.50ns INFO [00001846] Port=1 RD @01
1845.50ns INFO [00001847] Port=1 RD @03
1846.50ns INFO [00001848] * RD COMPARE * port=1 adr=01 act=DBFDA2085C6FB698DF exp=DBFDA2085C6FB698DF
1846.50ns INFO [00001848] Port=0 WR @04=253CE1CC98F6253E1D
1847.50ns INFO [00001849] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1
1847.50ns INFO [00001849] Port=0 WR @01=69A4BF7F5FA94F5DA1
1847.50ns INFO [00001849] Port=0 RD @05
1848.50ns INFO [00001850] Port=0 RD @06
1849.50ns INFO [00001851] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1850.50ns INFO [00001852] * RD COMPARE * port=0 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8
1852.50ns INFO [00001854] Port=1 RD @06
1853.50ns INFO [00001855] Port=1 RD @06
1854.50ns INFO [00001856] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8
1854.50ns INFO [00001856] Port=1 RD @03
1855.50ns INFO [00001857] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8
1855.50ns INFO [00001857] Port=0 WR @07=4D675A26313BDB5110
1856.50ns INFO [00001858] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1
1856.50ns INFO [00001858] Port=0 WR @00=5B4B94D41C71AA17C3
1856.50ns INFO [00001858] Port=1 RD @03
1857.50ns INFO [00001859] Port=0 RD @04
1857.50ns INFO [00001859] Port=1 RD @04
1858.50ns INFO [00001860] * RD COMPARE * port=1 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1
1859.50ns INFO [00001861] * RD COMPARE * port=0 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D
1859.50ns INFO [00001861] * RD COMPARE * port=1 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D
1859.50ns INFO [00001861] Port=1 RD @06
1860.50ns INFO [00001862] Port=0 WR @06=0AB75AA8E8156C7BDE
1860.50ns INFO [00001862] Port=0 RD @03
1861.50ns INFO [00001863] * RD COMPARE * port=1 adr=06 act=88A20F051CEF881AA8 exp=88A20F051CEF881AA8
1861.50ns INFO [00001863] Port=1 RD @06
1862.50ns INFO [00001864] * RD COMPARE * port=0 adr=03 act=8023FFBC23CB2548F1 exp=8023FFBC23CB2548F1
1862.50ns INFO [00001864] Port=0 WR @06=6AFF5FE6275274016D
1862.50ns INFO [00001864] Port=1 RD @04
1863.50ns INFO [00001865] * RD COMPARE * port=1 adr=06 act=0AB75AA8E8156C7BDE exp=0AB75AA8E8156C7BDE
1863.50ns INFO [00001865] Port=1 RD @00
1864.50ns INFO [00001866] * RD COMPARE * port=1 adr=04 act=253CE1CC98F6253E1D exp=253CE1CC98F6253E1D
1864.50ns INFO [00001866] Port=1 RD @05
1865.50ns INFO [00001867] * RD COMPARE * port=1 adr=00 act=5B4B94D41C71AA17C3 exp=5B4B94D41C71AA17C3
1865.50ns INFO [00001867] Port=0 WR @04=083A23D1D8C08747DC
1865.50ns INFO [00001867] Port=0 RD @05
1865.50ns INFO [00001867] Port=1 RD @05
1866.50ns INFO [00001868] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1866.50ns INFO [00001868] Port=0 WR @03=2A172D49A1095019B7
1867.50ns INFO [00001869] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1867.50ns INFO [00001869] * RD COMPARE * port=1 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1868.50ns INFO [00001870] Port=0 RD @05
1868.50ns INFO [00001870] Port=1 RD @02
1869.50ns INFO [00001871] Port=0 WR @04=E9F99882C05434EC83
1870.50ns INFO [00001872] * RD COMPARE * port=0 adr=05 act=CAFB05CE91BDD976D9 exp=CAFB05CE91BDD976D9
1870.50ns INFO [00001872] * RD COMPARE * port=1 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B
1870.50ns INFO [00001872] Port=1 RD @06
1871.50ns INFO [00001873] Port=1 RD @06
1872.50ns INFO [00001874] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D
1872.50ns INFO [00001874] Port=0 RD @06
1872.50ns INFO [00001874] Port=1 RD @04
1873.50ns INFO [00001875] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D
1873.50ns INFO [00001875] Port=0 RD @02
1874.50ns INFO [00001876] * RD COMPARE * port=0 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D
1874.50ns INFO [00001876] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83
1874.50ns INFO [00001876] Port=0 RD @04
1874.50ns INFO [00001876] Port=1 RD @01
1875.50ns INFO [00001877] * RD COMPARE * port=0 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B
1875.50ns INFO [00001877] Port=1 RD @01
1876.50ns INFO [00001878] * RD COMPARE * port=0 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83
1876.50ns INFO [00001878] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1876.50ns INFO [00001878] Port=1 RD @01
1877.50ns INFO [00001879] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1877.50ns INFO [00001879] Port=1 RD @04
1878.50ns INFO [00001880] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1879.50ns INFO [00001881] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83
1880.50ns INFO [00001882] Port=0 WR @07=F80A14CEB519894BD2
1882.50ns INFO [00001884] Port=0 WR @05=F023F091EA3BEC72FE
1882.50ns INFO [00001884] Port=0 RD @03
1883.50ns INFO [00001885] Port=0 RD @02
1884.50ns INFO [00001886] * RD COMPARE * port=0 adr=03 act=2A172D49A1095019B7 exp=2A172D49A1095019B7
1884.50ns INFO [00001886] Port=1 RD @06
1885.50ns INFO [00001887] * RD COMPARE * port=0 adr=02 act=C78647934F11D4262B exp=C78647934F11D4262B
1886.50ns INFO [00001888] * RD COMPARE * port=1 adr=06 act=6AFF5FE6275274016D exp=6AFF5FE6275274016D
1886.50ns INFO [00001888] Port=0 WR @06=672FBD5694505B4C4F
1886.50ns INFO [00001888] Port=1 RD @00
1888.50ns INFO [00001890] * RD COMPARE * port=1 adr=00 act=5B4B94D41C71AA17C3 exp=5B4B94D41C71AA17C3
1888.50ns INFO [00001890] Port=1 RD @01
1889.50ns INFO [00001891] Port=0 WR @00=7E8F4FABBB9AB95D65
1889.50ns INFO [00001891] Port=1 RD @04
1890.50ns INFO [00001892] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1890.50ns INFO [00001892] Port=0 WR @03=796D934300E442BE0B
1891.50ns INFO [00001893] * RD COMPARE * port=1 adr=04 act=E9F99882C05434EC83 exp=E9F99882C05434EC83
1894.50ns INFO [00001896] Port=1 RD @06
1895.50ns INFO [00001897] Port=0 WR @00=3DBC91BBAF8434BCEF
1895.50ns INFO [00001897] Port=1 RD @05
1896.50ns INFO [00001898] * RD COMPARE * port=1 adr=06 act=672FBD5694505B4C4F exp=672FBD5694505B4C4F
1896.50ns INFO [00001898] Port=0 WR @04=35F7C2DD95544F1726
1897.50ns INFO [00001899] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE
1898.00ns INFO [00001900] [00001900] ...tick...
1898.50ns INFO [00001900] Port=1 RD @01
1899.50ns INFO [00001901] Port=0 RD @00
1900.50ns INFO [00001902] * RD COMPARE * port=1 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1900.50ns INFO [00001902] Port=1 RD @05
1901.50ns INFO [00001903] * RD COMPARE * port=0 adr=00 act=3DBC91BBAF8434BCEF exp=3DBC91BBAF8434BCEF
1901.50ns INFO [00001903] Port=0 WR @00=63CED6D51A4611F633
1902.50ns INFO [00001904] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE
1902.50ns INFO [00001904] Port=0 WR @02=D96DD97AA36F2AF0DF
1902.50ns INFO [00001904] Port=1 RD @00
1903.50ns INFO [00001905] Port=0 RD @01
1903.50ns INFO [00001905] Port=1 RD @04
1904.50ns INFO [00001906] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633
1904.50ns INFO [00001906] Port=1 RD @06
1905.50ns INFO [00001907] * RD COMPARE * port=0 adr=01 act=69A4BF7F5FA94F5DA1 exp=69A4BF7F5FA94F5DA1
1905.50ns INFO [00001907] * RD COMPARE * port=1 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726
1905.50ns INFO [00001907] Port=0 WR @06=752333D6825FED28A9
1905.50ns INFO [00001907] Port=0 RD @05
1906.50ns INFO [00001908] * RD COMPARE * port=1 adr=06 act=672FBD5694505B4C4F exp=672FBD5694505B4C4F
1906.50ns INFO [00001908] Port=0 RD @04
1907.50ns INFO [00001909] * RD COMPARE * port=0 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE
1908.50ns INFO [00001910] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726
1908.50ns INFO [00001910] Port=0 RD @04
1909.50ns INFO [00001911] Port=0 RD @04
1909.50ns INFO [00001911] Port=1 RD @05
1910.50ns INFO [00001912] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726
1910.50ns INFO [00001912] Port=0 WR @01=67C966550024B90EEE
1910.50ns INFO [00001912] Port=0 RD @03
1910.50ns INFO [00001912] Port=1 RD @05
1911.50ns INFO [00001913] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726
1911.50ns INFO [00001913] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE
1911.50ns INFO [00001913] Port=0 WR @07=E7E53DFDC83BB2BF68
1912.50ns INFO [00001914] * RD COMPARE * port=0 adr=03 act=796D934300E442BE0B exp=796D934300E442BE0B
1912.50ns INFO [00001914] * RD COMPARE * port=1 adr=05 act=F023F091EA3BEC72FE exp=F023F091EA3BEC72FE
1912.50ns INFO [00001914] Port=0 WR @03=53B0D7E1A7CAC6E713
1912.50ns INFO [00001914] Port=0 RD @07
1912.50ns INFO [00001914] Port=1 RD @00
1913.50ns INFO [00001915] Port=0 RD @04
1914.50ns INFO [00001916] * RD COMPARE * port=0 adr=07 act=E7E53DFDC83BB2BF68 exp=E7E53DFDC83BB2BF68
1914.50ns INFO [00001916] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633
1914.50ns INFO [00001916] Port=0 WR @04=D9C4582041C68C5C0A
1915.50ns INFO [00001917] * RD COMPARE * port=0 adr=04 act=35F7C2DD95544F1726 exp=35F7C2DD95544F1726
1915.50ns INFO [00001917] Port=0 WR @05=2FF232436EEBA3BF8A
1915.50ns INFO [00001917] Port=0 RD @01
1915.50ns INFO [00001917] Port=1 RD @01
1916.50ns INFO [00001918] Port=1 RD @04
1917.50ns INFO [00001919] * RD COMPARE * port=0 adr=01 act=67C966550024B90EEE exp=67C966550024B90EEE
1917.50ns INFO [00001919] * RD COMPARE * port=1 adr=01 act=67C966550024B90EEE exp=67C966550024B90EEE
1918.50ns INFO [00001920] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A
1918.50ns INFO [00001920] Port=0 WR @07=AF31851F5FC27E6C92
1918.50ns INFO [00001920] Port=1 RD @04
1919.50ns INFO [00001921] Port=0 WR @01=9A88C667E6B8D9F1C9
1919.50ns INFO [00001921] Port=1 RD @04
1920.50ns INFO [00001922] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A
1921.50ns INFO [00001923] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A
1921.50ns INFO [00001923] Port=0 WR @03=304609580366409709
1921.50ns INFO [00001923] Port=0 RD @07
1921.50ns INFO [00001923] Port=1 RD @04
1922.50ns INFO [00001924] Port=1 RD @02
1923.50ns INFO [00001925] * RD COMPARE * port=0 adr=07 act=AF31851F5FC27E6C92 exp=AF31851F5FC27E6C92
1923.50ns INFO [00001925] * RD COMPARE * port=1 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A
1923.50ns INFO [00001925] Port=0 RD @04
1923.50ns INFO [00001925] Port=1 RD @06
1924.50ns INFO [00001926] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1924.50ns INFO [00001926] Port=0 WR @01=A2197E3A5F5AAB5EC3
1924.50ns INFO [00001926] Port=0 RD @06
1925.50ns INFO [00001927] * RD COMPARE * port=0 adr=04 act=D9C4582041C68C5C0A exp=D9C4582041C68C5C0A
1925.50ns INFO [00001927] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9
1925.50ns INFO [00001927] Port=0 WR @01=6422BC5538EB559615
1925.50ns INFO [00001927] Port=1 RD @05
1926.50ns INFO [00001928] * RD COMPARE * port=0 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9
1926.50ns INFO [00001928] Port=0 RD @02
1926.50ns INFO [00001928] Port=1 RD @06
1927.50ns INFO [00001929] * RD COMPARE * port=1 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A
1927.50ns INFO [00001929] Port=1 RD @01
1928.50ns INFO [00001930] * RD COMPARE * port=0 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1928.50ns INFO [00001930] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9
1928.50ns INFO [00001930] Port=0 RD @01
1929.50ns INFO [00001931] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1929.50ns INFO [00001931] Port=0 WR @04=F064AF39BF15B9BE0F
1930.50ns INFO [00001932] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1930.50ns INFO [00001932] Port=1 RD @03
1931.50ns INFO [00001933] Port=1 RD @05
1932.50ns INFO [00001934] * RD COMPARE * port=1 adr=03 act=304609580366409709 exp=304609580366409709
1933.50ns INFO [00001935] * RD COMPARE * port=1 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A
1934.50ns INFO [00001936] Port=0 RD @05
1934.50ns INFO [00001936] Port=1 RD @01
1935.50ns INFO [00001937] Port=0 WR @05=BB3290F2D42CA7D603
1935.50ns INFO [00001937] Port=1 RD @02
1936.50ns INFO [00001938] * RD COMPARE * port=0 adr=05 act=2FF232436EEBA3BF8A exp=2FF232436EEBA3BF8A
1936.50ns INFO [00001938] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1936.50ns INFO [00001938] Port=0 RD @07
1936.50ns INFO [00001938] Port=1 RD @02
1937.50ns INFO [00001939] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1937.50ns INFO [00001939] Port=0 WR @07=AD6E95B91CA783655B
1938.50ns INFO [00001940] * RD COMPARE * port=0 adr=07 act=AF31851F5FC27E6C92 exp=AF31851F5FC27E6C92
1938.50ns INFO [00001940] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1938.50ns INFO [00001940] Port=1 RD @06
1940.50ns INFO [00001942] * RD COMPARE * port=1 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9
1940.50ns INFO [00001942] Port=0 RD @07
1940.50ns INFO [00001942] Port=1 RD @00
1941.50ns INFO [00001943] Port=0 RD @06
1942.50ns INFO [00001944] * RD COMPARE * port=0 adr=07 act=AD6E95B91CA783655B exp=AD6E95B91CA783655B
1942.50ns INFO [00001944] * RD COMPARE * port=1 adr=00 act=63CED6D51A4611F633 exp=63CED6D51A4611F633
1942.50ns INFO [00001944] Port=0 WR @05=C6243F6F29999257B4
1943.50ns INFO [00001945] * RD COMPARE * port=0 adr=06 act=752333D6825FED28A9 exp=752333D6825FED28A9
1943.50ns INFO [00001945] Port=1 RD @02
1944.50ns INFO [00001946] Port=1 RD @03
1945.50ns INFO [00001947] * RD COMPARE * port=1 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1945.50ns INFO [00001947] Port=0 WR @06=CE831957A91EC4E3E7
1945.50ns INFO [00001947] Port=1 RD @01
1946.50ns INFO [00001948] * RD COMPARE * port=1 adr=03 act=304609580366409709 exp=304609580366409709
1947.50ns INFO [00001949] * RD COMPARE * port=1 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1947.50ns INFO [00001949] Port=0 RD @06
1948.50ns INFO [00001950] Port=0 RD @06
1949.50ns INFO [00001951] * RD COMPARE * port=0 adr=06 act=CE831957A91EC4E3E7 exp=CE831957A91EC4E3E7
1949.50ns INFO [00001951] Port=0 WR @07=4BCA9A7D0DBB2F1888
1950.50ns INFO [00001952] * RD COMPARE * port=0 adr=06 act=CE831957A91EC4E3E7 exp=CE831957A91EC4E3E7
1953.50ns INFO [00001955] Port=1 RD @07
1954.50ns INFO [00001956] Port=0 WR @07=99A39DBF98632B8975
1954.50ns INFO [00001956] Port=0 RD @02
1955.50ns INFO [00001957] * RD COMPARE * port=1 adr=07 act=4BCA9A7D0DBB2F1888 exp=4BCA9A7D0DBB2F1888
1955.50ns INFO [00001957] Port=0 WR @03=1C30598D36A1C83E21
1955.50ns INFO [00001957] Port=0 RD @01
1956.50ns INFO [00001958] * RD COMPARE * port=0 adr=02 act=D96DD97AA36F2AF0DF exp=D96DD97AA36F2AF0DF
1957.50ns INFO [00001959] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1957.50ns INFO [00001959] Port=0 WR @00=B8724C1FD044A26A0F
1957.50ns INFO [00001959] Port=1 RD @05
1958.50ns INFO [00001960] Port=0 WR @02=641DB272671FD350F7
1959.50ns INFO [00001961] * RD COMPARE * port=1 adr=05 act=C6243F6F29999257B4 exp=C6243F6F29999257B4
1959.50ns INFO [00001961] Port=0 WR @03=AC00533B679BF4C05C
1959.50ns INFO [00001961] Port=0 RD @01
1961.50ns INFO [00001963] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1962.50ns INFO [00001964] Port=0 WR @06=952D8F040807FC7ADD
1963.50ns INFO [00001965] Port=0 RD @01
1963.50ns INFO [00001965] Port=1 RD @02
1965.50ns INFO [00001967] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1965.50ns INFO [00001967] * RD COMPARE * port=1 adr=02 act=641DB272671FD350F7 exp=641DB272671FD350F7
1965.50ns INFO [00001967] Port=1 RD @04
1966.50ns INFO [00001968] Port=0 WR @02=510042895379901521
1966.50ns INFO [00001968] Port=0 RD @03
1966.50ns INFO [00001968] Port=1 RD @07
1967.50ns INFO [00001969] * RD COMPARE * port=1 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F
1967.50ns INFO [00001969] Port=0 WR @06=48DF582CAE650686EB
1968.50ns INFO [00001970] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
1968.50ns INFO [00001970] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1969.50ns INFO [00001971] Port=0 RD @01
1969.50ns INFO [00001971] Port=1 RD @07
1970.50ns INFO [00001972] Port=0 WR @05=6703A4ED5244F7FEB4
1971.50ns INFO [00001973] * RD COMPARE * port=0 adr=01 act=6422BC5538EB559615 exp=6422BC5538EB559615
1971.50ns INFO [00001973] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1971.50ns INFO [00001973] Port=0 WR @06=B64E8E6DFAF0F7087B
1972.50ns INFO [00001974] Port=0 WR @01=CA650045D9FDC072F8
1972.50ns INFO [00001974] Port=0 RD @05
1973.50ns INFO [00001975] Port=1 RD @07
1974.50ns INFO [00001976] * RD COMPARE * port=0 adr=05 act=6703A4ED5244F7FEB4 exp=6703A4ED5244F7FEB4
1974.50ns INFO [00001976] Port=0 RD @05
1975.50ns INFO [00001977] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1975.50ns INFO [00001977] Port=0 RD @07
1975.50ns INFO [00001977] Port=1 RD @07
1976.50ns INFO [00001978] * RD COMPARE * port=0 adr=05 act=6703A4ED5244F7FEB4 exp=6703A4ED5244F7FEB4
1976.50ns INFO [00001978] Port=1 RD @00
1977.50ns INFO [00001979] * RD COMPARE * port=0 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1977.50ns INFO [00001979] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1978.50ns INFO [00001980] * RD COMPARE * port=1 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
1978.50ns INFO [00001980] Port=0 WR @05=F8828A6F6ED2DF5206
1979.50ns INFO [00001981] Port=1 RD @01
1980.50ns INFO [00001982] Port=0 RD @03
1981.50ns INFO [00001983] * RD COMPARE * port=1 adr=01 act=CA650045D9FDC072F8 exp=CA650045D9FDC072F8
1982.50ns INFO [00001984] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
1982.50ns INFO [00001984] Port=0 WR @02=0A4173AFD86D02CECC
1982.50ns INFO [00001984] Port=0 RD @07
1982.50ns INFO [00001984] Port=1 RD @05
1983.50ns INFO [00001985] Port=0 RD @04
1984.50ns INFO [00001986] * RD COMPARE * port=0 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1984.50ns INFO [00001986] * RD COMPARE * port=1 adr=05 act=F8828A6F6ED2DF5206 exp=F8828A6F6ED2DF5206
1984.50ns INFO [00001986] Port=0 WR @02=DE6F04C07D70DF91DF
1984.50ns INFO [00001986] Port=1 RD @07
1985.50ns INFO [00001987] * RD COMPARE * port=0 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F
1985.50ns INFO [00001987] Port=0 RD @03
1986.50ns INFO [00001988] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
1986.50ns INFO [00001988] Port=1 RD @04
1987.50ns INFO [00001989] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
1987.50ns INFO [00001989] Port=0 RD @02
1987.50ns INFO [00001989] Port=1 RD @01
1988.50ns INFO [00001990] * RD COMPARE * port=1 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F
1988.50ns INFO [00001990] Port=0 RD @00
1989.50ns INFO [00001991] * RD COMPARE * port=0 adr=02 act=DE6F04C07D70DF91DF exp=DE6F04C07D70DF91DF
1989.50ns INFO [00001991] * RD COMPARE * port=1 adr=01 act=CA650045D9FDC072F8 exp=CA650045D9FDC072F8
1989.50ns INFO [00001991] Port=0 RD @06
1989.50ns INFO [00001991] Port=1 RD @03
1990.50ns INFO [00001992] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
1990.50ns INFO [00001992] Port=0 RD @04
1990.50ns INFO [00001992] Port=1 RD @06
1991.50ns INFO [00001993] * RD COMPARE * port=0 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B
1991.50ns INFO [00001993] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
1992.50ns INFO [00001994] * RD COMPARE * port=0 adr=04 act=F064AF39BF15B9BE0F exp=F064AF39BF15B9BE0F
1992.50ns INFO [00001994] * RD COMPARE * port=1 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B
1992.50ns INFO [00001994] Port=1 RD @03
1994.50ns INFO [00001996] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
1995.50ns INFO [00001997] Port=0 WR @01=CB0B2F3743DF3FA9F4
1995.50ns INFO [00001997] Port=1 RD @06
1996.50ns INFO [00001998] Port=0 WR @05=A7987493EF62F2E36C
1997.50ns INFO [00001999] * RD COMPARE * port=1 adr=06 act=B64E8E6DFAF0F7087B exp=B64E8E6DFAF0F7087B
1998.00ns INFO [00002000] [00002000] ...tick...
2000.50ns INFO [00002002] Port=0 WR @02=DE98613635D4F1A892
2000.50ns INFO [00002002] Port=1 RD @03
2002.50ns INFO [00002004] * RD COMPARE * port=1 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
2002.50ns INFO [00002004] Port=0 WR @04=EA30F73680C3046516
2002.50ns INFO [00002004] Port=0 RD @02
2002.50ns INFO [00002004] Port=1 RD @05
2003.50ns INFO [00002005] Port=0 WR @06=B72E0C5FB64995C905
2003.50ns INFO [00002005] Port=0 RD @00
2003.50ns INFO [00002005] Port=1 RD @07
2004.50ns INFO [00002006] * RD COMPARE * port=0 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892
2004.50ns INFO [00002006] * RD COMPARE * port=1 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C
2005.50ns INFO [00002007] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
2005.50ns INFO [00002007] * RD COMPARE * port=1 adr=07 act=99A39DBF98632B8975 exp=99A39DBF98632B8975
2005.50ns INFO [00002007] Port=0 RD @05
2006.50ns INFO [00002008] Port=1 RD @00
2007.50ns INFO [00002009] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C
2007.50ns INFO [00002009] Port=0 WR @07=495092F13426A142BC
2007.50ns INFO [00002009] Port=0 RD @04
2008.50ns INFO [00002010] * RD COMPARE * port=1 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
2008.50ns INFO [00002010] Port=0 WR @06=275BF6586FA09ABEC6
2009.50ns INFO [00002011] * RD COMPARE * port=0 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516
2010.50ns INFO [00002012] Port=0 RD @06
2011.50ns INFO [00002013] Port=1 RD @04
2012.50ns INFO [00002014] * RD COMPARE * port=0 adr=06 act=275BF6586FA09ABEC6 exp=275BF6586FA09ABEC6
2012.50ns INFO [00002014] Port=0 WR @01=420EED10A338935A58
2012.50ns INFO [00002014] Port=0 RD @05
2012.50ns INFO [00002014] Port=1 RD @05
2013.50ns INFO [00002015] * RD COMPARE * port=1 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516
2014.50ns INFO [00002016] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C
2014.50ns INFO [00002016] * RD COMPARE * port=1 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C
2014.50ns INFO [00002016] Port=0 RD @05
2014.50ns INFO [00002016] Port=1 RD @02
2015.50ns INFO [00002017] Port=1 RD @04
2016.50ns INFO [00002018] * RD COMPARE * port=0 adr=05 act=A7987493EF62F2E36C exp=A7987493EF62F2E36C
2016.50ns INFO [00002018] * RD COMPARE * port=1 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892
2016.50ns INFO [00002018] Port=0 WR @04=8D95E249DF5ED5436D
2017.50ns INFO [00002019] * RD COMPARE * port=1 adr=04 act=EA30F73680C3046516 exp=EA30F73680C3046516
2017.50ns INFO [00002019] Port=1 RD @06
2018.50ns INFO [00002020] Port=0 WR @06=CD57BCE9E35344FDC4
2018.50ns INFO [00002020] Port=0 RD @00
2019.50ns INFO [00002021] * RD COMPARE * port=1 adr=06 act=275BF6586FA09ABEC6 exp=275BF6586FA09ABEC6
2019.50ns INFO [00002021] Port=0 WR @05=34B6DA982F75D3AE92
2020.50ns INFO [00002022] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
2020.50ns INFO [00002022] Port=0 RD @00
2020.50ns INFO [00002022] Port=1 RD @05
2021.50ns INFO [00002023] Port=0 WR @00=61E480E7064A5142C0
2022.50ns INFO [00002024] * RD COMPARE * port=0 adr=00 act=B8724C1FD044A26A0F exp=B8724C1FD044A26A0F
2022.50ns INFO [00002024] * RD COMPARE * port=1 adr=05 act=34B6DA982F75D3AE92 exp=34B6DA982F75D3AE92
2022.50ns INFO [00002024] Port=0 WR @01=5DE48F3FCBBD9068A3
2022.50ns INFO [00002024] Port=1 RD @06
2023.50ns INFO [00002025] Port=0 WR @05=13DAD703A5EAC9777A
2023.50ns INFO [00002025] Port=1 RD @04
2024.50ns INFO [00002026] * RD COMPARE * port=1 adr=06 act=CD57BCE9E35344FDC4 exp=CD57BCE9E35344FDC4
2024.50ns INFO [00002026] Port=0 RD @05
2025.50ns INFO [00002027] * RD COMPARE * port=1 adr=04 act=8D95E249DF5ED5436D exp=8D95E249DF5ED5436D
2025.50ns INFO [00002027] Port=0 WR @06=124215B578A3FC33E8
2026.50ns INFO [00002028] * RD COMPARE * port=0 adr=05 act=13DAD703A5EAC9777A exp=13DAD703A5EAC9777A
2026.50ns INFO [00002028] Port=0 WR @00=F451DA161099D256B5
2026.50ns INFO [00002028] Port=0 RD @03
2027.50ns INFO [00002029] Port=0 WR @03=330036AEB45BEF77B9
2027.50ns INFO [00002029] Port=0 RD @01
2028.50ns INFO [00002030] * RD COMPARE * port=0 adr=03 act=AC00533B679BF4C05C exp=AC00533B679BF4C05C
2028.50ns INFO [00002030] Port=1 RD @06
2029.50ns INFO [00002031] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2029.50ns INFO [00002031] Port=0 WR @05=5B1AA54DE37F99B457
2029.50ns INFO [00002031] Port=0 RD @03
2030.50ns INFO [00002032] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8
2030.50ns INFO [00002032] Port=1 RD @04
2031.50ns INFO [00002033] * RD COMPARE * port=0 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9
2031.50ns INFO [00002033] Port=1 RD @02
2032.50ns INFO [00002034] * RD COMPARE * port=1 adr=04 act=8D95E249DF5ED5436D exp=8D95E249DF5ED5436D
2032.50ns INFO [00002034] Port=1 RD @03
2033.50ns INFO [00002035] * RD COMPARE * port=1 adr=02 act=DE98613635D4F1A892 exp=DE98613635D4F1A892
2033.50ns INFO [00002035] Port=0 WR @00=C65274A1E7183F5196
2034.50ns INFO [00002036] * RD COMPARE * port=1 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9
2034.50ns INFO [00002036] Port=0 WR @02=6C0087EA566379DD98
2034.50ns INFO [00002036] Port=0 RD @06
2035.50ns INFO [00002037] Port=0 WR @02=E27D57928A214B6986
2035.50ns INFO [00002037] Port=1 RD @00
2036.50ns INFO [00002038] * RD COMPARE * port=0 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8
2036.50ns INFO [00002038] Port=0 WR @04=C6541A2A3715E14F63
2036.50ns INFO [00002038] Port=1 RD @07
2037.50ns INFO [00002039] * RD COMPARE * port=1 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196
2037.50ns INFO [00002039] Port=0 WR @05=92B754C65C0E5C227C
2038.50ns INFO [00002040] * RD COMPARE * port=1 adr=07 act=495092F13426A142BC exp=495092F13426A142BC
2038.50ns INFO [00002040] Port=1 RD @07
2039.50ns INFO [00002041] Port=0 RD @01
2039.50ns INFO [00002041] Port=1 RD @01
2040.50ns INFO [00002042] * RD COMPARE * port=1 adr=07 act=495092F13426A142BC exp=495092F13426A142BC
2040.50ns INFO [00002042] Port=0 WR @02=44EE4F946DB9E3A26D
2040.50ns INFO [00002042] Port=0 RD @00
2040.50ns INFO [00002042] Port=1 RD @06
2041.50ns INFO [00002043] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2041.50ns INFO [00002043] * RD COMPARE * port=1 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2042.50ns INFO [00002044] * RD COMPARE * port=0 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196
2042.50ns INFO [00002044] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8
2043.50ns INFO [00002045] Port=0 RD @02
2044.50ns INFO [00002046] Port=1 RD @02
2045.50ns INFO [00002047] * RD COMPARE * port=0 adr=02 act=44EE4F946DB9E3A26D exp=44EE4F946DB9E3A26D
2045.50ns INFO [00002047] Port=0 WR @02=0E2D1DC47470FCE76A
2046.50ns INFO [00002048] * RD COMPARE * port=1 adr=02 act=44EE4F946DB9E3A26D exp=44EE4F946DB9E3A26D
2046.50ns INFO [00002048] Port=0 RD @03
2048.50ns INFO [00002050] * RD COMPARE * port=0 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9
2048.50ns INFO [00002050] Port=0 WR @02=B30E0BDD81418A021F
2048.50ns INFO [00002050] Port=0 RD @05
2048.50ns INFO [00002050] Port=1 RD @00
2050.50ns INFO [00002052] * RD COMPARE * port=0 adr=05 act=92B754C65C0E5C227C exp=92B754C65C0E5C227C
2050.50ns INFO [00002052] * RD COMPARE * port=1 adr=00 act=C65274A1E7183F5196 exp=C65274A1E7183F5196
2050.50ns INFO [00002052] Port=1 RD @03
2051.50ns INFO [00002053] Port=0 WR @00=CDC9E11D65A9C45521
2051.50ns INFO [00002053] Port=0 RD @02
2052.50ns INFO [00002054] * RD COMPARE * port=1 adr=03 act=330036AEB45BEF77B9 exp=330036AEB45BEF77B9
2052.50ns INFO [00002054] Port=1 RD @01
2053.50ns INFO [00002055] * RD COMPARE * port=0 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F
2053.50ns INFO [00002055] Port=0 RD @07
2053.50ns INFO [00002055] Port=1 RD @00
2054.50ns INFO [00002056] * RD COMPARE * port=1 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2055.50ns INFO [00002057] * RD COMPARE * port=0 adr=07 act=495092F13426A142BC exp=495092F13426A142BC
2055.50ns INFO [00002057] * RD COMPARE * port=1 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521
2055.50ns INFO [00002057] Port=0 RD @00
2057.50ns INFO [00002059] * RD COMPARE * port=0 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521
2057.50ns INFO [00002059] Port=0 WR @03=421A84724A6331673C
2058.50ns INFO [00002060] Port=0 RD @06
2060.50ns INFO [00002062] * RD COMPARE * port=0 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8
2060.50ns INFO [00002062] Port=1 RD @00
2061.50ns INFO [00002063] Port=0 WR @03=2E28339BED040BCB51
2062.50ns INFO [00002064] * RD COMPARE * port=1 adr=00 act=CDC9E11D65A9C45521 exp=CDC9E11D65A9C45521
2062.50ns INFO [00002064] Port=0 RD @01
2062.50ns INFO [00002064] Port=1 RD @05
2063.50ns INFO [00002065] Port=0 WR @05=5443412BBC37340A44
2063.50ns INFO [00002065] Port=1 RD @06
2064.50ns INFO [00002066] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2064.50ns INFO [00002066] * RD COMPARE * port=1 adr=05 act=92B754C65C0E5C227C exp=92B754C65C0E5C227C
2064.50ns INFO [00002066] Port=0 WR @05=9C6828EE448E948587
2064.50ns INFO [00002066] Port=0 RD @07
2065.50ns INFO [00002067] * RD COMPARE * port=1 adr=06 act=124215B578A3FC33E8 exp=124215B578A3FC33E8
2065.50ns INFO [00002067] Port=1 RD @02
2066.50ns INFO [00002068] * RD COMPARE * port=0 adr=07 act=495092F13426A142BC exp=495092F13426A142BC
2067.50ns INFO [00002069] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F
2067.50ns INFO [00002069] Port=0 WR @04=BB4CDB1EF1116E93A4
2067.50ns INFO [00002069] Port=0 RD @05
2069.50ns INFO [00002071] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2069.50ns INFO [00002071] Port=0 RD @01
2070.50ns INFO [00002072] Port=1 RD @02
2071.50ns INFO [00002073] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2071.50ns INFO [00002073] Port=0 WR @07=63E6DC17A26074C123
2072.50ns INFO [00002074] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F
2072.50ns INFO [00002074] Port=0 RD @01
2073.50ns INFO [00002075] Port=0 WR @03=4DC66174B8EFB59DCF
2073.50ns INFO [00002075] Port=1 RD @02
2074.50ns INFO [00002076] * RD COMPARE * port=0 adr=01 act=5DE48F3FCBBD9068A3 exp=5DE48F3FCBBD9068A3
2075.50ns INFO [00002077] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F
2075.50ns INFO [00002077] Port=0 WR @01=78925F4C431E81AE11
2075.50ns INFO [00002077] Port=1 RD @05
2077.50ns INFO [00002079] * RD COMPARE * port=1 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2077.50ns INFO [00002079] Port=0 WR @06=A4DBEB32FF46ED3E4D
2077.50ns INFO [00002079] Port=0 RD @04
2078.50ns INFO [00002080] Port=0 WR @00=322170E275BF9715CA
2078.50ns INFO [00002080] Port=0 RD @03
2078.50ns INFO [00002080] Port=1 RD @02
2079.50ns INFO [00002081] * RD COMPARE * port=0 adr=04 act=BB4CDB1EF1116E93A4 exp=BB4CDB1EF1116E93A4
2080.50ns INFO [00002082] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF
2080.50ns INFO [00002082] * RD COMPARE * port=1 adr=02 act=B30E0BDD81418A021F exp=B30E0BDD81418A021F
2081.50ns INFO [00002083] Port=0 WR @04=CEA892D0A61A673DE9
2081.50ns INFO [00002083] Port=0 RD @07
2082.50ns INFO [00002084] Port=1 RD @04
2083.50ns INFO [00002085] * RD COMPARE * port=0 adr=07 act=63E6DC17A26074C123 exp=63E6DC17A26074C123
2083.50ns INFO [00002085] Port=0 WR @01=16C9A65FD422A463D7
2083.50ns INFO [00002085] Port=1 RD @04
2084.50ns INFO [00002086] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9
2085.50ns INFO [00002087] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9
2085.50ns INFO [00002087] Port=1 RD @04
2086.50ns INFO [00002088] Port=0 WR @02=6FC9E63C471A40B0FE
2086.50ns INFO [00002088] Port=0 RD @03
2086.50ns INFO [00002088] Port=1 RD @07
2087.50ns INFO [00002089] * RD COMPARE * port=1 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9
2087.50ns INFO [00002089] Port=0 WR @07=BFC3E56C502D4BAD03
2087.50ns INFO [00002089] Port=0 RD @04
2088.50ns INFO [00002090] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF
2088.50ns INFO [00002090] * RD COMPARE * port=1 adr=07 act=63E6DC17A26074C123 exp=63E6DC17A26074C123
2088.50ns INFO [00002090] Port=0 WR @06=AFE70C6E75493FE2E6
2088.50ns INFO [00002090] Port=0 RD @03
2088.50ns INFO [00002090] Port=1 RD @01
2089.50ns INFO [00002091] * RD COMPARE * port=0 adr=04 act=CEA892D0A61A673DE9 exp=CEA892D0A61A673DE9
2089.50ns INFO [00002091] Port=0 WR @06=4E9832FF482EA5A8B9
2090.50ns INFO [00002092] * RD COMPARE * port=0 adr=03 act=4DC66174B8EFB59DCF exp=4DC66174B8EFB59DCF
2090.50ns INFO [00002092] * RD COMPARE * port=1 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7
2090.50ns INFO [00002092] Port=0 RD @00
2091.50ns INFO [00002093] Port=0 WR @04=EB0907D12AD817E89A
2091.50ns INFO [00002093] Port=0 RD @07
2091.50ns INFO [00002093] Port=1 RD @01
2092.50ns INFO [00002094] * RD COMPARE * port=0 adr=00 act=322170E275BF9715CA exp=322170E275BF9715CA
2092.50ns INFO [00002094] Port=0 RD @01
2093.50ns INFO [00002095] * RD COMPARE * port=0 adr=07 act=BFC3E56C502D4BAD03 exp=BFC3E56C502D4BAD03
2093.50ns INFO [00002095] * RD COMPARE * port=1 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7
2093.50ns INFO [00002095] Port=0 RD @01
2094.50ns INFO [00002096] * RD COMPARE * port=0 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7
2094.50ns INFO [00002096] Port=0 WR @06=4FEA15EB479D946536
2094.50ns INFO [00002096] Port=0 RD @04
2095.50ns INFO [00002097] * RD COMPARE * port=0 adr=01 act=16C9A65FD422A463D7 exp=16C9A65FD422A463D7
2095.50ns INFO [00002097] Port=0 RD @04
2096.50ns INFO [00002098] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2096.50ns INFO [00002098] Port=0 WR @03=462639F30CFC09C657
2096.50ns INFO [00002098] Port=1 RD @05
2097.50ns INFO [00002099] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2098.00ns INFO [00002100] [00002100] ...tick...
2098.50ns INFO [00002100] * RD COMPARE * port=1 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2098.50ns INFO [00002100] Port=0 WR @07=4AD6EAB631FD59B11E
2100.50ns INFO [00002102] Port=0 WR @02=2493AAB1B4F1DD484D
2100.50ns INFO [00002102] Port=1 RD @04
2101.50ns INFO [00002103] Port=0 RD @04
2102.50ns INFO [00002104] * RD COMPARE * port=1 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2102.50ns INFO [00002104] Port=0 RD @05
2102.50ns INFO [00002104] Port=1 RD @06
2103.50ns INFO [00002105] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2103.50ns INFO [00002105] Port=1 RD @03
2104.50ns INFO [00002106] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2104.50ns INFO [00002106] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2104.50ns INFO [00002106] Port=0 RD @05
2105.50ns INFO [00002107] * RD COMPARE * port=1 adr=03 act=462639F30CFC09C657 exp=462639F30CFC09C657
2105.50ns INFO [00002107] Port=0 RD @02
2105.50ns INFO [00002107] Port=1 RD @00
2106.50ns INFO [00002108] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2106.50ns INFO [00002108] Port=1 RD @02
2107.50ns INFO [00002109] * RD COMPARE * port=0 adr=02 act=2493AAB1B4F1DD484D exp=2493AAB1B4F1DD484D
2107.50ns INFO [00002109] * RD COMPARE * port=1 adr=00 act=322170E275BF9715CA exp=322170E275BF9715CA
2107.50ns INFO [00002109] Port=0 WR @00=71025D64E6BE8755E1
2107.50ns INFO [00002109] Port=0 RD @05
2108.50ns INFO [00002110] * RD COMPARE * port=1 adr=02 act=2493AAB1B4F1DD484D exp=2493AAB1B4F1DD484D
2109.50ns INFO [00002111] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2109.50ns INFO [00002111] Port=0 RD @06
2110.50ns INFO [00002112] Port=0 WR @02=E60ADF5B8E04325958
2110.50ns INFO [00002112] Port=0 RD @05
2111.50ns INFO [00002113] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2111.50ns INFO [00002113] Port=0 WR @03=B045DFFD13915503FF
2111.50ns INFO [00002113] Port=0 RD @04
2111.50ns INFO [00002113] Port=1 RD @02
2112.50ns INFO [00002114] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2112.50ns INFO [00002114] Port=1 RD @03
2113.50ns INFO [00002115] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2113.50ns INFO [00002115] * RD COMPARE * port=1 adr=02 act=E60ADF5B8E04325958 exp=E60ADF5B8E04325958
2113.50ns INFO [00002115] Port=0 WR @07=A84FD5394CCE82237E
2113.50ns INFO [00002115] Port=0 RD @05
2114.50ns INFO [00002116] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF
2114.50ns INFO [00002116] Port=0 RD @04
2115.50ns INFO [00002117] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2115.50ns INFO [00002117] Port=0 WR @02=3E46AE63D4063F3C23
2115.50ns INFO [00002117] Port=0 RD @00
2116.50ns INFO [00002118] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2116.50ns INFO [00002118] Port=0 WR @01=AA79348425BF2931F6
2116.50ns INFO [00002118] Port=1 RD @02
2117.50ns INFO [00002119] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1
2117.50ns INFO [00002119] Port=0 RD @00
2117.50ns INFO [00002119] Port=1 RD @02
2118.50ns INFO [00002120] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23
2118.50ns INFO [00002120] Port=0 RD @05
2118.50ns INFO [00002120] Port=1 RD @07
2119.50ns INFO [00002121] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1
2119.50ns INFO [00002121] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23
2119.50ns INFO [00002121] Port=0 WR @05=29488D398817C64079
2119.50ns INFO [00002121] Port=1 RD @02
2120.50ns INFO [00002122] * RD COMPARE * port=0 adr=05 act=9C6828EE448E948587 exp=9C6828EE448E948587
2120.50ns INFO [00002122] * RD COMPARE * port=1 adr=07 act=A84FD5394CCE82237E exp=A84FD5394CCE82237E
2120.50ns INFO [00002122] Port=0 WR @07=3E8516DB21BCE24566
2120.50ns INFO [00002122] Port=0 RD @01
2120.50ns INFO [00002122] Port=1 RD @06
2121.50ns INFO [00002123] * RD COMPARE * port=1 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23
2121.50ns INFO [00002123] Port=0 RD @05
2122.50ns INFO [00002124] * RD COMPARE * port=0 adr=01 act=AA79348425BF2931F6 exp=AA79348425BF2931F6
2122.50ns INFO [00002124] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2122.50ns INFO [00002124] Port=0 WR @01=3D1B0214D4A50AC2C7
2122.50ns INFO [00002124] Port=0 RD @05
2123.50ns INFO [00002125] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079
2124.50ns INFO [00002126] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079
2125.50ns INFO [00002127] Port=0 RD @05
2126.50ns INFO [00002128] Port=0 WR @05=5058C2DACBFDF973DC
2126.50ns INFO [00002128] Port=0 RD @02
2126.50ns INFO [00002128] Port=1 RD @00
2127.50ns INFO [00002129] * RD COMPARE * port=0 adr=05 act=29488D398817C64079 exp=29488D398817C64079
2127.50ns INFO [00002129] Port=0 RD @01
2127.50ns INFO [00002129] Port=1 RD @03
2128.50ns INFO [00002130] * RD COMPARE * port=0 adr=02 act=3E46AE63D4063F3C23 exp=3E46AE63D4063F3C23
2128.50ns INFO [00002130] * RD COMPARE * port=1 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1
2128.50ns INFO [00002130] Port=1 RD @03
2129.50ns INFO [00002131] * RD COMPARE * port=0 adr=01 act=3D1B0214D4A50AC2C7 exp=3D1B0214D4A50AC2C7
2129.50ns INFO [00002131] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF
2129.50ns INFO [00002131] Port=0 RD @04
2129.50ns INFO [00002131] Port=1 RD @01
2130.50ns INFO [00002132] * RD COMPARE * port=1 adr=03 act=B045DFFD13915503FF exp=B045DFFD13915503FF
2130.50ns INFO [00002132] Port=0 WR @03=E02338E71B1FAE8E7E
2131.50ns INFO [00002133] * RD COMPARE * port=0 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2131.50ns INFO [00002133] * RD COMPARE * port=1 adr=01 act=3D1B0214D4A50AC2C7 exp=3D1B0214D4A50AC2C7
2132.50ns INFO [00002134] Port=0 WR @01=D61A5071B52B0E12EB
2132.50ns INFO [00002134] Port=0 RD @06
2132.50ns INFO [00002134] Port=1 RD @04
2134.50ns INFO [00002136] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2134.50ns INFO [00002136] * RD COMPARE * port=1 adr=04 act=EB0907D12AD817E89A exp=EB0907D12AD817E89A
2138.50ns INFO [00002140] Port=0 WR @02=B2D754C5C4F632FA58
2140.50ns INFO [00002142] Port=0 WR @05=ED0B007FBE3774D4D2
2140.50ns INFO [00002142] Port=0 RD @00
2141.50ns INFO [00002143] Port=0 WR @00=6CB8BE65C46707BE2B
2141.50ns INFO [00002143] Port=1 RD @05
2142.50ns INFO [00002144] * RD COMPARE * port=0 adr=00 act=71025D64E6BE8755E1 exp=71025D64E6BE8755E1
2142.50ns INFO [00002144] Port=1 RD @05
2143.50ns INFO [00002145] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2
2143.50ns INFO [00002145] Port=0 RD @07
2144.50ns INFO [00002146] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2
2144.50ns INFO [00002146] Port=1 RD @05
2145.50ns INFO [00002147] * RD COMPARE * port=0 adr=07 act=3E8516DB21BCE24566 exp=3E8516DB21BCE24566
2145.50ns INFO [00002147] Port=0 WR @04=66366D5131A3C15410
2145.50ns INFO [00002147] Port=1 RD @01
2146.50ns INFO [00002148] * RD COMPARE * port=1 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2
2147.50ns INFO [00002149] * RD COMPARE * port=1 adr=01 act=D61A5071B52B0E12EB exp=D61A5071B52B0E12EB
2148.50ns INFO [00002150] Port=0 WR @00=86BD56B33453E5C2E0
2148.50ns INFO [00002150] Port=0 RD @07
2148.50ns INFO [00002150] Port=1 RD @04
2149.50ns INFO [00002151] Port=0 RD @01
2150.50ns INFO [00002152] * RD COMPARE * port=0 adr=07 act=3E8516DB21BCE24566 exp=3E8516DB21BCE24566
2150.50ns INFO [00002152] * RD COMPARE * port=1 adr=04 act=66366D5131A3C15410 exp=66366D5131A3C15410
2151.50ns INFO [00002153] * RD COMPARE * port=0 adr=01 act=D61A5071B52B0E12EB exp=D61A5071B52B0E12EB
2152.50ns INFO [00002154] Port=0 WR @00=214261ED40A2B6CB32
2153.50ns INFO [00002155] Port=0 RD @06
2154.50ns INFO [00002156] Port=0 RD @05
2155.50ns INFO [00002157] * RD COMPARE * port=0 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2155.50ns INFO [00002157] Port=1 RD @03
2156.50ns INFO [00002158] * RD COMPARE * port=0 adr=05 act=ED0B007FBE3774D4D2 exp=ED0B007FBE3774D4D2
2156.50ns INFO [00002158] Port=0 WR @04=50B5EF0CCF01C98282
2156.50ns INFO [00002158] Port=0 RD @02
2157.50ns INFO [00002159] * RD COMPARE * port=1 adr=03 act=E02338E71B1FAE8E7E exp=E02338E71B1FAE8E7E
2158.50ns INFO [00002160] * RD COMPARE * port=0 adr=02 act=B2D754C5C4F632FA58 exp=B2D754C5C4F632FA58
2158.50ns INFO [00002160] Port=0 RD @00
2158.50ns INFO [00002160] Port=1 RD @02
2159.50ns INFO [00002161] Port=0 WR @04=E43FA86D14C4A46DD4
2160.50ns INFO [00002162] * RD COMPARE * port=0 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32
2160.50ns INFO [00002162] * RD COMPARE * port=1 adr=02 act=B2D754C5C4F632FA58 exp=B2D754C5C4F632FA58
2160.50ns INFO [00002162] Port=0 WR @05=B0A7222C1B0B70C004
2161.50ns INFO [00002163] Port=0 RD @03
2162.50ns INFO [00002164] Port=0 WR @02=0D094625DA5E8A9F71
2163.50ns INFO [00002165] * RD COMPARE * port=0 adr=03 act=E02338E71B1FAE8E7E exp=E02338E71B1FAE8E7E
2163.50ns INFO [00002165] Port=1 RD @02
2164.50ns INFO [00002166] Port=0 WR @07=76B3A4A2D027CF6571
2164.50ns INFO [00002166] Port=0 RD @04
2165.50ns INFO [00002167] * RD COMPARE * port=1 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71
2165.50ns INFO [00002167] Port=0 WR @03=8C81000AADC7D3291E
2165.50ns INFO [00002167] Port=1 RD @06
2166.50ns INFO [00002168] * RD COMPARE * port=0 adr=04 act=E43FA86D14C4A46DD4 exp=E43FA86D14C4A46DD4
2166.50ns INFO [00002168] Port=1 RD @03
2167.50ns INFO [00002169] * RD COMPARE * port=1 adr=06 act=4FEA15EB479D946536 exp=4FEA15EB479D946536
2167.50ns INFO [00002169] Port=1 RD @02
2168.50ns INFO [00002170] * RD COMPARE * port=1 adr=03 act=8C81000AADC7D3291E exp=8C81000AADC7D3291E
2168.50ns INFO [00002170] Port=0 WR @05=429C70D9171EFBD61A
2168.50ns INFO [00002170] Port=1 RD @03
2169.50ns INFO [00002171] * RD COMPARE * port=1 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71
2170.50ns INFO [00002172] * RD COMPARE * port=1 adr=03 act=8C81000AADC7D3291E exp=8C81000AADC7D3291E
2171.50ns INFO [00002173] Port=0 WR @06=7CE70F056E79EBEDE2
2171.50ns INFO [00002173] Port=0 RD @02
2172.50ns INFO [00002174] Port=0 WR @02=DE1A3903C5287F3401
2173.50ns INFO [00002175] * RD COMPARE * port=0 adr=02 act=0D094625DA5E8A9F71 exp=0D094625DA5E8A9F71
2173.50ns INFO [00002175] Port=0 WR @03=5CB16D79AF1FDD6D28
2173.50ns INFO [00002175] Port=0 RD @05
2173.50ns INFO [00002175] Port=1 RD @07
2174.50ns INFO [00002176] Port=0 WR @01=4C79FF07FBB1875141
2174.50ns INFO [00002176] Port=0 RD @07
2175.50ns INFO [00002177] * RD COMPARE * port=0 adr=05 act=429C70D9171EFBD61A exp=429C70D9171EFBD61A
2175.50ns INFO [00002177] * RD COMPARE * port=1 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571
2175.50ns INFO [00002177] Port=1 RD @06
2176.50ns INFO [00002178] * RD COMPARE * port=0 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571
2177.50ns INFO [00002179] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2178.50ns INFO [00002180] Port=0 WR @02=8627EAEFF6A0C30DFF
2178.50ns INFO [00002180] Port=0 RD @01
2180.50ns INFO [00002182] * RD COMPARE * port=0 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141
2180.50ns INFO [00002182] Port=0 WR @04=6BFB08B40F7D0996F6
2180.50ns INFO [00002182] Port=0 RD @03
2180.50ns INFO [00002182] Port=1 RD @00
2182.50ns INFO [00002184] * RD COMPARE * port=0 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28
2182.50ns INFO [00002184] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32
2182.50ns INFO [00002184] Port=0 WR @02=9C6DFED24498777903
2182.50ns INFO [00002184] Port=0 RD @00
2184.50ns INFO [00002186] * RD COMPARE * port=0 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32
2184.50ns INFO [00002186] Port=0 RD @01
2184.50ns INFO [00002186] Port=1 RD @01
2185.50ns INFO [00002187] Port=0 WR @05=D1512F0440145BDC8C
2185.50ns INFO [00002187] Port=0 RD @03
2185.50ns INFO [00002187] Port=1 RD @03
2186.50ns INFO [00002188] * RD COMPARE * port=0 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141
2186.50ns INFO [00002188] * RD COMPARE * port=1 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141
2187.50ns INFO [00002189] * RD COMPARE * port=0 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28
2187.50ns INFO [00002189] * RD COMPARE * port=1 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28
2187.50ns INFO [00002189] Port=1 RD @01
2188.50ns INFO [00002190] Port=0 WR @01=7441EDC17A6D395558
2188.50ns INFO [00002190] Port=0 RD @04
2188.50ns INFO [00002190] Port=1 RD @04
2189.50ns INFO [00002191] * RD COMPARE * port=1 adr=01 act=4C79FF07FBB1875141 exp=4C79FF07FBB1875141
2189.50ns INFO [00002191] Port=0 RD @06
2190.50ns INFO [00002192] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2190.50ns INFO [00002192] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2190.50ns INFO [00002192] Port=0 WR @05=B326BB2B1F38985408
2190.50ns INFO [00002192] Port=1 RD @03
2191.50ns INFO [00002193] * RD COMPARE * port=0 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2191.50ns INFO [00002193] Port=0 WR @05=9351286F7E867E69AE
2191.50ns INFO [00002193] Port=1 RD @04
2192.50ns INFO [00002194] * RD COMPARE * port=1 adr=03 act=5CB16D79AF1FDD6D28 exp=5CB16D79AF1FDD6D28
2192.50ns INFO [00002194] Port=0 RD @05
2192.50ns INFO [00002194] Port=1 RD @02
2193.50ns INFO [00002195] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2193.50ns INFO [00002195] Port=0 RD @07
2193.50ns INFO [00002195] Port=1 RD @00
2194.50ns INFO [00002196] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE
2194.50ns INFO [00002196] * RD COMPARE * port=1 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903
2194.50ns INFO [00002196] Port=0 RD @01
2195.50ns INFO [00002197] * RD COMPARE * port=0 adr=07 act=76B3A4A2D027CF6571 exp=76B3A4A2D027CF6571
2195.50ns INFO [00002197] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32
2196.50ns INFO [00002198] * RD COMPARE * port=0 adr=01 act=7441EDC17A6D395558 exp=7441EDC17A6D395558
2196.50ns INFO [00002198] Port=0 RD @05
2196.50ns INFO [00002198] Port=1 RD @04
2197.50ns INFO [00002199] Port=0 RD @06
2198.00ns INFO [00002200] [00002200] ...tick...
2198.50ns INFO [00002200] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE
2198.50ns INFO [00002200] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2198.50ns INFO [00002200] Port=0 WR @07=1E3A668994C4AF2D51
2198.50ns INFO [00002200] Port=0 RD @05
2198.50ns INFO [00002200] Port=1 RD @02
2199.50ns INFO [00002201] * RD COMPARE * port=0 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2199.50ns INFO [00002201] Port=0 WR @03=B0347F65F3586EF838
2200.50ns INFO [00002202] * RD COMPARE * port=0 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE
2200.50ns INFO [00002202] * RD COMPARE * port=1 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903
2200.50ns INFO [00002202] Port=0 WR @07=6FDC587220FA07FE94
2200.50ns INFO [00002202] Port=1 RD @06
2201.50ns INFO [00002203] Port=1 RD @05
2202.50ns INFO [00002204] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2202.50ns INFO [00002204] Port=0 RD @01
2203.50ns INFO [00002205] * RD COMPARE * port=1 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE
2203.50ns INFO [00002205] Port=0 RD @02
2204.50ns INFO [00002206] * RD COMPARE * port=0 adr=01 act=7441EDC17A6D395558 exp=7441EDC17A6D395558
2205.50ns INFO [00002207] * RD COMPARE * port=0 adr=02 act=9C6DFED24498777903 exp=9C6DFED24498777903
2207.50ns INFO [00002209] Port=0 WR @01=7BCE67A89633E3E51B
2207.50ns INFO [00002209] Port=1 RD @04
2208.50ns INFO [00002210] Port=0 RD @04
2208.50ns INFO [00002210] Port=1 RD @00
2209.50ns INFO [00002211] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2209.50ns INFO [00002211] Port=0 WR @01=FEF916A858A7024414
2209.50ns INFO [00002211] Port=0 RD @03
2210.50ns INFO [00002212] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2210.50ns INFO [00002212] * RD COMPARE * port=1 adr=00 act=214261ED40A2B6CB32 exp=214261ED40A2B6CB32
2211.50ns INFO [00002213] * RD COMPARE * port=0 adr=03 act=B0347F65F3586EF838 exp=B0347F65F3586EF838
2211.50ns INFO [00002213] Port=0 WR @00=CEE9F58F7EB1C83744
2212.50ns INFO [00002214] Port=0 WR @02=AE53404C12201415F3
2213.50ns INFO [00002215] Port=0 RD @07
2213.50ns INFO [00002215] Port=1 RD @00
2214.50ns INFO [00002216] Port=0 RD @07
2215.50ns INFO [00002217] * RD COMPARE * port=0 adr=07 act=6FDC587220FA07FE94 exp=6FDC587220FA07FE94
2215.50ns INFO [00002217] * RD COMPARE * port=1 adr=00 act=CEE9F58F7EB1C83744 exp=CEE9F58F7EB1C83744
2216.50ns INFO [00002218] * RD COMPARE * port=0 adr=07 act=6FDC587220FA07FE94 exp=6FDC587220FA07FE94
2217.50ns INFO [00002219] Port=0 WR @03=87785FCD0FC492A6BB
2218.50ns INFO [00002220] Port=0 RD @02
2219.50ns INFO [00002221] Port=0 WR @07=0409493951F814F6F0
2219.50ns INFO [00002221] Port=1 RD @04
2220.50ns INFO [00002222] * RD COMPARE * port=0 adr=02 act=AE53404C12201415F3 exp=AE53404C12201415F3
2220.50ns INFO [00002222] Port=0 WR @00=596356FE47828087A9
2220.50ns INFO [00002222] Port=1 RD @01
2221.50ns INFO [00002223] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2221.50ns INFO [00002223] Port=0 WR @07=FB5CDFC24F6E3C4DA4
2221.50ns INFO [00002223] Port=0 RD @04
2222.50ns INFO [00002224] * RD COMPARE * port=1 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414
2222.50ns INFO [00002224] Port=0 WR @00=F2FF2ED4508F0DB962
2222.50ns INFO [00002224] Port=0 RD @04
2222.50ns INFO [00002224] Port=1 RD @05
2223.50ns INFO [00002225] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2223.50ns INFO [00002225] Port=0 WR @07=6D8ADB413D559E61CE
2223.50ns INFO [00002225] Port=1 RD @04
2224.50ns INFO [00002226] * RD COMPARE * port=0 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2224.50ns INFO [00002226] * RD COMPARE * port=1 adr=05 act=9351286F7E867E69AE exp=9351286F7E867E69AE
2224.50ns INFO [00002226] Port=1 RD @03
2225.50ns INFO [00002227] * RD COMPARE * port=1 adr=04 act=6BFB08B40F7D0996F6 exp=6BFB08B40F7D0996F6
2225.50ns INFO [00002227] Port=0 WR @00=1A2C28DA2C6F59E5B5
2226.50ns INFO [00002228] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB
2227.50ns INFO [00002229] Port=0 WR @05=1883A52B9480FFC294
2228.50ns INFO [00002230] Port=0 WR @04=BB36170D6EC477D4BD
2228.50ns INFO [00002230] Port=0 RD @00
2229.50ns INFO [00002231] Port=0 WR @02=273F20DBD5237409FD
2230.50ns INFO [00002232] * RD COMPARE * port=0 adr=00 act=1A2C28DA2C6F59E5B5 exp=1A2C28DA2C6F59E5B5
2230.50ns INFO [00002232] Port=1 RD @06
2231.50ns INFO [00002233] Port=0 RD @05
2231.50ns INFO [00002233] Port=1 RD @01
2232.50ns INFO [00002234] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2233.50ns INFO [00002235] * RD COMPARE * port=0 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294
2233.50ns INFO [00002235] * RD COMPARE * port=1 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414
2235.50ns INFO [00002237] Port=1 RD @05
2237.50ns INFO [00002239] * RD COMPARE * port=1 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294
2237.50ns INFO [00002239] Port=0 RD @04
2238.50ns INFO [00002240] Port=0 WR @04=4A01178F42F4D414EE
2238.50ns INFO [00002240] Port=1 RD @06
2239.50ns INFO [00002241] * RD COMPARE * port=0 adr=04 act=BB36170D6EC477D4BD exp=BB36170D6EC477D4BD
2239.50ns INFO [00002241] Port=1 RD @03
2240.50ns INFO [00002242] * RD COMPARE * port=1 adr=06 act=7CE70F056E79EBEDE2 exp=7CE70F056E79EBEDE2
2240.50ns INFO [00002242] Port=0 WR @06=E40FFA685CD6C965B2
2241.50ns INFO [00002243] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB
2241.50ns INFO [00002243] Port=0 RD @01
2241.50ns INFO [00002243] Port=1 RD @05
2242.50ns INFO [00002244] Port=1 RD @03
2243.50ns INFO [00002245] * RD COMPARE * port=0 adr=01 act=FEF916A858A7024414 exp=FEF916A858A7024414
2243.50ns INFO [00002245] * RD COMPARE * port=1 adr=05 act=1883A52B9480FFC294 exp=1883A52B9480FFC294
2243.50ns INFO [00002245] Port=0 WR @05=BDF807BC29E923256E
2243.50ns INFO [00002245] Port=1 RD @07
2244.50ns INFO [00002246] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB
2245.50ns INFO [00002247] * RD COMPARE * port=1 adr=07 act=6D8ADB413D559E61CE exp=6D8ADB413D559E61CE
2246.50ns INFO [00002248] Port=0 WR @07=7F02EB13F777409DD7
2246.50ns INFO [00002248] Port=1 RD @03
2247.50ns INFO [00002249] Port=1 RD @07
2248.50ns INFO [00002250] * RD COMPARE * port=1 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB
2248.50ns INFO [00002250] Port=0 RD @03
2248.50ns INFO [00002250] Port=1 RD @04
2249.50ns INFO [00002251] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2249.50ns INFO [00002251] Port=0 WR @03=58F90C6B8FADFA8FA6
2250.50ns INFO [00002252] * RD COMPARE * port=0 adr=03 act=87785FCD0FC492A6BB exp=87785FCD0FC492A6BB
2250.50ns INFO [00002252] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2250.50ns INFO [00002252] Port=0 RD @04
2250.50ns INFO [00002252] Port=1 RD @02
2251.50ns INFO [00002253] Port=0 WR @00=F3B65E52D14A15E62F
2251.50ns INFO [00002253] Port=1 RD @07
2252.50ns INFO [00002254] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2252.50ns INFO [00002254] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2252.50ns INFO [00002254] Port=0 WR @01=89939CC42618A2CA5A
2252.50ns INFO [00002254] Port=1 RD @03
2253.50ns INFO [00002255] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2253.50ns INFO [00002255] Port=0 WR @01=2F8211BAEA9A4AEF09
2253.50ns INFO [00002255] Port=1 RD @04
2254.50ns INFO [00002256] * RD COMPARE * port=1 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6
2254.50ns INFO [00002256] Port=0 WR @01=8828E31A7F47277D17
2254.50ns INFO [00002256] Port=1 RD @05
2255.50ns INFO [00002257] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2255.50ns INFO [00002257] Port=0 WR @01=66271DFD43A8BF83BC
2255.50ns INFO [00002257] Port=0 RD @03
2256.50ns INFO [00002258] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E
2257.50ns INFO [00002259] * RD COMPARE * port=0 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6
2259.50ns INFO [00002261] Port=0 RD @03
2259.50ns INFO [00002261] Port=1 RD @02
2260.50ns INFO [00002262] Port=0 WR @06=4DD4FC1D7559CB0855
2260.50ns INFO [00002262] Port=0 RD @07
2260.50ns INFO [00002262] Port=1 RD @02
2261.50ns INFO [00002263] * RD COMPARE * port=0 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6
2261.50ns INFO [00002263] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2261.50ns INFO [00002263] Port=0 WR @00=57E554751D2618E5E7
2261.50ns INFO [00002263] Port=1 RD @02
2262.50ns INFO [00002264] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2262.50ns INFO [00002264] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2262.50ns INFO [00002264] Port=0 RD @02
2263.50ns INFO [00002265] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2263.50ns INFO [00002265] Port=0 RD @04
2263.50ns INFO [00002265] Port=1 RD @05
2264.50ns INFO [00002266] * RD COMPARE * port=0 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2264.50ns INFO [00002266] Port=0 RD @00
2265.50ns INFO [00002267] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2265.50ns INFO [00002267] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E
2265.50ns INFO [00002267] Port=0 RD @06
2265.50ns INFO [00002267] Port=1 RD @03
2266.50ns INFO [00002268] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2267.50ns INFO [00002269] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2267.50ns INFO [00002269] * RD COMPARE * port=1 adr=03 act=58F90C6B8FADFA8FA6 exp=58F90C6B8FADFA8FA6
2267.50ns INFO [00002269] Port=1 RD @06
2268.50ns INFO [00002270] Port=0 WR @03=3BBBF8E27EDA3A6F7A
2268.50ns INFO [00002270] Port=1 RD @00
2269.50ns INFO [00002271] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2270.50ns INFO [00002272] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2270.50ns INFO [00002272] Port=0 RD @04
2272.50ns INFO [00002274] * RD COMPARE * port=0 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2272.50ns INFO [00002274] Port=0 RD @00
2272.50ns INFO [00002274] Port=1 RD @03
2273.50ns INFO [00002275] Port=0 RD @01
2274.50ns INFO [00002276] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2274.50ns INFO [00002276] * RD COMPARE * port=1 adr=03 act=3BBBF8E27EDA3A6F7A exp=3BBBF8E27EDA3A6F7A
2274.50ns INFO [00002276] Port=1 RD @04
2275.50ns INFO [00002277] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC
2275.50ns INFO [00002277] Port=0 RD @01
2275.50ns INFO [00002277] Port=1 RD @01
2276.50ns INFO [00002278] * RD COMPARE * port=1 adr=04 act=4A01178F42F4D414EE exp=4A01178F42F4D414EE
2276.50ns INFO [00002278] Port=1 RD @07
2277.50ns INFO [00002279] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC
2277.50ns INFO [00002279] * RD COMPARE * port=1 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC
2277.50ns INFO [00002279] Port=0 RD @01
2278.50ns INFO [00002280] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2279.50ns INFO [00002281] * RD COMPARE * port=0 adr=01 act=66271DFD43A8BF83BC exp=66271DFD43A8BF83BC
2279.50ns INFO [00002281] Port=1 RD @07
2281.50ns INFO [00002283] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2281.50ns INFO [00002283] Port=0 WR @01=D75FC40E51F91384B5
2282.50ns INFO [00002284] Port=0 RD @06
2282.50ns INFO [00002284] Port=1 RD @00
2283.50ns INFO [00002285] Port=1 RD @05
2284.50ns INFO [00002286] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2284.50ns INFO [00002286] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2284.50ns INFO [00002286] Port=0 WR @04=161B66062258635AE7
2284.50ns INFO [00002286] Port=0 RD @07
2284.50ns INFO [00002286] Port=1 RD @07
2285.50ns INFO [00002287] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E
2286.50ns INFO [00002288] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2286.50ns INFO [00002288] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2286.50ns INFO [00002288] Port=0 RD @01
2288.50ns INFO [00002290] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2289.50ns INFO [00002291] Port=1 RD @07
2290.50ns INFO [00002292] Port=1 RD @00
2291.50ns INFO [00002293] * RD COMPARE * port=1 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2291.50ns INFO [00002293] Port=0 WR @04=61F11C783355471BBC
2291.50ns INFO [00002293] Port=1 RD @05
2292.50ns INFO [00002294] * RD COMPARE * port=1 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2292.50ns INFO [00002294] Port=0 WR @03=46976544FC99FD262F
2292.50ns INFO [00002294] Port=0 RD @06
2292.50ns INFO [00002294] Port=1 RD @04
2293.50ns INFO [00002295] * RD COMPARE * port=1 adr=05 act=BDF807BC29E923256E exp=BDF807BC29E923256E
2293.50ns INFO [00002295] Port=0 RD @01
2293.50ns INFO [00002295] Port=1 RD @06
2294.50ns INFO [00002296] * RD COMPARE * port=0 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2294.50ns INFO [00002296] * RD COMPARE * port=1 adr=04 act=61F11C783355471BBC exp=61F11C783355471BBC
2294.50ns INFO [00002296] Port=0 RD @00
2294.50ns INFO [00002296] Port=1 RD @06
2295.50ns INFO [00002297] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2295.50ns INFO [00002297] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2295.50ns INFO [00002297] Port=0 WR @05=57E14E7C0450BEE996
2295.50ns INFO [00002297] Port=0 RD @04
2296.50ns INFO [00002298] * RD COMPARE * port=0 adr=00 act=57E554751D2618E5E7 exp=57E554751D2618E5E7
2296.50ns INFO [00002298] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2296.50ns INFO [00002298] Port=0 WR @00=89CD6051B9472F9963
2296.50ns INFO [00002298] Port=0 RD @01
2296.50ns INFO [00002298] Port=1 RD @01
2297.50ns INFO [00002299] * RD COMPARE * port=0 adr=04 act=61F11C783355471BBC exp=61F11C783355471BBC
2297.50ns INFO [00002299] Port=0 RD @05
2298.00ns INFO [00002300] [00002300] ...tick...
2298.50ns INFO [00002300] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2298.50ns INFO [00002300] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2298.50ns INFO [00002300] Port=0 WR @00=96630BE3DB8772D15E
2299.50ns INFO [00002301] * RD COMPARE * port=0 adr=05 act=57E14E7C0450BEE996 exp=57E14E7C0450BEE996
2300.50ns INFO [00002302] Port=0 WR @05=E6AA40FBF74F4ABB13
2300.50ns INFO [00002302] Port=0 RD @07
2301.50ns INFO [00002303] Port=0 WR @00=2A89605877012BE86E
2301.50ns INFO [00002303] Port=0 RD @01
2302.50ns INFO [00002304] * RD COMPARE * port=0 adr=07 act=7F02EB13F777409DD7 exp=7F02EB13F777409DD7
2302.50ns INFO [00002304] Port=1 RD @02
2303.50ns INFO [00002305] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2303.50ns INFO [00002305] Port=0 RD @03
2304.50ns INFO [00002306] * RD COMPARE * port=1 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2305.50ns INFO [00002307] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F
2305.50ns INFO [00002307] Port=0 WR @00=1372E2D63638EC809E
2305.50ns INFO [00002307] Port=0 RD @03
2305.50ns INFO [00002307] Port=1 RD @06
2307.50ns INFO [00002309] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F
2307.50ns INFO [00002309] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2307.50ns INFO [00002309] Port=0 WR @07=884843346A9247F306
2307.50ns INFO [00002309] Port=0 RD @03
2308.50ns INFO [00002310] Port=0 WR @04=D60A2D853EDFA8C112
2309.50ns INFO [00002311] * RD COMPARE * port=0 adr=03 act=46976544FC99FD262F exp=46976544FC99FD262F
2309.50ns INFO [00002311] Port=1 RD @07
2310.50ns INFO [00002312] Port=0 WR @00=BBC2025F9AACD51596
2311.50ns INFO [00002313] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2311.50ns INFO [00002313] Port=1 RD @05
2312.50ns INFO [00002314] Port=0 RD @07
2312.50ns INFO [00002314] Port=1 RD @01
2313.50ns INFO [00002315] * RD COMPARE * port=1 adr=05 act=E6AA40FBF74F4ABB13 exp=E6AA40FBF74F4ABB13
2313.50ns INFO [00002315] Port=1 RD @01
2314.50ns INFO [00002316] * RD COMPARE * port=0 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2314.50ns INFO [00002316] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2315.50ns INFO [00002317] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2315.50ns INFO [00002317] Port=0 RD @04
2317.50ns INFO [00002319] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2317.50ns INFO [00002319] Port=0 WR @05=675895F356F4B0AFCB
2317.50ns INFO [00002319] Port=0 RD @01
2318.50ns INFO [00002320] Port=1 RD @06
2319.50ns INFO [00002321] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2319.50ns INFO [00002321] Port=0 RD @01
2320.50ns INFO [00002322] * RD COMPARE * port=1 adr=06 act=4DD4FC1D7559CB0855 exp=4DD4FC1D7559CB0855
2320.50ns INFO [00002322] Port=0 RD @02
2321.50ns INFO [00002323] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2321.50ns INFO [00002323] Port=0 WR @03=EEC1D32A06ACC791C4
2322.50ns INFO [00002324] * RD COMPARE * port=0 adr=02 act=273F20DBD5237409FD exp=273F20DBD5237409FD
2322.50ns INFO [00002324] Port=0 RD @07
2322.50ns INFO [00002324] Port=1 RD @07
2323.50ns INFO [00002325] Port=0 RD @00
2323.50ns INFO [00002325] Port=1 RD @00
2324.50ns INFO [00002326] * RD COMPARE * port=0 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2324.50ns INFO [00002326] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2324.50ns INFO [00002326] Port=0 WR @06=AF644D54DE2D199D60
2325.50ns INFO [00002327] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2325.50ns INFO [00002327] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2325.50ns INFO [00002327] Port=0 WR @02=D3CB4D73B5E629A636
2325.50ns INFO [00002327] Port=0 RD @03
2325.50ns INFO [00002327] Port=1 RD @06
2326.50ns INFO [00002328] Port=0 RD @04
2326.50ns INFO [00002328] Port=1 RD @07
2327.50ns INFO [00002329] * RD COMPARE * port=0 adr=03 act=EEC1D32A06ACC791C4 exp=EEC1D32A06ACC791C4
2327.50ns INFO [00002329] * RD COMPARE * port=1 adr=06 act=AF644D54DE2D199D60 exp=AF644D54DE2D199D60
2327.50ns INFO [00002329] Port=0 RD @00
2327.50ns INFO [00002329] Port=1 RD @07
2328.50ns INFO [00002330] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2328.50ns INFO [00002330] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2328.50ns INFO [00002330] Port=0 RD @04
2329.50ns INFO [00002331] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2329.50ns INFO [00002331] * RD COMPARE * port=1 adr=07 act=884843346A9247F306 exp=884843346A9247F306
2329.50ns INFO [00002331] Port=0 RD @02
2329.50ns INFO [00002331] Port=1 RD @00
2330.50ns INFO [00002332] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2330.50ns INFO [00002332] Port=0 RD @04
2331.50ns INFO [00002333] * RD COMPARE * port=0 adr=02 act=D3CB4D73B5E629A636 exp=D3CB4D73B5E629A636
2331.50ns INFO [00002333] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2331.50ns INFO [00002333] Port=0 RD @05
2331.50ns INFO [00002333] Port=1 RD @01
2332.50ns INFO [00002334] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2332.50ns INFO [00002334] Port=0 WR @06=C8F252B393208D34C8
2333.50ns INFO [00002335] * RD COMPARE * port=0 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB
2333.50ns INFO [00002335] * RD COMPARE * port=1 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2333.50ns INFO [00002335] Port=0 WR @07=2A0045ED9D6313BBE0
2333.50ns INFO [00002335] Port=0 RD @06
2333.50ns INFO [00002335] Port=1 RD @04
2334.50ns INFO [00002336] Port=0 WR @07=BB93146F331D6F56E9
2335.50ns INFO [00002337] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8
2335.50ns INFO [00002337] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2336.50ns INFO [00002338] Port=1 RD @03
2338.50ns INFO [00002340] * RD COMPARE * port=1 adr=03 act=EEC1D32A06ACC791C4 exp=EEC1D32A06ACC791C4
2338.50ns INFO [00002340] Port=0 RD @06
2339.50ns INFO [00002341] Port=1 RD @07
2340.50ns INFO [00002342] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8
2340.50ns INFO [00002342] Port=1 RD @06
2341.50ns INFO [00002343] * RD COMPARE * port=1 adr=07 act=BB93146F331D6F56E9 exp=BB93146F331D6F56E9
2342.50ns INFO [00002344] * RD COMPARE * port=1 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8
2342.50ns INFO [00002344] Port=0 WR @03=4B31649826B14AF17F
2342.50ns INFO [00002344] Port=0 RD @01
2343.50ns INFO [00002345] Port=0 RD @01
2344.50ns INFO [00002346] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2344.50ns INFO [00002346] Port=0 WR @02=2A37A94C3532C91C2D
2344.50ns INFO [00002346] Port=1 RD @05
2345.50ns INFO [00002347] * RD COMPARE * port=0 adr=01 act=D75FC40E51F91384B5 exp=D75FC40E51F91384B5
2345.50ns INFO [00002347] Port=0 WR @01=C517D0EFD98B95278D
2345.50ns INFO [00002347] Port=1 RD @07
2346.50ns INFO [00002348] * RD COMPARE * port=1 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB
2347.50ns INFO [00002349] * RD COMPARE * port=1 adr=07 act=BB93146F331D6F56E9 exp=BB93146F331D6F56E9
2347.50ns INFO [00002349] Port=0 WR @01=DD9C795A449CED2F45
2347.50ns INFO [00002349] Port=0 RD @05
2348.50ns INFO [00002350] Port=0 WR @05=F41EF7C47E23F17F9B
2349.50ns INFO [00002351] * RD COMPARE * port=0 adr=05 act=675895F356F4B0AFCB exp=675895F356F4B0AFCB
2349.50ns INFO [00002351] Port=0 WR @02=6182D50B279B7569D2
2350.50ns INFO [00002352] Port=1 RD @05
2351.50ns INFO [00002353] Port=0 RD @00
2351.50ns INFO [00002353] Port=1 RD @05
2352.50ns INFO [00002354] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2352.50ns INFO [00002354] Port=0 RD @06
2352.50ns INFO [00002354] Port=1 RD @02
2353.50ns INFO [00002355] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2353.50ns INFO [00002355] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2353.50ns INFO [00002355] Port=0 WR @07=2063B5BE5CD5DB9F28
2353.50ns INFO [00002355] Port=0 RD @00
2354.50ns INFO [00002356] * RD COMPARE * port=0 adr=06 act=C8F252B393208D34C8 exp=C8F252B393208D34C8
2354.50ns INFO [00002356] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2354.50ns INFO [00002356] Port=0 WR @01=2E1704578A94627EA0
2354.50ns INFO [00002356] Port=0 RD @04
2355.50ns INFO [00002357] * RD COMPARE * port=0 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2355.50ns INFO [00002357] Port=0 RD @01
2356.50ns INFO [00002358] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2356.50ns INFO [00002358] Port=0 WR @07=15440CC8747F2657F8
2356.50ns INFO [00002358] Port=1 RD @00
2357.50ns INFO [00002359] * RD COMPARE * port=0 adr=01 act=2E1704578A94627EA0 exp=2E1704578A94627EA0
2358.50ns INFO [00002360] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2359.50ns INFO [00002361] Port=0 WR @06=F9C1049527896BF610
2359.50ns INFO [00002361] Port=0 RD @02
2360.50ns INFO [00002362] Port=0 WR @06=D6A08BE23A0AF20A73
2360.50ns INFO [00002362] Port=0 RD @03
2361.50ns INFO [00002363] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2362.50ns INFO [00002364] * RD COMPARE * port=0 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F
2362.50ns INFO [00002364] Port=0 RD @07
2364.50ns INFO [00002366] * RD COMPARE * port=0 adr=07 act=15440CC8747F2657F8 exp=15440CC8747F2657F8
2364.50ns INFO [00002366] Port=0 RD @04
2364.50ns INFO [00002366] Port=1 RD @05
2365.50ns INFO [00002367] Port=1 RD @05
2366.50ns INFO [00002368] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2366.50ns INFO [00002368] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2366.50ns INFO [00002368] Port=0 RD @02
2366.50ns INFO [00002368] Port=1 RD @03
2367.50ns INFO [00002369] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2367.50ns INFO [00002369] Port=0 RD @02
2368.50ns INFO [00002370] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2368.50ns INFO [00002370] * RD COMPARE * port=1 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F
2368.50ns INFO [00002370] Port=1 RD @02
2369.50ns INFO [00002371] * RD COMPARE * port=0 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2369.50ns INFO [00002371] Port=1 RD @02
2370.50ns INFO [00002372] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2370.50ns INFO [00002372] Port=0 WR @01=60E0883DD19F56CA1D
2371.50ns INFO [00002373] * RD COMPARE * port=1 adr=02 act=6182D50B279B7569D2 exp=6182D50B279B7569D2
2371.50ns INFO [00002373] Port=0 RD @03
2371.50ns INFO [00002373] Port=1 RD @00
2372.50ns INFO [00002374] Port=0 WR @00=F283AF6EB28EE7429C
2373.50ns INFO [00002375] * RD COMPARE * port=0 adr=03 act=4B31649826B14AF17F exp=4B31649826B14AF17F
2373.50ns INFO [00002375] * RD COMPARE * port=1 adr=00 act=BBC2025F9AACD51596 exp=BBC2025F9AACD51596
2373.50ns INFO [00002375] Port=0 RD @06
2374.50ns INFO [00002376] Port=0 RD @05
2375.50ns INFO [00002377] * RD COMPARE * port=0 adr=06 act=D6A08BE23A0AF20A73 exp=D6A08BE23A0AF20A73
2376.50ns INFO [00002378] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2376.50ns INFO [00002378] Port=0 WR @06=0ACE12CC77438ECD07
2376.50ns INFO [00002378] Port=0 RD @04
2376.50ns INFO [00002378] Port=1 RD @07
2378.50ns INFO [00002380] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2378.50ns INFO [00002380] * RD COMPARE * port=1 adr=07 act=15440CC8747F2657F8 exp=15440CC8747F2657F8
2379.50ns INFO [00002381] Port=0 WR @03=64043F8DB640F25E21
2379.50ns INFO [00002381] Port=0 RD @00
2379.50ns INFO [00002381] Port=1 RD @01
2380.50ns INFO [00002382] Port=0 WR @07=59D8A72AE827B2FDB0
2380.50ns INFO [00002382] Port=1 RD @04
2381.50ns INFO [00002383] * RD COMPARE * port=0 adr=00 act=F283AF6EB28EE7429C exp=F283AF6EB28EE7429C
2381.50ns INFO [00002383] * RD COMPARE * port=1 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D
2381.50ns INFO [00002383] Port=0 RD @07
2382.50ns INFO [00002384] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2383.50ns INFO [00002385] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2383.50ns INFO [00002385] Port=0 WR @02=628A965B6A9A0A03E9
2385.50ns INFO [00002387] Port=0 RD @04
2387.50ns INFO [00002389] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2387.50ns INFO [00002389] Port=0 RD @04
2387.50ns INFO [00002389] Port=1 RD @07
2388.50ns INFO [00002390] Port=0 WR @00=DCC2132B3213309E21
2388.50ns INFO [00002390] Port=1 RD @02
2389.50ns INFO [00002391] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2389.50ns INFO [00002391] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2389.50ns INFO [00002391] Port=0 WR @00=D332D05E0AC8BB7123
2389.50ns INFO [00002391] Port=1 RD @02
2390.50ns INFO [00002392] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9
2390.50ns INFO [00002392] Port=1 RD @02
2391.50ns INFO [00002393] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9
2392.50ns INFO [00002394] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9
2392.50ns INFO [00002394] Port=0 WR @03=F179201D42B7987958
2392.50ns INFO [00002394] Port=1 RD @06
2393.50ns INFO [00002395] Port=0 WR @06=A39A356CF3A5CB28D8
2393.50ns INFO [00002395] Port=1 RD @05
2394.50ns INFO [00002396] * RD COMPARE * port=1 adr=06 act=0ACE12CC77438ECD07 exp=0ACE12CC77438ECD07
2394.50ns INFO [00002396] Port=0 RD @07
2395.50ns INFO [00002397] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2395.50ns INFO [00002397] Port=0 WR @00=9D66C95B80B605CEF3
2395.50ns INFO [00002397] Port=1 RD @05
2396.50ns INFO [00002398] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2396.50ns INFO [00002398] Port=0 WR @06=B57AF61BC952E6C94A
2396.50ns INFO [00002398] Port=1 RD @03
2397.50ns INFO [00002399] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2397.50ns INFO [00002399] Port=0 WR @03=584925A71C35C3E9B6
2397.50ns INFO [00002399] Port=0 RD @00
2397.50ns INFO [00002399] Port=1 RD @04
2398.00ns INFO [00002400] [00002400] ...tick...
2398.50ns INFO [00002400] * RD COMPARE * port=1 adr=03 act=F179201D42B7987958 exp=F179201D42B7987958
2398.50ns INFO [00002400] Port=0 RD @04
2398.50ns INFO [00002400] Port=1 RD @07
2399.50ns INFO [00002401] * RD COMPARE * port=0 adr=00 act=9D66C95B80B605CEF3 exp=9D66C95B80B605CEF3
2399.50ns INFO [00002401] * RD COMPARE * port=1 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2399.50ns INFO [00002401] Port=1 RD @07
2400.50ns INFO [00002402] * RD COMPARE * port=0 adr=04 act=D60A2D853EDFA8C112 exp=D60A2D853EDFA8C112
2400.50ns INFO [00002402] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2400.50ns INFO [00002402] Port=0 WR @04=EEBBBE09125A319C2B
2401.50ns INFO [00002403] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2401.50ns INFO [00002403] Port=1 RD @04
2402.50ns INFO [00002404] Port=0 WR @00=B1DD6F3FC2F20CDFAA
2402.50ns INFO [00002404] Port=0 RD @05
2403.50ns INFO [00002405] * RD COMPARE * port=1 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B
2404.50ns INFO [00002406] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2404.50ns INFO [00002406] Port=1 RD @03
2405.50ns INFO [00002407] Port=0 RD @01
2406.50ns INFO [00002408] * RD COMPARE * port=1 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6
2406.50ns INFO [00002408] Port=0 RD @03
2407.50ns INFO [00002409] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D
2407.50ns INFO [00002409] Port=1 RD @07
2408.50ns INFO [00002410] * RD COMPARE * port=0 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6
2408.50ns INFO [00002410] Port=0 WR @06=6A5E09B022E131D42C
2408.50ns INFO [00002410] Port=0 RD @05
2408.50ns INFO [00002410] Port=1 RD @05
2409.50ns INFO [00002411] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2409.50ns INFO [00002411] Port=0 RD @04
2409.50ns INFO [00002411] Port=1 RD @03
2410.50ns INFO [00002412] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2410.50ns INFO [00002412] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2410.50ns INFO [00002412] Port=0 WR @00=53FBEF43EBD7360655
2410.50ns INFO [00002412] Port=0 RD @04
2410.50ns INFO [00002412] Port=1 RD @05
2411.50ns INFO [00002413] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B
2411.50ns INFO [00002413] * RD COMPARE * port=1 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6
2411.50ns INFO [00002413] Port=0 RD @00
2412.50ns INFO [00002414] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B
2412.50ns INFO [00002414] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2412.50ns INFO [00002414] Port=0 RD @00
2412.50ns INFO [00002414] Port=1 RD @07
2413.50ns INFO [00002415] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2413.50ns INFO [00002415] Port=0 RD @03
2414.50ns INFO [00002416] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2414.50ns INFO [00002416] * RD COMPARE * port=1 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2414.50ns INFO [00002416] Port=0 RD @07
2414.50ns INFO [00002416] Port=1 RD @02
2415.50ns INFO [00002417] * RD COMPARE * port=0 adr=03 act=584925A71C35C3E9B6 exp=584925A71C35C3E9B6
2415.50ns INFO [00002417] Port=0 RD @04
2415.50ns INFO [00002417] Port=1 RD @02
2416.50ns INFO [00002418] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2416.50ns INFO [00002418] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9
2417.50ns INFO [00002419] * RD COMPARE * port=0 adr=04 act=EEBBBE09125A319C2B exp=EEBBBE09125A319C2B
2417.50ns INFO [00002419] * RD COMPARE * port=1 adr=02 act=628A965B6A9A0A03E9 exp=628A965B6A9A0A03E9
2417.50ns INFO [00002419] Port=0 WR @03=3E6E337ABAAF3A1B40
2417.50ns INFO [00002419] Port=0 RD @00
2418.50ns INFO [00002420] Port=0 WR @06=FB0F89F5C7130E08BB
2419.50ns INFO [00002421] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2419.50ns INFO [00002421] Port=0 WR @02=4DD3F98ED26C1404CC
2419.50ns INFO [00002421] Port=0 RD @03
2421.50ns INFO [00002423] * RD COMPARE * port=0 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40
2421.50ns INFO [00002423] Port=0 WR @06=5A301BB5A2FE4D0DA8
2421.50ns INFO [00002423] Port=0 RD @01
2422.50ns INFO [00002424] Port=1 RD @01
2423.50ns INFO [00002425] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D
2423.50ns INFO [00002425] Port=0 WR @06=F31AB22A5BEED743D5
2424.50ns INFO [00002426] * RD COMPARE * port=1 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D
2424.50ns INFO [00002426] Port=0 RD @01
2425.50ns INFO [00002427] Port=0 RD @00
2425.50ns INFO [00002427] Port=1 RD @00
2426.50ns INFO [00002428] * RD COMPARE * port=0 adr=01 act=60E0883DD19F56CA1D exp=60E0883DD19F56CA1D
2427.50ns INFO [00002429] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2427.50ns INFO [00002429] * RD COMPARE * port=1 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2427.50ns INFO [00002429] Port=0 RD @02
2429.50ns INFO [00002431] * RD COMPARE * port=0 adr=02 act=4DD3F98ED26C1404CC exp=4DD3F98ED26C1404CC
2429.50ns INFO [00002431] Port=1 RD @03
2430.50ns INFO [00002432] Port=0 RD @05
2430.50ns INFO [00002432] Port=1 RD @03
2431.50ns INFO [00002433] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40
2431.50ns INFO [00002433] Port=0 WR @04=7D77D38C22126BFB1D
2432.50ns INFO [00002434] * RD COMPARE * port=0 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2432.50ns INFO [00002434] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40
2432.50ns INFO [00002434] Port=0 RD @00
2432.50ns INFO [00002434] Port=1 RD @02
2433.50ns INFO [00002435] Port=0 WR @02=87B8FA425B0623D116
2433.50ns INFO [00002435] Port=0 RD @07
2433.50ns INFO [00002435] Port=1 RD @05
2434.50ns INFO [00002436] * RD COMPARE * port=0 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2434.50ns INFO [00002436] * RD COMPARE * port=1 adr=02 act=4DD3F98ED26C1404CC exp=4DD3F98ED26C1404CC
2434.50ns INFO [00002436] Port=0 WR @05=AFB016750E53C9BB16
2435.50ns INFO [00002437] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2435.50ns INFO [00002437] * RD COMPARE * port=1 adr=05 act=F41EF7C47E23F17F9B exp=F41EF7C47E23F17F9B
2435.50ns INFO [00002437] Port=0 RD @06
2435.50ns INFO [00002437] Port=1 RD @05
2436.50ns INFO [00002438] Port=1 RD @02
2437.50ns INFO [00002439] * RD COMPARE * port=0 adr=06 act=F31AB22A5BEED743D5 exp=F31AB22A5BEED743D5
2437.50ns INFO [00002439] * RD COMPARE * port=1 adr=05 act=AFB016750E53C9BB16 exp=AFB016750E53C9BB16
2437.50ns INFO [00002439] Port=1 RD @00
2438.50ns INFO [00002440] * RD COMPARE * port=1 adr=02 act=87B8FA425B0623D116 exp=87B8FA425B0623D116
2438.50ns INFO [00002440] Port=0 WR @05=3EEAFDE7825379F7AD
2439.50ns INFO [00002441] * RD COMPARE * port=1 adr=00 act=53FBEF43EBD7360655 exp=53FBEF43EBD7360655
2439.50ns INFO [00002441] Port=0 WR @00=FE430EB4F76E567AE0
2441.50ns INFO [00002443] Port=0 WR @05=B9EF1A9B2C82DA4FD9
2442.50ns INFO [00002444] Port=0 WR @06=E4AA68279A2EAA7FC6
2444.50ns INFO [00002446] Port=0 WR @00=50F0EC7D6310C1A7C2
2444.50ns INFO [00002446] Port=1 RD @05
2445.50ns INFO [00002447] Port=0 WR @01=CC4A19E10769C597DF
2446.50ns INFO [00002448] * RD COMPARE * port=1 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9
2447.50ns INFO [00002449] Port=0 RD @07
2448.50ns INFO [00002450] Port=0 RD @07
2448.50ns INFO [00002450] Port=1 RD @03
2449.50ns INFO [00002451] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2450.50ns INFO [00002452] * RD COMPARE * port=0 adr=07 act=59D8A72AE827B2FDB0 exp=59D8A72AE827B2FDB0
2450.50ns INFO [00002452] * RD COMPARE * port=1 adr=03 act=3E6E337ABAAF3A1B40 exp=3E6E337ABAAF3A1B40
2450.50ns INFO [00002452] Port=0 WR @03=FDF63F274F0C16EBFC
2451.50ns INFO [00002453] Port=0 RD @06
2453.50ns INFO [00002455] * RD COMPARE * port=0 adr=06 act=E4AA68279A2EAA7FC6 exp=E4AA68279A2EAA7FC6
2453.50ns INFO [00002455] Port=0 WR @04=38A095F226BEBBD870
2454.50ns INFO [00002456] Port=0 WR @03=EFC7D54023B49A339D
2455.50ns INFO [00002457] Port=0 RD @05
2457.50ns INFO [00002459] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9
2457.50ns INFO [00002459] Port=0 WR @01=21699DADA4CF4BEA5E
2458.50ns INFO [00002460] Port=0 RD @03
2458.50ns INFO [00002460] Port=1 RD @02
2459.50ns INFO [00002461] Port=0 WR @07=C61B040D548A96BC0C
2459.50ns INFO [00002461] Port=0 RD @05
2460.50ns INFO [00002462] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2460.50ns INFO [00002462] * RD COMPARE * port=1 adr=02 act=87B8FA425B0623D116 exp=87B8FA425B0623D116
2460.50ns INFO [00002462] Port=0 WR @06=3891548DFE781114CC
2461.50ns INFO [00002463] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9
2461.50ns INFO [00002463] Port=0 RD @05
2461.50ns INFO [00002463] Port=1 RD @04
2462.50ns INFO [00002464] Port=0 WR @06=A4BED37736A4ECCDAD
2462.50ns INFO [00002464] Port=0 RD @04
2463.50ns INFO [00002465] * RD COMPARE * port=0 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9
2463.50ns INFO [00002465] * RD COMPARE * port=1 adr=04 act=38A095F226BEBBD870 exp=38A095F226BEBBD870
2464.50ns INFO [00002466] * RD COMPARE * port=0 adr=04 act=38A095F226BEBBD870 exp=38A095F226BEBBD870
2464.50ns INFO [00002466] Port=0 RD @03
2466.50ns INFO [00002468] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2466.50ns INFO [00002468] Port=0 WR @04=0DD52BA4115FB8FEF8
2468.50ns INFO [00002470] Port=0 RD @04
2468.50ns INFO [00002470] Port=1 RD @04
2469.50ns INFO [00002471] Port=0 WR @02=B2BDED3ABAD0D0BE96
2470.50ns INFO [00002472] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2470.50ns INFO [00002472] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2470.50ns INFO [00002472] Port=0 RD @01
2470.50ns INFO [00002472] Port=1 RD @04
2471.50ns INFO [00002473] Port=0 WR @00=337E9DA9B2689EA63D
2471.50ns INFO [00002473] Port=0 RD @07
2472.50ns INFO [00002474] * RD COMPARE * port=0 adr=01 act=21699DADA4CF4BEA5E exp=21699DADA4CF4BEA5E
2472.50ns INFO [00002474] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2472.50ns INFO [00002474] Port=1 RD @06
2473.50ns INFO [00002475] * RD COMPARE * port=0 adr=07 act=C61B040D548A96BC0C exp=C61B040D548A96BC0C
2473.50ns INFO [00002475] Port=0 WR @00=A86BEBACD468D7E58E
2474.50ns INFO [00002476] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2474.50ns INFO [00002476] Port=0 RD @02
2475.50ns INFO [00002477] Port=0 RD @02
2476.50ns INFO [00002478] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2476.50ns INFO [00002478] Port=1 RD @06
2477.50ns INFO [00002479] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2477.50ns INFO [00002479] Port=1 RD @05
2478.50ns INFO [00002480] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2478.50ns INFO [00002480] Port=0 WR @05=E824C3E04A111E97F7
2478.50ns INFO [00002480] Port=1 RD @02
2479.50ns INFO [00002481] * RD COMPARE * port=1 adr=05 act=B9EF1A9B2C82DA4FD9 exp=B9EF1A9B2C82DA4FD9
2479.50ns INFO [00002481] Port=0 RD @03
2480.50ns INFO [00002482] * RD COMPARE * port=1 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2480.50ns INFO [00002482] Port=0 WR @00=3FE24DAC75DC3EE665
2480.50ns INFO [00002482] Port=1 RD @02
2481.50ns INFO [00002483] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2481.50ns INFO [00002483] Port=0 RD @04
2481.50ns INFO [00002483] Port=1 RD @05
2482.50ns INFO [00002484] * RD COMPARE * port=1 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2482.50ns INFO [00002484] Port=1 RD @06
2483.50ns INFO [00002485] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2483.50ns INFO [00002485] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7
2483.50ns INFO [00002485] Port=1 RD @06
2484.50ns INFO [00002486] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2484.50ns INFO [00002486] Port=0 WR @01=E71F2270C6CA779500
2484.50ns INFO [00002486] Port=1 RD @06
2485.50ns INFO [00002487] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2486.50ns INFO [00002488] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2486.50ns INFO [00002488] Port=1 RD @07
2487.50ns INFO [00002489] Port=0 WR @00=9FA5295489C382E467
2487.50ns INFO [00002489] Port=1 RD @06
2488.50ns INFO [00002490] * RD COMPARE * port=1 adr=07 act=C61B040D548A96BC0C exp=C61B040D548A96BC0C
2489.50ns INFO [00002491] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2489.50ns INFO [00002491] Port=0 WR @07=27ABD94A121905EDFE
2490.50ns INFO [00002492] Port=0 RD @03
2490.50ns INFO [00002492] Port=1 RD @03
2491.50ns INFO [00002493] Port=1 RD @03
2492.50ns INFO [00002494] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2492.50ns INFO [00002494] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2492.50ns INFO [00002494] Port=0 WR @07=B1F187AA54A8339F67
2492.50ns INFO [00002494] Port=0 RD @02
2492.50ns INFO [00002494] Port=1 RD @04
2493.50ns INFO [00002495] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2494.50ns INFO [00002496] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2494.50ns INFO [00002496] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2494.50ns INFO [00002496] Port=0 RD @07
2494.50ns INFO [00002496] Port=1 RD @06
2495.50ns INFO [00002497] Port=0 WR @06=7C5CB3CEE3D7CAC766
2496.50ns INFO [00002498] * RD COMPARE * port=0 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67
2496.50ns INFO [00002498] * RD COMPARE * port=1 adr=06 act=A4BED37736A4ECCDAD exp=A4BED37736A4ECCDAD
2497.50ns INFO [00002499] Port=1 RD @03
2498.00ns INFO [00002500] [00002500] ...tick...
2498.50ns INFO [00002500] Port=0 WR @00=78B16295491E066C89
2498.50ns INFO [00002500] Port=0 RD @01
2499.50ns INFO [00002501] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2499.50ns INFO [00002501] Port=0 WR @01=700A96A3E9A47E0BF6
2500.50ns INFO [00002502] * RD COMPARE * port=0 adr=01 act=E71F2270C6CA779500 exp=E71F2270C6CA779500
2500.50ns INFO [00002502] Port=0 RD @05
2500.50ns INFO [00002502] Port=1 RD @00
2501.50ns INFO [00002503] Port=0 RD @00
2502.50ns INFO [00002504] * RD COMPARE * port=0 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7
2502.50ns INFO [00002504] * RD COMPARE * port=1 adr=00 act=78B16295491E066C89 exp=78B16295491E066C89
2502.50ns INFO [00002504] Port=0 WR @00=8617967B34EECAF578
2502.50ns INFO [00002504] Port=1 RD @07
2503.50ns INFO [00002505] * RD COMPARE * port=0 adr=00 act=78B16295491E066C89 exp=78B16295491E066C89
2503.50ns INFO [00002505] Port=0 RD @07
2504.50ns INFO [00002506] * RD COMPARE * port=1 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67
2504.50ns INFO [00002506] Port=0 RD @01
2505.50ns INFO [00002507] * RD COMPARE * port=0 adr=07 act=B1F187AA54A8339F67 exp=B1F187AA54A8339F67
2505.50ns INFO [00002507] Port=0 WR @07=4177EB89BDF003B824
2505.50ns INFO [00002507] Port=0 RD @06
2506.50ns INFO [00002508] * RD COMPARE * port=0 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6
2506.50ns INFO [00002508] Port=1 RD @05
2507.50ns INFO [00002509] * RD COMPARE * port=0 adr=06 act=7C5CB3CEE3D7CAC766 exp=7C5CB3CEE3D7CAC766
2507.50ns INFO [00002509] Port=0 RD @03
2508.50ns INFO [00002510] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7
2509.50ns INFO [00002511] * RD COMPARE * port=0 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2509.50ns INFO [00002511] Port=1 RD @03
2510.50ns INFO [00002512] Port=1 RD @03
2511.50ns INFO [00002513] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2511.50ns INFO [00002513] Port=0 WR @00=06B4EB1671BC17F323
2512.50ns INFO [00002514] * RD COMPARE * port=1 adr=03 act=EFC7D54023B49A339D exp=EFC7D54023B49A339D
2512.50ns INFO [00002514] Port=0 WR @03=EFDD45B671BB643318
2514.50ns INFO [00002516] Port=0 RD @02
2515.50ns INFO [00002517] Port=1 RD @01
2516.50ns INFO [00002518] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2516.50ns INFO [00002518] Port=0 RD @02
2517.50ns INFO [00002519] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6
2518.50ns INFO [00002520] * RD COMPARE * port=0 adr=02 act=B2BDED3ABAD0D0BE96 exp=B2BDED3ABAD0D0BE96
2519.50ns INFO [00002521] Port=1 RD @01
2520.50ns INFO [00002522] Port=0 WR @02=A7D7F401538F1D5876
2520.50ns INFO [00002522] Port=0 RD @05
2520.50ns INFO [00002522] Port=1 RD @07
2521.50ns INFO [00002523] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6
2521.50ns INFO [00002523] Port=0 RD @00
2521.50ns INFO [00002523] Port=1 RD @00
2522.50ns INFO [00002524] * RD COMPARE * port=0 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7
2522.50ns INFO [00002524] * RD COMPARE * port=1 adr=07 act=4177EB89BDF003B824 exp=4177EB89BDF003B824
2522.50ns INFO [00002524] Port=0 WR @03=9EDA97B9025207B4FA
2523.50ns INFO [00002525] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2523.50ns INFO [00002525] * RD COMPARE * port=1 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2523.50ns INFO [00002525] Port=1 RD @01
2524.50ns INFO [00002526] Port=0 WR @01=4DD4ACA45DEC83BB48
2524.50ns INFO [00002526] Port=0 RD @06
2524.50ns INFO [00002526] Port=1 RD @07
2525.50ns INFO [00002527] * RD COMPARE * port=1 adr=01 act=700A96A3E9A47E0BF6 exp=700A96A3E9A47E0BF6
2526.50ns INFO [00002528] * RD COMPARE * port=0 adr=06 act=7C5CB3CEE3D7CAC766 exp=7C5CB3CEE3D7CAC766
2526.50ns INFO [00002528] * RD COMPARE * port=1 adr=07 act=4177EB89BDF003B824 exp=4177EB89BDF003B824
2527.50ns INFO [00002529] Port=0 WR @03=0A2DB949716FF8E0F3
2527.50ns INFO [00002529] Port=0 RD @02
2528.50ns INFO [00002530] Port=0 RD @04
2529.50ns INFO [00002531] * RD COMPARE * port=0 adr=02 act=A7D7F401538F1D5876 exp=A7D7F401538F1D5876
2529.50ns INFO [00002531] Port=0 WR @01=E68FEE320F4BA064AD
2530.50ns INFO [00002532] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2531.50ns INFO [00002533] Port=0 WR @06=82E1090B6A96D163BE
2531.50ns INFO [00002533] Port=1 RD @05
2532.50ns INFO [00002534] Port=0 WR @03=F36935B85583BE0B5E
2533.50ns INFO [00002535] * RD COMPARE * port=1 adr=05 act=E824C3E04A111E97F7 exp=E824C3E04A111E97F7
2535.50ns INFO [00002537] Port=1 RD @06
2536.50ns INFO [00002538] Port=0 WR @05=026D00664768A774B0
2536.50ns INFO [00002538] Port=1 RD @03
2537.50ns INFO [00002539] * RD COMPARE * port=1 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2537.50ns INFO [00002539] Port=0 WR @07=0425E580580787C1BD
2538.50ns INFO [00002540] * RD COMPARE * port=1 adr=03 act=F36935B85583BE0B5E exp=F36935B85583BE0B5E
2538.50ns INFO [00002540] Port=1 RD @00
2539.50ns INFO [00002541] Port=0 WR @03=FA9456E775EB7C514D
2539.50ns INFO [00002541] Port=0 RD @06
2540.50ns INFO [00002542] * RD COMPARE * port=1 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2540.50ns INFO [00002542] Port=0 RD @07
2541.50ns INFO [00002543] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2542.50ns INFO [00002544] * RD COMPARE * port=0 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD
2542.50ns INFO [00002544] Port=0 WR @02=5F87F301C1D9A845A1
2542.50ns INFO [00002544] Port=0 RD @07
2543.50ns INFO [00002545] Port=0 WR @05=E2BB955A595B17DD75
2544.50ns INFO [00002546] * RD COMPARE * port=0 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD
2544.50ns INFO [00002546] Port=0 WR @02=DD417620E3220BA627
2544.50ns INFO [00002546] Port=0 RD @04
2545.50ns INFO [00002547] Port=1 RD @04
2546.50ns INFO [00002548] * RD COMPARE * port=0 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2546.50ns INFO [00002548] Port=0 WR @03=D05CB5B47F68E2AB93
2547.50ns INFO [00002549] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2547.50ns INFO [00002549] Port=0 WR @03=2AE153FC6853A83CFE
2547.50ns INFO [00002549] Port=0 RD @02
2549.50ns INFO [00002551] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2549.50ns INFO [00002551] Port=1 RD @04
2550.50ns INFO [00002552] Port=0 WR @03=B47A97039BBDE9E526
2550.50ns INFO [00002552] Port=0 RD @02
2551.50ns INFO [00002553] * RD COMPARE * port=1 adr=04 act=0DD52BA4115FB8FEF8 exp=0DD52BA4115FB8FEF8
2551.50ns INFO [00002553] Port=0 RD @02
2551.50ns INFO [00002553] Port=1 RD @03
2552.50ns INFO [00002554] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2553.50ns INFO [00002555] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2553.50ns INFO [00002555] * RD COMPARE * port=1 adr=03 act=B47A97039BBDE9E526 exp=B47A97039BBDE9E526
2553.50ns INFO [00002555] Port=1 RD @05
2554.50ns INFO [00002556] Port=0 WR @05=80047ED63440AB05D7
2554.50ns INFO [00002556] Port=0 RD @02
2555.50ns INFO [00002557] * RD COMPARE * port=1 adr=05 act=E2BB955A595B17DD75 exp=E2BB955A595B17DD75
2555.50ns INFO [00002557] Port=0 WR @03=489E51F93415EA551A
2555.50ns INFO [00002557] Port=0 RD @06
2556.50ns INFO [00002558] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2556.50ns INFO [00002558] Port=0 RD @06
2556.50ns INFO [00002558] Port=1 RD @07
2557.50ns INFO [00002559] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2557.50ns INFO [00002559] Port=1 RD @06
2558.50ns INFO [00002560] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2558.50ns INFO [00002560] * RD COMPARE * port=1 adr=07 act=0425E580580787C1BD exp=0425E580580787C1BD
2559.50ns INFO [00002561] * RD COMPARE * port=1 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2559.50ns INFO [00002561] Port=0 RD @01
2560.50ns INFO [00002562] Port=0 WR @07=A6476AD932E3F83A40
2560.50ns INFO [00002562] Port=1 RD @01
2561.50ns INFO [00002563] * RD COMPARE * port=0 adr=01 act=E68FEE320F4BA064AD exp=E68FEE320F4BA064AD
2561.50ns INFO [00002563] Port=0 RD @00
2561.50ns INFO [00002563] Port=1 RD @05
2562.50ns INFO [00002564] * RD COMPARE * port=1 adr=01 act=E68FEE320F4BA064AD exp=E68FEE320F4BA064AD
2562.50ns INFO [00002564] Port=0 RD @00
2563.50ns INFO [00002565] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2563.50ns INFO [00002565] * RD COMPARE * port=1 adr=05 act=80047ED63440AB05D7 exp=80047ED63440AB05D7
2564.50ns INFO [00002566] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2565.50ns INFO [00002567] Port=0 WR @04=5F6AD970B96F9A49A5
2565.50ns INFO [00002567] Port=0 RD @05
2565.50ns INFO [00002567] Port=1 RD @02
2566.50ns INFO [00002568] Port=0 RD @00
2566.50ns INFO [00002568] Port=1 RD @07
2567.50ns INFO [00002569] * RD COMPARE * port=0 adr=05 act=80047ED63440AB05D7 exp=80047ED63440AB05D7
2567.50ns INFO [00002569] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2568.50ns INFO [00002570] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2568.50ns INFO [00002570] * RD COMPARE * port=1 adr=07 act=A6476AD932E3F83A40 exp=A6476AD932E3F83A40
2568.50ns INFO [00002570] Port=0 WR @01=0872ACB40B9B8EC9E7
2568.50ns INFO [00002570] Port=1 RD @02
2569.50ns INFO [00002571] Port=0 WR @01=A8837C613AC719EB5C
2569.50ns INFO [00002571] Port=0 RD @04
2570.50ns INFO [00002572] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2571.50ns INFO [00002573] * RD COMPARE * port=0 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5
2571.50ns INFO [00002573] Port=1 RD @04
2573.50ns INFO [00002575] * RD COMPARE * port=1 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5
2573.50ns INFO [00002575] Port=0 WR @07=787382B4B45F2BE6E7
2573.50ns INFO [00002575] Port=1 RD @03
2574.50ns INFO [00002576] Port=0 WR @03=C9EE5060FC15373F14
2574.50ns INFO [00002576] Port=0 RD @04
2575.50ns INFO [00002577] * RD COMPARE * port=1 adr=03 act=489E51F93415EA551A exp=489E51F93415EA551A
2575.50ns INFO [00002577] Port=1 RD @02
2576.50ns INFO [00002578] * RD COMPARE * port=0 adr=04 act=5F6AD970B96F9A49A5 exp=5F6AD970B96F9A49A5
2576.50ns INFO [00002578] Port=0 WR @03=AB804AAC33E6F4242A
2576.50ns INFO [00002578] Port=0 RD @01
2577.50ns INFO [00002579] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2577.50ns INFO [00002579] Port=0 RD @00
2578.50ns INFO [00002580] * RD COMPARE * port=0 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C
2578.50ns INFO [00002580] Port=0 RD @06
2579.50ns INFO [00002581] * RD COMPARE * port=0 adr=00 act=06B4EB1671BC17F323 exp=06B4EB1671BC17F323
2579.50ns INFO [00002581] Port=0 WR @04=F2ED597F89287A053E
2580.50ns INFO [00002582] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2580.50ns INFO [00002582] Port=0 WR @00=51A1CFEAC1A7BD384A
2580.50ns INFO [00002582] Port=0 RD @01
2580.50ns INFO [00002582] Port=1 RD @04
2581.50ns INFO [00002583] Port=1 RD @01
2582.50ns INFO [00002584] * RD COMPARE * port=0 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C
2582.50ns INFO [00002584] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2582.50ns INFO [00002584] Port=0 WR @05=FA1F67C83E1CDDBC52
2582.50ns INFO [00002584] Port=0 RD @06
2583.50ns INFO [00002585] * RD COMPARE * port=1 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C
2583.50ns INFO [00002585] Port=1 RD @05
2584.50ns INFO [00002586] * RD COMPARE * port=0 adr=06 act=82E1090B6A96D163BE exp=82E1090B6A96D163BE
2584.50ns INFO [00002586] Port=0 RD @04
2584.50ns INFO [00002586] Port=1 RD @07
2585.50ns INFO [00002587] * RD COMPARE * port=1 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2585.50ns INFO [00002587] Port=1 RD @00
2586.50ns INFO [00002588] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2586.50ns INFO [00002588] * RD COMPARE * port=1 adr=07 act=787382B4B45F2BE6E7 exp=787382B4B45F2BE6E7
2586.50ns INFO [00002588] Port=0 RD @02
2586.50ns INFO [00002588] Port=1 RD @04
2587.50ns INFO [00002589] * RD COMPARE * port=1 adr=00 act=51A1CFEAC1A7BD384A exp=51A1CFEAC1A7BD384A
2587.50ns INFO [00002589] Port=0 RD @05
2588.50ns INFO [00002590] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2588.50ns INFO [00002590] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2588.50ns INFO [00002590] Port=0 RD @05
2589.50ns INFO [00002591] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2589.50ns INFO [00002591] Port=0 WR @06=0EEB91F2C15F634D30
2589.50ns INFO [00002591] Port=1 RD @02
2590.50ns INFO [00002592] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2591.50ns INFO [00002593] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2591.50ns INFO [00002593] Port=0 RD @06
2591.50ns INFO [00002593] Port=1 RD @01
2592.50ns INFO [00002594] Port=0 WR @07=279688ED1C11A60B3A
2592.50ns INFO [00002594] Port=0 RD @03
2593.50ns INFO [00002595] * RD COMPARE * port=0 adr=06 act=0EEB91F2C15F634D30 exp=0EEB91F2C15F634D30
2593.50ns INFO [00002595] * RD COMPARE * port=1 adr=01 act=A8837C613AC719EB5C exp=A8837C613AC719EB5C
2594.50ns INFO [00002596] * RD COMPARE * port=0 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A
2594.50ns INFO [00002596] Port=0 WR @01=8A356727009B53DF4C
2594.50ns INFO [00002596] Port=1 RD @05
2595.50ns INFO [00002597] Port=0 RD @05
2596.50ns INFO [00002598] * RD COMPARE * port=1 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2596.50ns INFO [00002598] Port=0 RD @05
2597.50ns INFO [00002599] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2597.50ns INFO [00002599] Port=0 RD @04
2598.00ns INFO [00002600] [00002600] ...tick...
2598.50ns INFO [00002600] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2598.50ns INFO [00002600] Port=1 RD @07
2599.50ns INFO [00002601] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2599.50ns INFO [00002601] Port=0 WR @07=06F0071EEDC34A0099
2600.50ns INFO [00002602] * RD COMPARE * port=1 adr=07 act=279688ED1C11A60B3A exp=279688ED1C11A60B3A
2600.50ns INFO [00002602] Port=0 WR @07=CA86FAA95B0016ACE5
2601.50ns INFO [00002603] Port=0 RD @05
2602.50ns INFO [00002604] Port=0 WR @06=F3FB809F9C7F30D8FA
2603.50ns INFO [00002605] * RD COMPARE * port=0 adr=05 act=FA1F67C83E1CDDBC52 exp=FA1F67C83E1CDDBC52
2603.50ns INFO [00002605] Port=0 RD @04
2603.50ns INFO [00002605] Port=1 RD @01
2605.50ns INFO [00002607] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2605.50ns INFO [00002607] * RD COMPARE * port=1 adr=01 act=8A356727009B53DF4C exp=8A356727009B53DF4C
2605.50ns INFO [00002607] Port=0 WR @05=89E82E0C6B53BFDEF9
2605.50ns INFO [00002607] Port=1 RD @03
2606.50ns INFO [00002608] Port=0 WR @01=CB990A8E1914604A94
2606.50ns INFO [00002608] Port=0 RD @03
2607.50ns INFO [00002609] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A
2607.50ns INFO [00002609] Port=0 RD @07
2608.50ns INFO [00002610] * RD COMPARE * port=0 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A
2608.50ns INFO [00002610] Port=0 WR @06=D393791E9FF9556014
2608.50ns INFO [00002610] Port=0 RD @02
2609.50ns INFO [00002611] * RD COMPARE * port=0 adr=07 act=CA86FAA95B0016ACE5 exp=CA86FAA95B0016ACE5
2610.50ns INFO [00002612] * RD COMPARE * port=0 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2611.50ns INFO [00002613] Port=0 WR @00=384167C1F88B408FAA
2611.50ns INFO [00002613] Port=0 RD @06
2613.50ns INFO [00002615] * RD COMPARE * port=0 adr=06 act=D393791E9FF9556014 exp=D393791E9FF9556014
2613.50ns INFO [00002615] Port=1 RD @04
2614.50ns INFO [00002616] Port=0 WR @07=4FF948017094D72651
2614.50ns INFO [00002616] Port=1 RD @00
2615.50ns INFO [00002617] * RD COMPARE * port=1 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2615.50ns INFO [00002617] Port=0 WR @07=8BB8DF5C28B5AA3901
2616.50ns INFO [00002618] * RD COMPARE * port=1 adr=00 act=384167C1F88B408FAA exp=384167C1F88B408FAA
2616.50ns INFO [00002618] Port=0 RD @07
2616.50ns INFO [00002618] Port=1 RD @07
2618.50ns INFO [00002620] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2618.50ns INFO [00002620] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2619.50ns INFO [00002621] Port=0 RD @04
2620.50ns INFO [00002622] Port=1 RD @07
2621.50ns INFO [00002623] * RD COMPARE * port=0 adr=04 act=F2ED597F89287A053E exp=F2ED597F89287A053E
2621.50ns INFO [00002623] Port=0 RD @05
2621.50ns INFO [00002623] Port=1 RD @01
2622.50ns INFO [00002624] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2622.50ns INFO [00002624] Port=0 RD @05
2623.50ns INFO [00002625] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2623.50ns INFO [00002625] * RD COMPARE * port=1 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94
2623.50ns INFO [00002625] Port=1 RD @02
2624.50ns INFO [00002626] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2624.50ns INFO [00002626] Port=0 WR @04=2FD6D10E58AD050DBB
2624.50ns INFO [00002626] Port=1 RD @01
2625.50ns INFO [00002627] * RD COMPARE * port=1 adr=02 act=DD417620E3220BA627 exp=DD417620E3220BA627
2625.50ns INFO [00002627] Port=1 RD @07
2626.50ns INFO [00002628] * RD COMPARE * port=1 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94
2626.50ns INFO [00002628] Port=0 WR @06=D8CF69B3FEB18EEB3E
2626.50ns INFO [00002628] Port=0 RD @05
2626.50ns INFO [00002628] Port=1 RD @03
2627.50ns INFO [00002629] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2627.50ns INFO [00002629] Port=0 WR @04=BF57D4B514BCD612C0
2627.50ns INFO [00002629] Port=1 RD @07
2628.50ns INFO [00002630] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2628.50ns INFO [00002630] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A
2629.50ns INFO [00002631] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2630.50ns INFO [00002632] Port=0 WR @00=6E286205BBE9FA3F28
2630.50ns INFO [00002632] Port=0 RD @01
2631.50ns INFO [00002633] Port=0 RD @07
2631.50ns INFO [00002633] Port=1 RD @03
2632.50ns INFO [00002634] * RD COMPARE * port=0 adr=01 act=CB990A8E1914604A94 exp=CB990A8E1914604A94
2632.50ns INFO [00002634] Port=1 RD @05
2633.50ns INFO [00002635] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2633.50ns INFO [00002635] * RD COMPARE * port=1 adr=03 act=AB804AAC33E6F4242A exp=AB804AAC33E6F4242A
2634.50ns INFO [00002636] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2634.50ns INFO [00002636] Port=0 WR @00=8C38EE6B7926132D2D
2634.50ns INFO [00002636] Port=0 RD @07
2635.50ns INFO [00002637] Port=0 WR @01=EF8DBBAE361B176B33
2636.50ns INFO [00002638] * RD COMPARE * port=0 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2636.50ns INFO [00002638] Port=0 WR @00=AF0A627533CBE834EB
2637.50ns INFO [00002639] Port=0 WR @02=760BC6BBE61CDE756F
2638.50ns INFO [00002640] Port=0 RD @02
2638.50ns INFO [00002640] Port=1 RD @07
2639.50ns INFO [00002641] Port=0 RD @04
2640.50ns INFO [00002642] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F
2640.50ns INFO [00002642] * RD COMPARE * port=1 adr=07 act=8BB8DF5C28B5AA3901 exp=8BB8DF5C28B5AA3901
2640.50ns INFO [00002642] Port=1 RD @04
2641.50ns INFO [00002643] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0
2641.50ns INFO [00002643] Port=0 RD @05
2641.50ns INFO [00002643] Port=1 RD @04
2642.50ns INFO [00002644] * RD COMPARE * port=1 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0
2642.50ns INFO [00002644] Port=0 RD @02
2643.50ns INFO [00002645] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2643.50ns INFO [00002645] * RD COMPARE * port=1 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0
2643.50ns INFO [00002645] Port=0 RD @04
2643.50ns INFO [00002645] Port=1 RD @01
2644.50ns INFO [00002646] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F
2645.50ns INFO [00002647] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0
2645.50ns INFO [00002647] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33
2645.50ns INFO [00002647] Port=0 WR @03=195C78BB9E210F2AA1
2645.50ns INFO [00002647] Port=1 RD @05
2646.50ns INFO [00002648] Port=0 RD @00
2646.50ns INFO [00002648] Port=1 RD @01
2647.50ns INFO [00002649] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2647.50ns INFO [00002649] Port=1 RD @06
2648.50ns INFO [00002650] * RD COMPARE * port=0 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB
2648.50ns INFO [00002650] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33
2648.50ns INFO [00002650] Port=0 RD @02
2648.50ns INFO [00002650] Port=1 RD @03
2649.50ns INFO [00002651] * RD COMPARE * port=1 adr=06 act=D8CF69B3FEB18EEB3E exp=D8CF69B3FEB18EEB3E
2649.50ns INFO [00002651] Port=0 WR @07=59107B34C799EDA654
2649.50ns INFO [00002651] Port=1 RD @01
2650.50ns INFO [00002652] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F
2650.50ns INFO [00002652] * RD COMPARE * port=1 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1
2650.50ns INFO [00002652] Port=1 RD @07
2651.50ns INFO [00002653] * RD COMPARE * port=1 adr=01 act=EF8DBBAE361B176B33 exp=EF8DBBAE361B176B33
2651.50ns INFO [00002653] Port=0 WR @06=0C72207CB718BDBBE5
2651.50ns INFO [00002653] Port=0 RD @03
2651.50ns INFO [00002653] Port=1 RD @05
2652.50ns INFO [00002654] * RD COMPARE * port=1 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654
2652.50ns INFO [00002654] Port=0 RD @02
2653.50ns INFO [00002655] * RD COMPARE * port=0 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1
2653.50ns INFO [00002655] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2653.50ns INFO [00002655] Port=0 RD @04
2654.50ns INFO [00002656] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F
2654.50ns INFO [00002656] Port=0 WR @04=CCD2BB440FA164D1EF
2654.50ns INFO [00002656] Port=0 RD @07
2654.50ns INFO [00002656] Port=1 RD @06
2655.50ns INFO [00002657] * RD COMPARE * port=0 adr=04 act=BF57D4B514BCD612C0 exp=BF57D4B514BCD612C0
2655.50ns INFO [00002657] Port=0 WR @06=E08F87836C5D702F68
2656.50ns INFO [00002658] * RD COMPARE * port=0 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654
2656.50ns INFO [00002658] * RD COMPARE * port=1 adr=06 act=0C72207CB718BDBBE5 exp=0C72207CB718BDBBE5
2656.50ns INFO [00002658] Port=0 RD @06
2657.50ns INFO [00002659] Port=0 RD @06
2657.50ns INFO [00002659] Port=1 RD @06
2658.50ns INFO [00002660] * RD COMPARE * port=0 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68
2658.50ns INFO [00002660] Port=1 RD @05
2659.50ns INFO [00002661] * RD COMPARE * port=0 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68
2659.50ns INFO [00002661] * RD COMPARE * port=1 adr=06 act=E08F87836C5D702F68 exp=E08F87836C5D702F68
2659.50ns INFO [00002661] Port=0 WR @01=2301A968DFF833DA55
2660.50ns INFO [00002662] * RD COMPARE * port=1 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2661.50ns INFO [00002663] Port=1 RD @03
2662.50ns INFO [00002664] Port=0 RD @05
2662.50ns INFO [00002664] Port=1 RD @04
2663.50ns INFO [00002665] * RD COMPARE * port=1 adr=03 act=195C78BB9E210F2AA1 exp=195C78BB9E210F2AA1
2663.50ns INFO [00002665] Port=0 RD @07
2664.50ns INFO [00002666] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2664.50ns INFO [00002666] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF
2664.50ns INFO [00002666] Port=0 WR @07=0BDF6726CD757A052F
2664.50ns INFO [00002666] Port=0 RD @02
2665.50ns INFO [00002667] * RD COMPARE * port=0 adr=07 act=59107B34C799EDA654 exp=59107B34C799EDA654
2665.50ns INFO [00002667] Port=0 WR @02=F5E4B2A8ADC6B72C59
2666.50ns INFO [00002668] * RD COMPARE * port=0 adr=02 act=760BC6BBE61CDE756F exp=760BC6BBE61CDE756F
2667.50ns INFO [00002669] Port=0 WR @03=2E84F9AAB6906A73C7
2667.50ns INFO [00002669] Port=0 RD @07
2669.50ns INFO [00002671] * RD COMPARE * port=0 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F
2670.50ns INFO [00002672] Port=0 RD @05
2670.50ns INFO [00002672] Port=1 RD @03
2671.50ns INFO [00002673] Port=0 WR @06=580D3D54655BD2F9F3
2671.50ns INFO [00002673] Port=0 RD @05
2672.50ns INFO [00002674] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2672.50ns INFO [00002674] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2672.50ns INFO [00002674] Port=1 RD @07
2673.50ns INFO [00002675] * RD COMPARE * port=0 adr=05 act=89E82E0C6B53BFDEF9 exp=89E82E0C6B53BFDEF9
2673.50ns INFO [00002675] Port=0 RD @00
2673.50ns INFO [00002675] Port=1 RD @06
2674.50ns INFO [00002676] * RD COMPARE * port=1 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F
2675.50ns INFO [00002677] * RD COMPARE * port=0 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB
2675.50ns INFO [00002677] * RD COMPARE * port=1 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3
2675.50ns INFO [00002677] Port=0 WR @05=76F51AA6044ABC016A
2675.50ns INFO [00002677] Port=1 RD @01
2676.50ns INFO [00002678] Port=1 RD @04
2677.50ns INFO [00002679] * RD COMPARE * port=1 adr=01 act=2301A968DFF833DA55 exp=2301A968DFF833DA55
2677.50ns INFO [00002679] Port=1 RD @04
2678.50ns INFO [00002680] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF
2678.50ns INFO [00002680] Port=1 RD @06
2679.50ns INFO [00002681] * RD COMPARE * port=1 adr=04 act=CCD2BB440FA164D1EF exp=CCD2BB440FA164D1EF
2679.50ns INFO [00002681] Port=0 RD @03
2680.50ns INFO [00002682] * RD COMPARE * port=1 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3
2680.50ns INFO [00002682] Port=1 RD @07
2681.50ns INFO [00002683] * RD COMPARE * port=0 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2681.50ns INFO [00002683] Port=0 WR @04=F551AE163A8755A04A
2681.50ns INFO [00002683] Port=0 RD @03
2682.50ns INFO [00002684] * RD COMPARE * port=1 adr=07 act=0BDF6726CD757A052F exp=0BDF6726CD757A052F
2682.50ns INFO [00002684] Port=1 RD @03
2683.50ns INFO [00002685] * RD COMPARE * port=0 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2683.50ns INFO [00002685] Port=0 RD @06
2683.50ns INFO [00002685] Port=1 RD @05
2684.50ns INFO [00002686] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2684.50ns INFO [00002686] Port=0 RD @02
2685.50ns INFO [00002687] * RD COMPARE * port=0 adr=06 act=580D3D54655BD2F9F3 exp=580D3D54655BD2F9F3
2685.50ns INFO [00002687] * RD COMPARE * port=1 adr=05 act=76F51AA6044ABC016A exp=76F51AA6044ABC016A
2685.50ns INFO [00002687] Port=0 WR @01=C5C0C94D979621D46B
2686.50ns INFO [00002688] * RD COMPARE * port=0 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59
2687.50ns INFO [00002689] Port=1 RD @02
2689.50ns INFO [00002691] * RD COMPARE * port=1 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59
2689.50ns INFO [00002691] Port=0 WR @07=595FBD7611091F87CB
2689.50ns INFO [00002691] Port=1 RD @00
2691.50ns INFO [00002693] * RD COMPARE * port=1 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB
2693.50ns INFO [00002695] Port=1 RD @03
2694.50ns INFO [00002696] Port=0 WR @04=8F8F5BA8674EE00BFF
2694.50ns INFO [00002696] Port=1 RD @03
2695.50ns INFO [00002697] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2695.50ns INFO [00002697] Port=0 WR @06=747EE706659DAD5167
2696.50ns INFO [00002698] * RD COMPARE * port=1 adr=03 act=2E84F9AAB6906A73C7 exp=2E84F9AAB6906A73C7
2696.50ns INFO [00002698] Port=0 WR @05=FBC8AB7A525B64EB12
2696.50ns INFO [00002698] Port=1 RD @00
2698.00ns INFO [00002700] [00002700] ...tick...
2698.50ns INFO [00002700] * RD COMPARE * port=1 adr=00 act=AF0A627533CBE834EB exp=AF0A627533CBE834EB
2698.50ns INFO [00002700] Port=1 RD @01
2699.50ns INFO [00002701] Port=0 WR @03=511A7A5D9F597E825D
2699.50ns INFO [00002701] Port=0 RD @02
2700.50ns INFO [00002702] * RD COMPARE * port=1 adr=01 act=C5C0C94D979621D46B exp=C5C0C94D979621D46B
2700.50ns INFO [00002702] Port=0 WR @02=459546DE5349D396B7
2700.50ns INFO [00002702] Port=1 RD @06
2701.50ns INFO [00002703] * RD COMPARE * port=0 adr=02 act=F5E4B2A8ADC6B72C59 exp=F5E4B2A8ADC6B72C59
2701.50ns INFO [00002703] Port=0 WR @06=FBAD9AC62797877644
2701.50ns INFO [00002703] Port=0 RD @04
2702.50ns INFO [00002704] * RD COMPARE * port=1 adr=06 act=747EE706659DAD5167 exp=747EE706659DAD5167
2703.50ns INFO [00002705] * RD COMPARE * port=0 adr=04 act=8F8F5BA8674EE00BFF exp=8F8F5BA8674EE00BFF
2703.50ns INFO [00002705] Port=1 RD @07
2704.50ns INFO [00002706] Port=1 RD @02
2705.50ns INFO [00002707] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB
2705.50ns INFO [00002707] Port=0 WR @04=D4DB822360AF6DA3B8
2705.50ns INFO [00002707] Port=1 RD @02
2706.50ns INFO [00002708] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7
2706.50ns INFO [00002708] Port=1 RD @02
2707.50ns INFO [00002709] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7
2708.50ns INFO [00002710] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7
2708.50ns INFO [00002710] Port=1 RD @05
2709.50ns INFO [00002711] Port=0 WR @01=66F21C547077BCC45A
2709.50ns INFO [00002711] Port=0 RD @02
2709.50ns INFO [00002711] Port=1 RD @03
2710.50ns INFO [00002712] * RD COMPARE * port=1 adr=05 act=FBC8AB7A525B64EB12 exp=FBC8AB7A525B64EB12
2711.50ns INFO [00002713] * RD COMPARE * port=0 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7
2711.50ns INFO [00002713] * RD COMPARE * port=1 adr=03 act=511A7A5D9F597E825D exp=511A7A5D9F597E825D
2711.50ns INFO [00002713] Port=0 RD @01
2712.50ns INFO [00002714] Port=0 WR @04=C7F605879DE0B0A472
2713.50ns INFO [00002715] * RD COMPARE * port=0 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A
2713.50ns INFO [00002715] Port=0 RD @05
2714.50ns INFO [00002716] Port=1 RD @02
2715.50ns INFO [00002717] * RD COMPARE * port=0 adr=05 act=FBC8AB7A525B64EB12 exp=FBC8AB7A525B64EB12
2716.50ns INFO [00002718] * RD COMPARE * port=1 adr=02 act=459546DE5349D396B7 exp=459546DE5349D396B7
2716.50ns INFO [00002718] Port=0 WR @03=B41DA0B009F87657C5
2716.50ns INFO [00002718] Port=0 RD @07
2718.50ns INFO [00002720] * RD COMPARE * port=0 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB
2718.50ns INFO [00002720] Port=0 WR @00=C9AD360860B3A88489
2718.50ns INFO [00002720] Port=1 RD @01
2719.50ns INFO [00002721] Port=0 WR @05=8B1E53474E16B6D452
2719.50ns INFO [00002721] Port=0 RD @03
2719.50ns INFO [00002721] Port=1 RD @07
2720.50ns INFO [00002722] * RD COMPARE * port=1 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A
2720.50ns INFO [00002722] Port=0 WR @03=5832FD5560A3C99154
2721.50ns INFO [00002723] * RD COMPARE * port=0 adr=03 act=B41DA0B009F87657C5 exp=B41DA0B009F87657C5
2721.50ns INFO [00002723] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB
2721.50ns INFO [00002723] Port=0 RD @01
2721.50ns INFO [00002723] Port=1 RD @07
2722.50ns INFO [00002724] Port=0 WR @01=78FD56905B5CBEA8CD
2722.50ns INFO [00002724] Port=0 RD @03
2723.50ns INFO [00002725] * RD COMPARE * port=0 adr=01 act=66F21C547077BCC45A exp=66F21C547077BCC45A
2723.50ns INFO [00002725] * RD COMPARE * port=1 adr=07 act=595FBD7611091F87CB exp=595FBD7611091F87CB
2723.50ns INFO [00002725] Port=0 WR @04=CCCB99F6AE67F756B2
2723.50ns INFO [00002725] Port=1 RD @05
2724.50ns INFO [00002726] * RD COMPARE * port=0 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154
2724.50ns INFO [00002726] Port=0 WR @01=FA745D151C03DBB97A
2725.50ns INFO [00002727] * RD COMPARE * port=1 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452
2725.50ns INFO [00002727] Port=0 WR @07=2AD3C27F52BDF74703
2726.50ns INFO [00002728] Port=0 RD @05
2726.50ns INFO [00002728] Port=1 RD @05
2727.50ns INFO [00002729] Port=0 WR @06=70555D77F5C1B9C763
2727.50ns INFO [00002729] Port=0 RD @04
2728.50ns INFO [00002730] * RD COMPARE * port=0 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452
2728.50ns INFO [00002730] * RD COMPARE * port=1 adr=05 act=8B1E53474E16B6D452 exp=8B1E53474E16B6D452
2728.50ns INFO [00002730] Port=0 RD @03
2728.50ns INFO [00002730] Port=1 RD @04
2729.50ns INFO [00002731] * RD COMPARE * port=0 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2
2729.50ns INFO [00002731] Port=0 WR @06=809FBA1D84B4EFAAE3
2730.50ns INFO [00002732] * RD COMPARE * port=0 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154
2730.50ns INFO [00002732] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2
2730.50ns INFO [00002732] Port=1 RD @03
2731.50ns INFO [00002733] Port=0 RD @06
2732.50ns INFO [00002734] * RD COMPARE * port=1 adr=03 act=5832FD5560A3C99154 exp=5832FD5560A3C99154
2732.50ns INFO [00002734] Port=0 WR @05=DD5495108DAAB5D18E
2732.50ns INFO [00002734] Port=0 RD @04
2732.50ns INFO [00002734] Port=1 RD @00
2733.50ns INFO [00002735] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3
2734.50ns INFO [00002736] * RD COMPARE * port=0 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2
2734.50ns INFO [00002736] * RD COMPARE * port=1 adr=00 act=C9AD360860B3A88489 exp=C9AD360860B3A88489
2738.50ns INFO [00002740] Port=0 WR @03=BB69E3AB3CA2D980D9
2739.50ns INFO [00002741] Port=1 RD @01
2740.50ns INFO [00002742] Port=1 RD @04
2741.50ns INFO [00002743] * RD COMPARE * port=1 adr=01 act=FA745D151C03DBB97A exp=FA745D151C03DBB97A
2741.50ns INFO [00002743] Port=0 WR @03=11183255611A5DAC0E
2741.50ns INFO [00002743] Port=0 RD @05
2742.50ns INFO [00002744] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2
2742.50ns INFO [00002744] Port=0 RD @06
2743.50ns INFO [00002745] * RD COMPARE * port=0 adr=05 act=DD5495108DAAB5D18E exp=DD5495108DAAB5D18E
2744.50ns INFO [00002746] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3
2744.50ns INFO [00002746] Port=0 RD @06
2745.50ns INFO [00002747] Port=0 WR @06=E9FB50DBB8A3F42256
2745.50ns INFO [00002747] Port=1 RD @07
2746.50ns INFO [00002748] * RD COMPARE * port=0 adr=06 act=809FBA1D84B4EFAAE3 exp=809FBA1D84B4EFAAE3
2746.50ns INFO [00002748] Port=0 RD @01
2747.50ns INFO [00002749] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2747.50ns INFO [00002749] Port=0 WR @00=70C67172B8C3991540
2747.50ns INFO [00002749] Port=0 RD @07
2748.50ns INFO [00002750] * RD COMPARE * port=0 adr=01 act=FA745D151C03DBB97A exp=FA745D151C03DBB97A
2748.50ns INFO [00002750] Port=0 RD @00
2748.50ns INFO [00002750] Port=1 RD @00
2749.50ns INFO [00002751] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2750.50ns INFO [00002752] * RD COMPARE * port=0 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540
2750.50ns INFO [00002752] * RD COMPARE * port=1 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540
2750.50ns INFO [00002752] Port=0 RD @00
2750.50ns INFO [00002752] Port=1 RD @04
2752.50ns INFO [00002754] * RD COMPARE * port=0 adr=00 act=70C67172B8C3991540 exp=70C67172B8C3991540
2752.50ns INFO [00002754] * RD COMPARE * port=1 adr=04 act=CCCB99F6AE67F756B2 exp=CCCB99F6AE67F756B2
2752.50ns INFO [00002754] Port=0 RD @07
2753.50ns INFO [00002755] Port=0 RD @06
2754.50ns INFO [00002756] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2754.50ns INFO [00002756] Port=0 WR @01=89F45550E093347E8A
2754.50ns INFO [00002756] Port=0 RD @03
2754.50ns INFO [00002756] Port=1 RD @06
2755.50ns INFO [00002757] * RD COMPARE * port=0 adr=06 act=E9FB50DBB8A3F42256 exp=E9FB50DBB8A3F42256
2755.50ns INFO [00002757] Port=0 WR @06=3D020CBB814DA5BAF1
2755.50ns INFO [00002757] Port=1 RD @07
2756.50ns INFO [00002758] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2756.50ns INFO [00002758] * RD COMPARE * port=1 adr=06 act=E9FB50DBB8A3F42256 exp=E9FB50DBB8A3F42256
2757.50ns INFO [00002759] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2757.50ns INFO [00002759] Port=0 WR @02=667C6C0A5D37A87C64
2757.50ns INFO [00002759] Port=0 RD @06
2758.50ns INFO [00002760] Port=0 WR @00=1CC7FACCEA592BACA9
2758.50ns INFO [00002760] Port=0 RD @03
2759.50ns INFO [00002761] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2759.50ns INFO [00002761] Port=1 RD @06
2760.50ns INFO [00002762] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2760.50ns INFO [00002762] Port=0 RD @01
2760.50ns INFO [00002762] Port=1 RD @00
2761.50ns INFO [00002763] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2761.50ns INFO [00002763] Port=1 RD @06
2762.50ns INFO [00002764] * RD COMPARE * port=0 adr=01 act=89F45550E093347E8A exp=89F45550E093347E8A
2762.50ns INFO [00002764] * RD COMPARE * port=1 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9
2762.50ns INFO [00002764] Port=0 WR @05=B82F13519CA82D7FEC
2762.50ns INFO [00002764] Port=1 RD @02
2763.50ns INFO [00002765] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2763.50ns INFO [00002765] Port=0 RD @02
2764.50ns INFO [00002766] * RD COMPARE * port=1 adr=02 act=667C6C0A5D37A87C64 exp=667C6C0A5D37A87C64
2765.50ns INFO [00002767] * RD COMPARE * port=0 adr=02 act=667C6C0A5D37A87C64 exp=667C6C0A5D37A87C64
2765.50ns INFO [00002767] Port=0 WR @02=66E1B9390DEFDA797A
2765.50ns INFO [00002767] Port=0 RD @06
2766.50ns INFO [00002768] Port=0 WR @04=9D454E05B08CD7FED5
2766.50ns INFO [00002768] Port=1 RD @07
2767.50ns INFO [00002769] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2767.50ns INFO [00002769] Port=0 RD @07
2768.50ns INFO [00002770] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2768.50ns INFO [00002770] Port=0 RD @00
2768.50ns INFO [00002770] Port=1 RD @02
2769.50ns INFO [00002771] * RD COMPARE * port=0 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2769.50ns INFO [00002771] Port=0 WR @04=61BD0EEDFAA5251FA0
2770.50ns INFO [00002772] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9
2770.50ns INFO [00002772] * RD COMPARE * port=1 adr=02 act=66E1B9390DEFDA797A exp=66E1B9390DEFDA797A
2770.50ns INFO [00002772] Port=0 RD @06
2770.50ns INFO [00002772] Port=1 RD @07
2771.50ns INFO [00002773] Port=0 WR @01=EF7ADF05A057F3DAA7
2771.50ns INFO [00002773] Port=0 RD @04
2771.50ns INFO [00002773] Port=1 RD @06
2772.50ns INFO [00002774] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2772.50ns INFO [00002774] * RD COMPARE * port=1 adr=07 act=2AD3C27F52BDF74703 exp=2AD3C27F52BDF74703
2773.50ns INFO [00002775] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0
2773.50ns INFO [00002775] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2773.50ns INFO [00002775] Port=0 RD @06
2774.50ns INFO [00002776] Port=1 RD @06
2775.50ns INFO [00002777] * RD COMPARE * port=0 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2775.50ns INFO [00002777] Port=0 WR @06=FAB60B51375F882A75
2776.50ns INFO [00002778] * RD COMPARE * port=1 adr=06 act=3D020CBB814DA5BAF1 exp=3D020CBB814DA5BAF1
2776.50ns INFO [00002778] Port=0 WR @07=48015F1B61819CEDA5
2776.50ns INFO [00002778] Port=0 RD @04
2778.50ns INFO [00002780] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0
2779.50ns INFO [00002781] Port=0 RD @06
2779.50ns INFO [00002781] Port=1 RD @00
2781.50ns INFO [00002783] * RD COMPARE * port=0 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2781.50ns INFO [00002783] * RD COMPARE * port=1 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9
2781.50ns INFO [00002783] Port=0 WR @01=8969F117567B2551CA
2781.50ns INFO [00002783] Port=1 RD @06
2782.50ns INFO [00002784] Port=0 RD @00
2782.50ns INFO [00002784] Port=1 RD @03
2783.50ns INFO [00002785] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2783.50ns INFO [00002785] Port=1 RD @06
2784.50ns INFO [00002786] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9
2784.50ns INFO [00002786] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2784.50ns INFO [00002786] Port=0 RD @04
2785.50ns INFO [00002787] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2786.50ns INFO [00002788] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0
2786.50ns INFO [00002788] Port=1 RD @06
2787.50ns INFO [00002789] Port=0 WR @07=C05447C923A6BCA790
2787.50ns INFO [00002789] Port=0 RD @03
2788.50ns INFO [00002790] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2788.50ns INFO [00002790] Port=0 RD @00
2788.50ns INFO [00002790] Port=1 RD @03
2789.50ns INFO [00002791] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2789.50ns INFO [00002791] Port=0 WR @07=02D8AE7ED237655FB8
2790.50ns INFO [00002792] * RD COMPARE * port=0 adr=00 act=1CC7FACCEA592BACA9 exp=1CC7FACCEA592BACA9
2790.50ns INFO [00002792] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2791.50ns INFO [00002793] Port=0 WR @05=14D5C73B3BE46F274F
2791.50ns INFO [00002793] Port=0 RD @04
2792.50ns INFO [00002794] Port=0 RD @01
2793.50ns INFO [00002795] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0
2793.50ns INFO [00002795] Port=0 WR @01=C0CDB7D035D34CB91B
2793.50ns INFO [00002795] Port=1 RD @07
2794.50ns INFO [00002796] * RD COMPARE * port=0 adr=01 act=8969F117567B2551CA exp=8969F117567B2551CA
2794.50ns INFO [00002796] Port=0 RD @04
2795.50ns INFO [00002797] * RD COMPARE * port=1 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8
2795.50ns INFO [00002797] Port=0 WR @00=7BE2D2F97FE183DDA8
2795.50ns INFO [00002797] Port=0 RD @02
2796.50ns INFO [00002798] * RD COMPARE * port=0 adr=04 act=61BD0EEDFAA5251FA0 exp=61BD0EEDFAA5251FA0
2797.50ns INFO [00002799] * RD COMPARE * port=0 adr=02 act=66E1B9390DEFDA797A exp=66E1B9390DEFDA797A
2798.00ns INFO [00002800] [00002800] ...tick...
2798.50ns INFO [00002800] Port=0 WR @02=F0C1A31832C810EEFB
2798.50ns INFO [00002800] Port=0 RD @00
2799.50ns INFO [00002801] Port=0 WR @04=AEF5270268A1594659
2800.50ns INFO [00002802] * RD COMPARE * port=0 adr=00 act=7BE2D2F97FE183DDA8 exp=7BE2D2F97FE183DDA8
2800.50ns INFO [00002802] Port=1 RD @03
2802.50ns INFO [00002804] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2802.50ns INFO [00002804] Port=0 WR @00=361B71803583B9D1D5
2802.50ns INFO [00002804] Port=0 RD @07
2802.50ns INFO [00002804] Port=1 RD @06
2803.50ns INFO [00002805] Port=0 RD @01
2803.50ns INFO [00002805] Port=1 RD @06
2804.50ns INFO [00002806] * RD COMPARE * port=0 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8
2804.50ns INFO [00002806] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2805.50ns INFO [00002807] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2805.50ns INFO [00002807] * RD COMPARE * port=1 adr=06 act=FAB60B51375F882A75 exp=FAB60B51375F882A75
2805.50ns INFO [00002807] Port=0 WR @02=E9DB89FE7F5F033C3A
2807.50ns INFO [00002809] Port=1 RD @04
2808.50ns INFO [00002810] Port=0 RD @03
2808.50ns INFO [00002810] Port=1 RD @02
2809.50ns INFO [00002811] * RD COMPARE * port=1 adr=04 act=AEF5270268A1594659 exp=AEF5270268A1594659
2810.50ns INFO [00002812] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2810.50ns INFO [00002812] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A
2810.50ns INFO [00002812] Port=1 RD @02
2811.50ns INFO [00002813] Port=0 WR @06=19A9AD4D73F1E5ED3F
2812.50ns INFO [00002814] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A
2812.50ns INFO [00002814] Port=0 RD @04
2814.50ns INFO [00002816] * RD COMPARE * port=0 adr=04 act=AEF5270268A1594659 exp=AEF5270268A1594659
2814.50ns INFO [00002816] Port=1 RD @01
2815.50ns INFO [00002817] Port=0 RD @07
2816.50ns INFO [00002818] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2816.50ns INFO [00002818] Port=0 WR @07=E14301DD91A8192CE8
2817.50ns INFO [00002819] * RD COMPARE * port=0 adr=07 act=02D8AE7ED237655FB8 exp=02D8AE7ED237655FB8
2817.50ns INFO [00002819] Port=0 WR @04=47A547AD43666907A9
2818.50ns INFO [00002820] Port=0 RD @04
2819.50ns INFO [00002821] Port=0 WR @07=D992B40248CB8C5513
2819.50ns INFO [00002821] Port=0 RD @03
2819.50ns INFO [00002821] Port=1 RD @03
2820.50ns INFO [00002822] * RD COMPARE * port=0 adr=04 act=47A547AD43666907A9 exp=47A547AD43666907A9
2821.50ns INFO [00002823] * RD COMPARE * port=0 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2821.50ns INFO [00002823] * RD COMPARE * port=1 adr=03 act=11183255611A5DAC0E exp=11183255611A5DAC0E
2821.50ns INFO [00002823] Port=0 RD @05
2822.50ns INFO [00002824] Port=0 WR @04=E79C43849ACFD3ED8D
2822.50ns INFO [00002824] Port=1 RD @00
2823.50ns INFO [00002825] * RD COMPARE * port=0 adr=05 act=14D5C73B3BE46F274F exp=14D5C73B3BE46F274F
2823.50ns INFO [00002825] Port=0 WR @05=6CAF160BB45F9E1E5C
2824.50ns INFO [00002826] * RD COMPARE * port=1 adr=00 act=361B71803583B9D1D5 exp=361B71803583B9D1D5
2824.50ns INFO [00002826] Port=0 WR @03=9C26FB0B7F7F3F438D
2825.50ns INFO [00002827] Port=0 WR @00=28D99452A0E81A9DCB
2825.50ns INFO [00002827] Port=1 RD @01
2826.50ns INFO [00002828] Port=0 RD @05
2827.50ns INFO [00002829] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2827.50ns INFO [00002829] Port=0 RD @00
2827.50ns INFO [00002829] Port=1 RD @02
2828.50ns INFO [00002830] * RD COMPARE * port=0 adr=05 act=6CAF160BB45F9E1E5C exp=6CAF160BB45F9E1E5C
2828.50ns INFO [00002830] Port=0 WR @04=622862ADCC1C89AC97
2828.50ns INFO [00002830] Port=0 RD @06
2829.50ns INFO [00002831] * RD COMPARE * port=0 adr=00 act=28D99452A0E81A9DCB exp=28D99452A0E81A9DCB
2829.50ns INFO [00002831] * RD COMPARE * port=1 adr=02 act=E9DB89FE7F5F033C3A exp=E9DB89FE7F5F033C3A
2829.50ns INFO [00002831] Port=1 RD @00
2830.50ns INFO [00002832] * RD COMPARE * port=0 adr=06 act=19A9AD4D73F1E5ED3F exp=19A9AD4D73F1E5ED3F
2830.50ns INFO [00002832] Port=0 WR @03=78253B2AF7999FAD19
2830.50ns INFO [00002832] Port=0 RD @06
2831.50ns INFO [00002833] * RD COMPARE * port=1 adr=00 act=28D99452A0E81A9DCB exp=28D99452A0E81A9DCB
2831.50ns INFO [00002833] Port=0 RD @04
2832.50ns INFO [00002834] * RD COMPARE * port=0 adr=06 act=19A9AD4D73F1E5ED3F exp=19A9AD4D73F1E5ED3F
2832.50ns INFO [00002834] Port=0 WR @02=C2442DC7C4E907F940
2832.50ns INFO [00002834] Port=0 RD @07
2832.50ns INFO [00002834] Port=1 RD @01
2833.50ns INFO [00002835] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2833.50ns INFO [00002835] Port=0 WR @00=E6AA68EE8917B104E5
2834.50ns INFO [00002836] * RD COMPARE * port=0 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513
2834.50ns INFO [00002836] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2834.50ns INFO [00002836] Port=1 RD @00
2835.50ns INFO [00002837] Port=1 RD @04
2836.50ns INFO [00002838] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2836.50ns INFO [00002838] Port=0 RD @05
2837.50ns INFO [00002839] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2838.50ns INFO [00002840] * RD COMPARE * port=0 adr=05 act=6CAF160BB45F9E1E5C exp=6CAF160BB45F9E1E5C
2838.50ns INFO [00002840] Port=0 WR @06=077A31A85BFBFE4476
2838.50ns INFO [00002840] Port=1 RD @02
2839.50ns INFO [00002841] Port=0 RD @00
2839.50ns INFO [00002841] Port=1 RD @01
2840.50ns INFO [00002842] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2840.50ns INFO [00002842] Port=0 RD @06
2841.50ns INFO [00002843] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2841.50ns INFO [00002843] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2841.50ns INFO [00002843] Port=0 WR @05=FCE0C7E4B853775C50
2842.50ns INFO [00002844] * RD COMPARE * port=0 adr=06 act=077A31A85BFBFE4476 exp=077A31A85BFBFE4476
2842.50ns INFO [00002844] Port=0 RD @04
2842.50ns INFO [00002844] Port=1 RD @00
2843.50ns INFO [00002845] Port=1 RD @02
2844.50ns INFO [00002846] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2844.50ns INFO [00002846] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2844.50ns INFO [00002846] Port=0 WR @05=CAA3BA560501179953
2845.50ns INFO [00002847] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2845.50ns INFO [00002847] Port=0 RD @02
2845.50ns INFO [00002847] Port=1 RD @00
2847.50ns INFO [00002849] * RD COMPARE * port=0 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2847.50ns INFO [00002849] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2847.50ns INFO [00002849] Port=0 RD @01
2847.50ns INFO [00002849] Port=1 RD @02
2849.50ns INFO [00002851] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2849.50ns INFO [00002851] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2849.50ns INFO [00002851] Port=0 WR @05=A85BDDC944901A2EBB
2849.50ns INFO [00002851] Port=1 RD @07
2850.50ns INFO [00002852] Port=0 RD @00
2850.50ns INFO [00002852] Port=1 RD @07
2851.50ns INFO [00002853] * RD COMPARE * port=1 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513
2851.50ns INFO [00002853] Port=0 WR @05=A439EDDE5688354F0B
2851.50ns INFO [00002853] Port=0 RD @01
2851.50ns INFO [00002853] Port=1 RD @02
2852.50ns INFO [00002854] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2852.50ns INFO [00002854] * RD COMPARE * port=1 adr=07 act=D992B40248CB8C5513 exp=D992B40248CB8C5513
2852.50ns INFO [00002854] Port=0 RD @06
2852.50ns INFO [00002854] Port=1 RD @02
2853.50ns INFO [00002855] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2853.50ns INFO [00002855] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2853.50ns INFO [00002855] Port=0 WR @03=A9F6C095753DF69A7F
2854.50ns INFO [00002856] * RD COMPARE * port=0 adr=06 act=077A31A85BFBFE4476 exp=077A31A85BFBFE4476
2854.50ns INFO [00002856] * RD COMPARE * port=1 adr=02 act=C2442DC7C4E907F940 exp=C2442DC7C4E907F940
2854.50ns INFO [00002856] Port=0 WR @06=0C182E4F582C031099
2855.50ns INFO [00002857] Port=1 RD @05
2857.50ns INFO [00002859] * RD COMPARE * port=1 adr=05 act=A439EDDE5688354F0B exp=A439EDDE5688354F0B
2857.50ns INFO [00002859] Port=0 RD @06
2858.50ns INFO [00002860] Port=1 RD @04
2859.50ns INFO [00002861] * RD COMPARE * port=0 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099
2860.50ns INFO [00002862] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2860.50ns INFO [00002862] Port=0 WR @02=D7D35ED47E9BC52946
2860.50ns INFO [00002862] Port=0 RD @01
2860.50ns INFO [00002862] Port=1 RD @06
2861.50ns INFO [00002863] Port=0 WR @02=C0B31605A38103B039
2862.50ns INFO [00002864] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2862.50ns INFO [00002864] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099
2862.50ns INFO [00002864] Port=0 WR @07=B94CF70DEDCB2868A8
2862.50ns INFO [00002864] Port=0 RD @02
2864.50ns INFO [00002866] * RD COMPARE * port=0 adr=02 act=C0B31605A38103B039 exp=C0B31605A38103B039
2864.50ns INFO [00002866] Port=1 RD @07
2865.50ns INFO [00002867] Port=0 WR @02=CAB7EF3969E6954C7B
2865.50ns INFO [00002867] Port=0 RD @03
2865.50ns INFO [00002867] Port=1 RD @07
2866.50ns INFO [00002868] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8
2866.50ns INFO [00002868] Port=1 RD @04
2867.50ns INFO [00002869] * RD COMPARE * port=0 adr=03 act=A9F6C095753DF69A7F exp=A9F6C095753DF69A7F
2867.50ns INFO [00002869] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8
2867.50ns INFO [00002869] Port=0 WR @05=DF0EA63AC7B7ADAC0C
2868.50ns INFO [00002870] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2868.50ns INFO [00002870] Port=1 RD @04
2869.50ns INFO [00002871] Port=0 RD @02
2869.50ns INFO [00002871] Port=1 RD @02
2870.50ns INFO [00002872] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2870.50ns INFO [00002872] Port=1 RD @07
2871.50ns INFO [00002873] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2871.50ns INFO [00002873] * RD COMPARE * port=1 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2871.50ns INFO [00002873] Port=0 RD @06
2872.50ns INFO [00002874] * RD COMPARE * port=1 adr=07 act=B94CF70DEDCB2868A8 exp=B94CF70DEDCB2868A8
2872.50ns INFO [00002874] Port=0 WR @05=4A8AFFEE94F728EDB2
2872.50ns INFO [00002874] Port=0 RD @00
2872.50ns INFO [00002874] Port=1 RD @03
2873.50ns INFO [00002875] * RD COMPARE * port=0 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099
2874.50ns INFO [00002876] * RD COMPARE * port=0 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2874.50ns INFO [00002876] * RD COMPARE * port=1 adr=03 act=A9F6C095753DF69A7F exp=A9F6C095753DF69A7F
2874.50ns INFO [00002876] Port=0 RD @01
2874.50ns INFO [00002876] Port=1 RD @01
2875.50ns INFO [00002877] Port=0 WR @07=3240554B02F1FCDD3E
2875.50ns INFO [00002877] Port=0 RD @04
2876.50ns INFO [00002878] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2876.50ns INFO [00002878] * RD COMPARE * port=1 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2876.50ns INFO [00002878] Port=1 RD @07
2877.50ns INFO [00002879] * RD COMPARE * port=0 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2877.50ns INFO [00002879] Port=0 RD @07
2877.50ns INFO [00002879] Port=1 RD @05
2878.50ns INFO [00002880] * RD COMPARE * port=1 adr=07 act=3240554B02F1FCDD3E exp=3240554B02F1FCDD3E
2878.50ns INFO [00002880] Port=0 WR @03=BF2159A6C96E0ADE87
2879.50ns INFO [00002881] * RD COMPARE * port=0 adr=07 act=3240554B02F1FCDD3E exp=3240554B02F1FCDD3E
2879.50ns INFO [00002881] * RD COMPARE * port=1 adr=05 act=4A8AFFEE94F728EDB2 exp=4A8AFFEE94F728EDB2
2879.50ns INFO [00002881] Port=0 WR @07=A39F3D500B30244DF5
2879.50ns INFO [00002881] Port=1 RD @04
2880.50ns INFO [00002882] Port=0 WR @04=4AD7A11B4046143436
2880.50ns INFO [00002882] Port=0 RD @05
2880.50ns INFO [00002882] Port=1 RD @00
2881.50ns INFO [00002883] * RD COMPARE * port=1 adr=04 act=622862ADCC1C89AC97 exp=622862ADCC1C89AC97
2882.50ns INFO [00002884] * RD COMPARE * port=0 adr=05 act=4A8AFFEE94F728EDB2 exp=4A8AFFEE94F728EDB2
2882.50ns INFO [00002884] * RD COMPARE * port=1 adr=00 act=E6AA68EE8917B104E5 exp=E6AA68EE8917B104E5
2882.50ns INFO [00002884] Port=0 RD @02
2882.50ns INFO [00002884] Port=1 RD @06
2883.50ns INFO [00002885] Port=0 WR @00=73B6E4F548D2BD1B41
2884.50ns INFO [00002886] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2884.50ns INFO [00002886] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099
2884.50ns INFO [00002886] Port=0 WR @04=2B90E7DDD279543C46
2884.50ns INFO [00002886] Port=0 RD @01
2885.50ns INFO [00002887] Port=1 RD @04
2886.50ns INFO [00002888] * RD COMPARE * port=0 adr=01 act=C0CDB7D035D34CB91B exp=C0CDB7D035D34CB91B
2887.50ns INFO [00002889] * RD COMPARE * port=1 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46
2887.50ns INFO [00002889] Port=0 RD @02
2888.50ns INFO [00002890] Port=1 RD @06
2889.50ns INFO [00002891] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2889.50ns INFO [00002891] Port=0 RD @04
2890.50ns INFO [00002892] * RD COMPARE * port=1 adr=06 act=0C182E4F582C031099 exp=0C182E4F582C031099
2890.50ns INFO [00002892] Port=0 WR @01=8D1C7A9CD5757F8B84
2890.50ns INFO [00002892] Port=1 RD @03
2891.50ns INFO [00002893] * RD COMPARE * port=0 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46
2891.50ns INFO [00002893] Port=0 WR @06=BC071EE657E2CB8098
2891.50ns INFO [00002893] Port=1 RD @02
2892.50ns INFO [00002894] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87
2892.50ns INFO [00002894] Port=0 WR @00=830D6EA6C6C2A5E2FC
2892.50ns INFO [00002894] Port=0 RD @01
2893.50ns INFO [00002895] * RD COMPARE * port=1 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2893.50ns INFO [00002895] Port=0 RD @04
2894.50ns INFO [00002896] * RD COMPARE * port=0 adr=01 act=8D1C7A9CD5757F8B84 exp=8D1C7A9CD5757F8B84
2894.50ns INFO [00002896] Port=0 WR @05=0736D7ADAD19B25B28
2894.50ns INFO [00002896] Port=1 RD @03
2895.50ns INFO [00002897] * RD COMPARE * port=0 adr=04 act=2B90E7DDD279543C46 exp=2B90E7DDD279543C46
2895.50ns INFO [00002897] Port=0 WR @07=8D67CE9F2925F58C00
2896.50ns INFO [00002898] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87
2896.50ns INFO [00002898] Port=0 RD @02
2897.50ns INFO [00002899] Port=0 RD @06
2897.50ns INFO [00002899] Port=1 RD @00
2898.00ns INFO [00002900] [00002900] ...tick...
2898.50ns INFO [00002900] * RD COMPARE * port=0 adr=02 act=CAB7EF3969E6954C7B exp=CAB7EF3969E6954C7B
2898.50ns INFO [00002900] Port=1 RD @06
2899.50ns INFO [00002901] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2899.50ns INFO [00002901] * RD COMPARE * port=1 adr=00 act=830D6EA6C6C2A5E2FC exp=830D6EA6C6C2A5E2FC
2900.50ns INFO [00002902] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2900.50ns INFO [00002902] Port=0 WR @04=AB5AA031611E0412B9
2900.50ns INFO [00002902] Port=0 RD @07
2901.50ns INFO [00002903] Port=0 WR @02=DC13106DC9F7711E40
2902.50ns INFO [00002904] * RD COMPARE * port=0 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00
2902.50ns INFO [00002904] Port=1 RD @03
2903.50ns INFO [00002905] Port=0 RD @02
2903.50ns INFO [00002905] Port=1 RD @04
2904.50ns INFO [00002906] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87
2904.50ns INFO [00002906] Port=0 WR @05=7B90C5F360E63D0BCE
2904.50ns INFO [00002906] Port=0 RD @02
2904.50ns INFO [00002906] Port=1 RD @06
2905.50ns INFO [00002907] * RD COMPARE * port=0 adr=02 act=DC13106DC9F7711E40 exp=DC13106DC9F7711E40
2905.50ns INFO [00002907] * RD COMPARE * port=1 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9
2905.50ns INFO [00002907] Port=0 WR @02=1A145DAB40BCD9F5F6
2905.50ns INFO [00002907] Port=0 RD @05
2905.50ns INFO [00002907] Port=1 RD @06
2906.50ns INFO [00002908] * RD COMPARE * port=0 adr=02 act=DC13106DC9F7711E40 exp=DC13106DC9F7711E40
2906.50ns INFO [00002908] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2906.50ns INFO [00002908] Port=0 WR @05=C4F4B60566AD1E54DF
2906.50ns INFO [00002908] Port=1 RD @07
2907.50ns INFO [00002909] * RD COMPARE * port=0 adr=05 act=7B90C5F360E63D0BCE exp=7B90C5F360E63D0BCE
2907.50ns INFO [00002909] * RD COMPARE * port=1 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2907.50ns INFO [00002909] Port=0 RD @06
2908.50ns INFO [00002910] * RD COMPARE * port=1 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00
2908.50ns INFO [00002910] Port=0 WR @00=A358DB8F672F0CE9B7
2908.50ns INFO [00002910] Port=0 RD @04
2908.50ns INFO [00002910] Port=1 RD @03
2909.50ns INFO [00002911] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2910.50ns INFO [00002912] * RD COMPARE * port=0 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9
2910.50ns INFO [00002912] * RD COMPARE * port=1 adr=03 act=BF2159A6C96E0ADE87 exp=BF2159A6C96E0ADE87
2910.50ns INFO [00002912] Port=0 RD @06
2911.50ns INFO [00002913] Port=0 WR @00=FB8CE5FE19FEE2F13C
2911.50ns INFO [00002913] Port=0 RD @07
2912.50ns INFO [00002914] * RD COMPARE * port=0 adr=06 act=BC071EE657E2CB8098 exp=BC071EE657E2CB8098
2912.50ns INFO [00002914] Port=0 WR @00=A618EF8990E8219FCD
2912.50ns INFO [00002914] Port=0 RD @02
2912.50ns INFO [00002914] Port=1 RD @02
2913.50ns INFO [00002915] * RD COMPARE * port=0 adr=07 act=8D67CE9F2925F58C00 exp=8D67CE9F2925F58C00
2913.50ns INFO [00002915] Port=0 WR @01=F93C3B80CE09CF7B58
2914.50ns INFO [00002916] * RD COMPARE * port=0 adr=02 act=1A145DAB40BCD9F5F6 exp=1A145DAB40BCD9F5F6
2914.50ns INFO [00002916] * RD COMPARE * port=1 adr=02 act=1A145DAB40BCD9F5F6 exp=1A145DAB40BCD9F5F6
2914.50ns INFO [00002916] Port=0 WR @02=E30809425436AE9A49
2916.50ns INFO [00002918] Port=0 WR @07=4407F2A6E513B2BA2E
2916.50ns INFO [00002918] Port=1 RD @01
2917.50ns INFO [00002919] Port=0 WR @07=6406A0C4750985F7FF
2917.50ns INFO [00002919] Port=1 RD @00
2918.50ns INFO [00002920] * RD COMPARE * port=1 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58
2918.50ns INFO [00002920] Port=0 WR @06=F777945C800298A7E3
2918.50ns INFO [00002920] Port=0 RD @01
2918.50ns INFO [00002920] Port=1 RD @07
2919.50ns INFO [00002921] * RD COMPARE * port=1 adr=00 act=A618EF8990E8219FCD exp=A618EF8990E8219FCD
2919.50ns INFO [00002921] Port=0 WR @02=D551127D3D24938A22
2920.50ns INFO [00002922] * RD COMPARE * port=0 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58
2920.50ns INFO [00002922] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2920.50ns INFO [00002922] Port=0 RD @07
2920.50ns INFO [00002922] Port=1 RD @04
2921.50ns INFO [00002923] Port=0 WR @04=0237E38048D19F98D5
2922.50ns INFO [00002924] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2922.50ns INFO [00002924] * RD COMPARE * port=1 adr=04 act=AB5AA031611E0412B9 exp=AB5AA031611E0412B9
2923.50ns INFO [00002925] Port=0 RD @02
2924.50ns INFO [00002926] Port=0 WR @03=5C85E1365AF5D7C403
2924.50ns INFO [00002926] Port=0 RD @06
2924.50ns INFO [00002926] Port=1 RD @01
2925.50ns INFO [00002927] * RD COMPARE * port=0 adr=02 act=D551127D3D24938A22 exp=D551127D3D24938A22
2926.50ns INFO [00002928] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3
2926.50ns INFO [00002928] * RD COMPARE * port=1 adr=01 act=F93C3B80CE09CF7B58 exp=F93C3B80CE09CF7B58
2928.50ns INFO [00002930] Port=0 WR @01=6F76D6284F207DAF7B
2928.50ns INFO [00002930] Port=0 RD @06
2928.50ns INFO [00002930] Port=1 RD @06
2929.50ns INFO [00002931] Port=0 RD @07
2930.50ns INFO [00002932] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3
2930.50ns INFO [00002932] * RD COMPARE * port=1 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3
2930.50ns INFO [00002932] Port=0 RD @00
2931.50ns INFO [00002933] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2932.50ns INFO [00002934] * RD COMPARE * port=0 adr=00 act=A618EF8990E8219FCD exp=A618EF8990E8219FCD
2932.50ns INFO [00002934] Port=1 RD @01
2933.50ns INFO [00002935] Port=1 RD @01
2934.50ns INFO [00002936] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2934.50ns INFO [00002936] Port=0 WR @05=A76EFE70FEA6A9D9EE
2934.50ns INFO [00002936] Port=0 RD @04
2935.50ns INFO [00002937] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2935.50ns INFO [00002937] Port=0 WR @05=8CFAD2507E2F56B0CB
2935.50ns INFO [00002937] Port=1 RD @04
2936.50ns INFO [00002938] * RD COMPARE * port=0 adr=04 act=0237E38048D19F98D5 exp=0237E38048D19F98D5
2936.50ns INFO [00002938] Port=0 RD @07
2936.50ns INFO [00002938] Port=1 RD @02
2937.50ns INFO [00002939] * RD COMPARE * port=1 adr=04 act=0237E38048D19F98D5 exp=0237E38048D19F98D5
2938.50ns INFO [00002940] * RD COMPARE * port=0 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2938.50ns INFO [00002940] * RD COMPARE * port=1 adr=02 act=D551127D3D24938A22 exp=D551127D3D24938A22
2939.50ns INFO [00002941] Port=0 WR @05=7FB974B92665078AFF
2939.50ns INFO [00002941] Port=0 RD @06
2940.50ns INFO [00002942] Port=1 RD @03
2941.50ns INFO [00002943] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3
2941.50ns INFO [00002943] Port=0 RD @03
2942.50ns INFO [00002944] * RD COMPARE * port=1 adr=03 act=5C85E1365AF5D7C403 exp=5C85E1365AF5D7C403
2942.50ns INFO [00002944] Port=0 WR @02=FB8AFB480DA1452732
2942.50ns INFO [00002944] Port=1 RD @01
2943.50ns INFO [00002945] * RD COMPARE * port=0 adr=03 act=5C85E1365AF5D7C403 exp=5C85E1365AF5D7C403
2943.50ns INFO [00002945] Port=0 WR @00=23624ED17C74836880
2943.50ns INFO [00002945] Port=1 RD @07
2944.50ns INFO [00002946] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2944.50ns INFO [00002946] Port=1 RD @01
2945.50ns INFO [00002947] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2945.50ns INFO [00002947] Port=0 RD @06
2945.50ns INFO [00002947] Port=1 RD @07
2946.50ns INFO [00002948] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2946.50ns INFO [00002948] Port=0 RD @02
2947.50ns INFO [00002949] * RD COMPARE * port=0 adr=06 act=F777945C800298A7E3 exp=F777945C800298A7E3
2947.50ns INFO [00002949] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2947.50ns INFO [00002949] Port=0 WR @03=CA8D51A677EE298478
2947.50ns INFO [00002949] Port=1 RD @01
2948.50ns INFO [00002950] * RD COMPARE * port=0 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732
2948.50ns INFO [00002950] Port=1 RD @00
2949.50ns INFO [00002951] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2949.50ns INFO [00002951] Port=0 WR @04=77F3D23432605ED857
2949.50ns INFO [00002951] Port=0 RD @02
2950.50ns INFO [00002952] * RD COMPARE * port=1 adr=00 act=23624ED17C74836880 exp=23624ED17C74836880
2951.50ns INFO [00002953] * RD COMPARE * port=0 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732
2952.50ns INFO [00002954] Port=0 RD @00
2952.50ns INFO [00002954] Port=1 RD @01
2954.50ns INFO [00002956] * RD COMPARE * port=0 adr=00 act=23624ED17C74836880 exp=23624ED17C74836880
2954.50ns INFO [00002956] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2954.50ns INFO [00002956] Port=0 WR @03=374CC579900C7F3F98
2956.50ns INFO [00002958] Port=0 WR @06=022AE2083F11A72DB0
2956.50ns INFO [00002958] Port=0 RD @05
2956.50ns INFO [00002958] Port=1 RD @04
2957.50ns INFO [00002959] Port=0 RD @05
2958.50ns INFO [00002960] * RD COMPARE * port=0 adr=05 act=7FB974B92665078AFF exp=7FB974B92665078AFF
2958.50ns INFO [00002960] * RD COMPARE * port=1 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857
2958.50ns INFO [00002960] Port=0 WR @00=CE0406D59C8CBEB7AB
2958.50ns INFO [00002960] Port=1 RD @07
2959.50ns INFO [00002961] * RD COMPARE * port=0 adr=05 act=7FB974B92665078AFF exp=7FB974B92665078AFF
2960.50ns INFO [00002962] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2960.50ns INFO [00002962] Port=0 WR @03=5C441AD011CE6C6462
2960.50ns INFO [00002962] Port=0 RD @04
2960.50ns INFO [00002962] Port=1 RD @02
2961.50ns INFO [00002963] Port=0 WR @06=91B7C58F3C0CB0A16D
2961.50ns INFO [00002963] Port=1 RD @07
2962.50ns INFO [00002964] * RD COMPARE * port=0 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857
2962.50ns INFO [00002964] * RD COMPARE * port=1 adr=02 act=FB8AFB480DA1452732 exp=FB8AFB480DA1452732
2962.50ns INFO [00002964] Port=0 WR @02=D64800A5B4B95418F8
2962.50ns INFO [00002964] Port=0 RD @03
2963.50ns INFO [00002965] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2963.50ns INFO [00002965] Port=0 RD @01
2963.50ns INFO [00002965] Port=1 RD @07
2964.50ns INFO [00002966] * RD COMPARE * port=0 adr=03 act=5C441AD011CE6C6462 exp=5C441AD011CE6C6462
2965.50ns INFO [00002967] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2965.50ns INFO [00002967] * RD COMPARE * port=1 adr=07 act=6406A0C4750985F7FF exp=6406A0C4750985F7FF
2965.50ns INFO [00002967] Port=0 RD @04
2966.50ns INFO [00002968] Port=0 RD @01
2966.50ns INFO [00002968] Port=1 RD @04
2967.50ns INFO [00002969] * RD COMPARE * port=0 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857
2967.50ns INFO [00002969] Port=0 WR @04=C7A051AC9BC0E1C790
2967.50ns INFO [00002969] Port=1 RD @06
2968.50ns INFO [00002970] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2968.50ns INFO [00002970] * RD COMPARE * port=1 adr=04 act=77F3D23432605ED857 exp=77F3D23432605ED857
2969.50ns INFO [00002971] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2970.50ns INFO [00002972] Port=0 WR @05=876167590F9E00510D
2970.50ns INFO [00002972] Port=1 RD @06
2971.50ns INFO [00002973] Port=1 RD @05
2972.50ns INFO [00002974] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2973.50ns INFO [00002975] * RD COMPARE * port=1 adr=05 act=876167590F9E00510D exp=876167590F9E00510D
2974.50ns INFO [00002976] Port=0 WR @03=90F1BE7CDCEEECD819
2976.50ns INFO [00002978] Port=0 WR @07=9B8CDA4B0FF03658B5
2976.50ns INFO [00002978] Port=0 RD @04
2977.50ns INFO [00002979] Port=0 WR @03=2B566E518359688C63
2977.50ns INFO [00002979] Port=0 RD @02
2978.50ns INFO [00002980] * RD COMPARE * port=0 adr=04 act=C7A051AC9BC0E1C790 exp=C7A051AC9BC0E1C790
2979.50ns INFO [00002981] * RD COMPARE * port=0 adr=02 act=D64800A5B4B95418F8 exp=D64800A5B4B95418F8
2979.50ns INFO [00002981] Port=0 WR @04=5F115C586C2C229A7B
2979.50ns INFO [00002981] Port=1 RD @05
2981.50ns INFO [00002983] * RD COMPARE * port=1 adr=05 act=876167590F9E00510D exp=876167590F9E00510D
2981.50ns INFO [00002983] Port=0 WR @00=31ABAB121C096492DB
2981.50ns INFO [00002983] Port=0 RD @03
2981.50ns INFO [00002983] Port=1 RD @01
2982.50ns INFO [00002984] Port=0 WR @03=21359E3CE5807164C5
2983.50ns INFO [00002985] * RD COMPARE * port=0 adr=03 act=2B566E518359688C63 exp=2B566E518359688C63
2983.50ns INFO [00002985] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2983.50ns INFO [00002985] Port=0 WR @02=D882F70FD992C2BD6A
2983.50ns INFO [00002985] Port=0 RD @06
2984.50ns INFO [00002986] Port=0 RD @02
2984.50ns INFO [00002986] Port=1 RD @01
2985.50ns INFO [00002987] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2985.50ns INFO [00002987] Port=1 RD @07
2986.50ns INFO [00002988] * RD COMPARE * port=0 adr=02 act=D882F70FD992C2BD6A exp=D882F70FD992C2BD6A
2986.50ns INFO [00002988] * RD COMPARE * port=1 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2986.50ns INFO [00002988] Port=0 WR @03=6F0D7A20974AFB6C1A
2986.50ns INFO [00002988] Port=1 RD @00
2987.50ns INFO [00002989] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5
2987.50ns INFO [00002989] Port=1 RD @06
2988.50ns INFO [00002990] * RD COMPARE * port=1 adr=00 act=31ABAB121C096492DB exp=31ABAB121C096492DB
2988.50ns INFO [00002990] Port=0 WR @05=C39B6B5C1836507178
2989.50ns INFO [00002991] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2989.50ns INFO [00002991] Port=1 RD @05
2990.50ns INFO [00002992] Port=0 RD @07
2991.50ns INFO [00002993] * RD COMPARE * port=1 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178
2992.50ns INFO [00002994] * RD COMPARE * port=0 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5
2992.50ns INFO [00002994] Port=0 RD @03
2992.50ns INFO [00002994] Port=1 RD @06
2993.50ns INFO [00002995] Port=0 WR @02=AE5D84E68D5A13A793
2993.50ns INFO [00002995] Port=0 RD @01
2993.50ns INFO [00002995] Port=1 RD @03
2994.50ns INFO [00002996] * RD COMPARE * port=0 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A
2994.50ns INFO [00002996] * RD COMPARE * port=1 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2994.50ns INFO [00002996] Port=0 RD @06
2994.50ns INFO [00002996] Port=1 RD @04
2995.50ns INFO [00002997] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
2995.50ns INFO [00002997] * RD COMPARE * port=1 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A
2995.50ns INFO [00002997] Port=0 RD @02
2995.50ns INFO [00002997] Port=1 RD @03
2996.50ns INFO [00002998] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2996.50ns INFO [00002998] * RD COMPARE * port=1 adr=04 act=5F115C586C2C229A7B exp=5F115C586C2C229A7B
2996.50ns INFO [00002998] Port=0 RD @02
2997.50ns INFO [00002999] * RD COMPARE * port=0 adr=02 act=AE5D84E68D5A13A793 exp=AE5D84E68D5A13A793
2997.50ns INFO [00002999] * RD COMPARE * port=1 adr=03 act=6F0D7A20974AFB6C1A exp=6F0D7A20974AFB6C1A
2997.50ns INFO [00002999] Port=0 WR @04=EEEFF11D17E540E4A4
2997.50ns INFO [00002999] Port=0 RD @06
2997.50ns INFO [00002999] Port=1 RD @07
2998.00ns INFO [00003000] [00003000] ...tick...
2998.50ns INFO [00003000] * RD COMPARE * port=0 adr=02 act=AE5D84E68D5A13A793 exp=AE5D84E68D5A13A793
2998.50ns INFO [00003000] Port=0 RD @01
2999.50ns INFO [00003001] * RD COMPARE * port=0 adr=06 act=91B7C58F3C0CB0A16D exp=91B7C58F3C0CB0A16D
2999.50ns INFO [00003001] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5
2999.50ns INFO [00003001] Port=0 WR @01=F112C0B4F5C5521DB5
2999.50ns INFO [00003001] Port=1 RD @05
3000.50ns INFO [00003002] * RD COMPARE * port=0 adr=01 act=6F76D6284F207DAF7B exp=6F76D6284F207DAF7B
3000.50ns INFO [00003002] Port=0 WR @02=7656BCDFC761ED1657
3001.50ns INFO [00003003] * RD COMPARE * port=1 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178
3001.50ns INFO [00003003] Port=0 WR @06=64F6514181825AD44F
3002.50ns INFO [00003004] Port=0 WR @00=868BCD23BDEBE511D9
3003.50ns INFO [00003005] Port=0 RD @05
3003.50ns INFO [00003005] Port=1 RD @06
3004.50ns INFO [00003006] Port=0 RD @04
3005.50ns INFO [00003007] * RD COMPARE * port=0 adr=05 act=C39B6B5C1836507178 exp=C39B6B5C1836507178
3005.50ns INFO [00003007] * RD COMPARE * port=1 adr=06 act=64F6514181825AD44F exp=64F6514181825AD44F
3005.50ns INFO [00003007] Port=0 WR @06=C502BC3228364AD391
3005.50ns INFO [00003007] Port=0 RD @01
3005.50ns INFO [00003007] Port=1 RD @07
3006.50ns INFO [00003008] * RD COMPARE * port=0 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4
3006.50ns INFO [00003008] Port=0 WR @01=576F9B66AA87764C6B
3006.50ns INFO [00003008] Port=1 RD @00
3007.50ns INFO [00003009] * RD COMPARE * port=0 adr=01 act=F112C0B4F5C5521DB5 exp=F112C0B4F5C5521DB5
3007.50ns INFO [00003009] * RD COMPARE * port=1 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5
3007.50ns INFO [00003009] Port=0 WR @03=0853631545BD551E08
3007.50ns INFO [00003009] Port=0 RD @07
3008.50ns INFO [00003010] * RD COMPARE * port=1 adr=00 act=868BCD23BDEBE511D9 exp=868BCD23BDEBE511D9
3008.50ns INFO [00003010] Port=0 WR @07=78812A6AEDE0D28437
3009.50ns INFO [00003011] * RD COMPARE * port=0 adr=07 act=9B8CDA4B0FF03658B5 exp=9B8CDA4B0FF03658B5
3009.50ns INFO [00003011] Port=0 WR @06=B0642D989959DFB7A3
3010.50ns INFO [00003012] Port=0 RD @01
3010.50ns INFO [00003012] Port=1 RD @07
3011.50ns INFO [00003013] Port=0 WR @05=B80D96675CF3FB5752
3011.50ns INFO [00003013] Port=0 RD @01
3012.50ns INFO [00003014] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3012.50ns INFO [00003014] * RD COMPARE * port=1 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437
3012.50ns INFO [00003014] Port=1 RD @04
3013.50ns INFO [00003015] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3013.50ns INFO [00003015] Port=0 WR @00=304DFF0E178126F2F1
3013.50ns INFO [00003015] Port=0 RD @03
3013.50ns INFO [00003015] Port=1 RD @01
3014.50ns INFO [00003016] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4
3014.50ns INFO [00003016] Port=0 WR @00=ADE630551CD8BD21BC
3015.50ns INFO [00003017] * RD COMPARE * port=0 adr=03 act=0853631545BD551E08 exp=0853631545BD551E08
3015.50ns INFO [00003017] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3015.50ns INFO [00003017] Port=0 WR @03=9D5A891DFAD1C14FEA
3015.50ns INFO [00003017] Port=0 RD @07
3015.50ns INFO [00003017] Port=1 RD @01
3016.50ns INFO [00003018] Port=0 RD @07
3016.50ns INFO [00003018] Port=1 RD @04
3017.50ns INFO [00003019] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437
3017.50ns INFO [00003019] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3018.50ns INFO [00003020] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437
3018.50ns INFO [00003020] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4
3018.50ns INFO [00003020] Port=0 WR @06=DC80C77C6A1FAD67B7
3018.50ns INFO [00003020] Port=0 RD @07
3018.50ns INFO [00003020] Port=1 RD @04
3019.50ns INFO [00003021] Port=0 WR @04=A4E574FF1CA440518D
3020.50ns INFO [00003022] * RD COMPARE * port=0 adr=07 act=78812A6AEDE0D28437 exp=78812A6AEDE0D28437
3020.50ns INFO [00003022] * RD COMPARE * port=1 adr=04 act=EEEFF11D17E540E4A4 exp=EEEFF11D17E540E4A4
3021.50ns INFO [00003023] Port=0 RD @03
3021.50ns INFO [00003023] Port=1 RD @00
3022.50ns INFO [00003024] Port=0 WR @07=A98D93B019071F2D8E
3023.50ns INFO [00003025] * RD COMPARE * port=0 adr=03 act=9D5A891DFAD1C14FEA exp=9D5A891DFAD1C14FEA
3023.50ns INFO [00003025] * RD COMPARE * port=1 adr=00 act=ADE630551CD8BD21BC exp=ADE630551CD8BD21BC
3023.50ns INFO [00003025] Port=0 WR @00=C34796D70C62AECC7C
3023.50ns INFO [00003025] Port=0 RD @01
3025.50ns INFO [00003027] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3026.50ns INFO [00003028] Port=1 RD @06
3027.50ns INFO [00003029] Port=0 RD @01
3027.50ns INFO [00003029] Port=1 RD @00
3028.50ns INFO [00003030] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3029.50ns INFO [00003031] * RD COMPARE * port=0 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3029.50ns INFO [00003031] * RD COMPARE * port=1 adr=00 act=C34796D70C62AECC7C exp=C34796D70C62AECC7C
3029.50ns INFO [00003031] Port=1 RD @01
3030.50ns INFO [00003032] Port=0 WR @03=2EAB840A54DED275E8
3030.50ns INFO [00003032] Port=0 RD @02
3031.50ns INFO [00003033] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3031.50ns INFO [00003033] Port=0 WR @07=CC0E31C0694C5763E9
3032.50ns INFO [00003034] * RD COMPARE * port=0 adr=02 act=7656BCDFC761ED1657 exp=7656BCDFC761ED1657
3033.50ns INFO [00003035] Port=0 WR @00=5FC7FA452EE570C8EC
3034.50ns INFO [00003036] Port=0 WR @00=9A61E6191D67F0FD10
3034.50ns INFO [00003036] Port=1 RD @04
3036.50ns INFO [00003038] * RD COMPARE * port=1 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D
3036.50ns INFO [00003038] Port=0 RD @04
3038.50ns INFO [00003040] * RD COMPARE * port=0 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D
3038.50ns INFO [00003040] Port=0 WR @07=6164FB23348E134A31
3038.50ns INFO [00003040] Port=0 RD @03
3038.50ns INFO [00003040] Port=1 RD @01
3039.50ns INFO [00003041] Port=0 WR @02=CEA4FA72C90A37972D
3039.50ns INFO [00003041] Port=0 RD @06
3040.50ns INFO [00003042] * RD COMPARE * port=0 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8
3040.50ns INFO [00003042] * RD COMPARE * port=1 adr=01 act=576F9B66AA87764C6B exp=576F9B66AA87764C6B
3040.50ns INFO [00003042] Port=0 RD @07
3040.50ns INFO [00003042] Port=1 RD @00
3041.50ns INFO [00003043] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3041.50ns INFO [00003043] Port=0 RD @04
3042.50ns INFO [00003044] * RD COMPARE * port=0 adr=07 act=6164FB23348E134A31 exp=6164FB23348E134A31
3042.50ns INFO [00003044] * RD COMPARE * port=1 adr=00 act=9A61E6191D67F0FD10 exp=9A61E6191D67F0FD10
3042.50ns INFO [00003044] Port=0 WR @01=FE9C7E5D28284FD91D
3042.50ns INFO [00003044] Port=1 RD @03
3043.50ns INFO [00003045] * RD COMPARE * port=0 adr=04 act=A4E574FF1CA440518D exp=A4E574FF1CA440518D
3043.50ns INFO [00003045] Port=0 RD @05
3044.50ns INFO [00003046] * RD COMPARE * port=1 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8
3044.50ns INFO [00003046] Port=0 WR @04=1355AD18AE8D1EEA05
3044.50ns INFO [00003046] Port=0 RD @03
3044.50ns INFO [00003046] Port=1 RD @06
3045.50ns INFO [00003047] * RD COMPARE * port=0 adr=05 act=B80D96675CF3FB5752 exp=B80D96675CF3FB5752
3045.50ns INFO [00003047] Port=0 RD @06
3045.50ns INFO [00003047] Port=1 RD @04
3046.50ns INFO [00003048] * RD COMPARE * port=0 adr=03 act=2EAB840A54DED275E8 exp=2EAB840A54DED275E8
3046.50ns INFO [00003048] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3046.50ns INFO [00003048] Port=0 WR @03=1D5E7797939D4AC5B8
3047.50ns INFO [00003049] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3047.50ns INFO [00003049] * RD COMPARE * port=1 adr=04 act=1355AD18AE8D1EEA05 exp=1355AD18AE8D1EEA05
3047.50ns INFO [00003049] Port=0 WR @02=19949B44000E1ABA9C
3047.50ns INFO [00003049] Port=0 RD @00
3048.50ns INFO [00003050] Port=0 WR @04=62A055F112CA773ED1
3049.50ns INFO [00003051] * RD COMPARE * port=0 adr=00 act=9A61E6191D67F0FD10 exp=9A61E6191D67F0FD10
3050.50ns INFO [00003052] Port=0 WR @00=F19BE70D4689C77B36
3050.50ns INFO [00003052] Port=1 RD @06
3051.50ns INFO [00003053] Port=0 WR @01=FF4399F895891F6329
3051.50ns INFO [00003053] Port=1 RD @07
3052.50ns INFO [00003054] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3052.50ns INFO [00003054] Port=0 RD @01
3052.50ns INFO [00003054] Port=1 RD @04
3053.50ns INFO [00003055] * RD COMPARE * port=1 adr=07 act=6164FB23348E134A31 exp=6164FB23348E134A31
3053.50ns INFO [00003055] Port=0 WR @07=508A60AB92D7CB524F
3054.50ns INFO [00003056] * RD COMPARE * port=0 adr=01 act=FF4399F895891F6329 exp=FF4399F895891F6329
3054.50ns INFO [00003056] * RD COMPARE * port=1 adr=04 act=62A055F112CA773ED1 exp=62A055F112CA773ED1
3055.50ns INFO [00003057] Port=0 WR @03=DF261523D82580F762
3056.50ns INFO [00003058] Port=0 RD @07
3057.50ns INFO [00003059] Port=0 WR @05=1DFE2D906F1F149569
3057.50ns INFO [00003059] Port=0 RD @07
3057.50ns INFO [00003059] Port=1 RD @02
3058.50ns INFO [00003060] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F
3058.50ns INFO [00003060] Port=0 WR @01=4FB6979F8E638C1E73
3058.50ns INFO [00003060] Port=0 RD @07
3058.50ns INFO [00003060] Port=1 RD @00
3059.50ns INFO [00003061] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F
3059.50ns INFO [00003061] * RD COMPARE * port=1 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C
3059.50ns INFO [00003061] Port=0 RD @02
3059.50ns INFO [00003061] Port=1 RD @05
3060.50ns INFO [00003062] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F
3060.50ns INFO [00003062] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36
3060.50ns INFO [00003062] Port=0 RD @02
3060.50ns INFO [00003062] Port=1 RD @06
3061.50ns INFO [00003063] * RD COMPARE * port=0 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C
3061.50ns INFO [00003063] * RD COMPARE * port=1 adr=05 act=1DFE2D906F1F149569 exp=1DFE2D906F1F149569
3061.50ns INFO [00003063] Port=0 WR @05=74898A0BE97EDF6DE2
3062.50ns INFO [00003064] * RD COMPARE * port=0 adr=02 act=19949B44000E1ABA9C exp=19949B44000E1ABA9C
3062.50ns INFO [00003064] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3063.50ns INFO [00003065] Port=0 RD @05
3065.50ns INFO [00003067] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3065.50ns INFO [00003067] Port=0 WR @04=1DCB89DF0CA9A641F8
3067.50ns INFO [00003069] Port=0 WR @02=F5A0B54A1ADF366944
3067.50ns INFO [00003069] Port=1 RD @03
3069.50ns INFO [00003071] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762
3069.50ns INFO [00003071] Port=0 RD @07
3070.50ns INFO [00003072] Port=0 RD @00
3071.50ns INFO [00003073] * RD COMPARE * port=0 adr=07 act=508A60AB92D7CB524F exp=508A60AB92D7CB524F
3071.50ns INFO [00003073] Port=0 WR @07=059ADD783331FBCFE4
3072.50ns INFO [00003074] * RD COMPARE * port=0 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36
3073.50ns INFO [00003075] Port=0 RD @03
3074.50ns INFO [00003076] Port=0 WR @02=F63673A6EE2A1A7E68
3074.50ns INFO [00003076] Port=1 RD @06
3075.50ns INFO [00003077] * RD COMPARE * port=0 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762
3076.50ns INFO [00003078] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3077.50ns INFO [00003079] Port=0 WR @02=07E75348114197583F
3077.50ns INFO [00003079] Port=1 RD @04
3078.50ns INFO [00003080] Port=1 RD @03
3079.50ns INFO [00003081] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3080.50ns INFO [00003082] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762
3081.50ns INFO [00003083] Port=0 RD @01
3081.50ns INFO [00003083] Port=1 RD @00
3082.50ns INFO [00003084] Port=0 WR @02=F47FC5BC1F16723A8F
3082.50ns INFO [00003084] Port=0 RD @06
3082.50ns INFO [00003084] Port=1 RD @04
3083.50ns INFO [00003085] * RD COMPARE * port=0 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73
3083.50ns INFO [00003085] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36
3083.50ns INFO [00003085] Port=0 RD @05
3083.50ns INFO [00003085] Port=1 RD @00
3084.50ns INFO [00003086] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3084.50ns INFO [00003086] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3084.50ns INFO [00003086] Port=0 WR @00=25B05321CAB0700F48
3084.50ns INFO [00003086] Port=0 RD @07
3084.50ns INFO [00003086] Port=1 RD @03
3085.50ns INFO [00003087] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3085.50ns INFO [00003087] * RD COMPARE * port=1 adr=00 act=F19BE70D4689C77B36 exp=F19BE70D4689C77B36
3085.50ns INFO [00003087] Port=0 RD @05
3085.50ns INFO [00003087] Port=1 RD @06
3086.50ns INFO [00003088] * RD COMPARE * port=0 adr=07 act=059ADD783331FBCFE4 exp=059ADD783331FBCFE4
3086.50ns INFO [00003088] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762
3086.50ns INFO [00003088] Port=0 RD @01
3087.50ns INFO [00003089] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3087.50ns INFO [00003089] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3088.50ns INFO [00003090] * RD COMPARE * port=0 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73
3088.50ns INFO [00003090] Port=0 RD @02
3088.50ns INFO [00003090] Port=1 RD @02
3089.50ns INFO [00003091] Port=0 RD @05
3090.50ns INFO [00003092] * RD COMPARE * port=0 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F
3090.50ns INFO [00003092] * RD COMPARE * port=1 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F
3090.50ns INFO [00003092] Port=0 RD @02
3091.50ns INFO [00003093] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3091.50ns INFO [00003093] Port=1 RD @04
3092.50ns INFO [00003094] * RD COMPARE * port=0 adr=02 act=F47FC5BC1F16723A8F exp=F47FC5BC1F16723A8F
3092.50ns INFO [00003094] Port=1 RD @01
3093.50ns INFO [00003095] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3094.50ns INFO [00003096] * RD COMPARE * port=1 adr=01 act=4FB6979F8E638C1E73 exp=4FB6979F8E638C1E73
3094.50ns INFO [00003096] Port=1 RD @03
3095.50ns INFO [00003097] Port=0 WR @03=B66E3D7321D5C1C20B
3095.50ns INFO [00003097] Port=0 RD @05
3096.50ns INFO [00003098] * RD COMPARE * port=1 adr=03 act=DF261523D82580F762 exp=DF261523D82580F762
3097.50ns INFO [00003099] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3097.50ns INFO [00003099] Port=1 RD @06
3098.00ns INFO [00003100] [00003100] ...tick...
3098.50ns INFO [00003100] Port=0 RD @04
3098.50ns INFO [00003100] Port=1 RD @00
3099.50ns INFO [00003101] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3099.50ns INFO [00003101] Port=0 WR @07=AF47BA16498B699FC8
3099.50ns INFO [00003101] Port=1 RD @04
3100.50ns INFO [00003102] * RD COMPARE * port=0 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3100.50ns INFO [00003102] * RD COMPARE * port=1 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3101.50ns INFO [00003103] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3101.50ns INFO [00003103] Port=0 RD @03
3101.50ns INFO [00003103] Port=1 RD @03
3102.50ns INFO [00003104] Port=0 WR @01=649912AED3C2095BD2
3102.50ns INFO [00003104] Port=1 RD @07
3103.50ns INFO [00003105] * RD COMPARE * port=0 adr=03 act=B66E3D7321D5C1C20B exp=B66E3D7321D5C1C20B
3103.50ns INFO [00003105] * RD COMPARE * port=1 adr=03 act=B66E3D7321D5C1C20B exp=B66E3D7321D5C1C20B
3103.50ns INFO [00003105] Port=0 RD @05
3104.50ns INFO [00003106] * RD COMPARE * port=1 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8
3104.50ns INFO [00003106] Port=0 RD @04
3104.50ns INFO [00003106] Port=1 RD @00
3105.50ns INFO [00003107] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3105.50ns INFO [00003107] Port=0 WR @03=71614A26B399634CB0
3105.50ns INFO [00003107] Port=0 RD @00
3106.50ns INFO [00003108] * RD COMPARE * port=0 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3106.50ns INFO [00003108] * RD COMPARE * port=1 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3106.50ns INFO [00003108] Port=0 RD @07
3107.50ns INFO [00003109] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3107.50ns INFO [00003109] Port=1 RD @01
3108.50ns INFO [00003110] * RD COMPARE * port=0 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8
3108.50ns INFO [00003110] Port=0 RD @05
3108.50ns INFO [00003110] Port=1 RD @01
3109.50ns INFO [00003111] * RD COMPARE * port=1 adr=01 act=649912AED3C2095BD2 exp=649912AED3C2095BD2
3110.50ns INFO [00003112] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3110.50ns INFO [00003112] * RD COMPARE * port=1 adr=01 act=649912AED3C2095BD2 exp=649912AED3C2095BD2
3110.50ns INFO [00003112] Port=0 WR @01=599FCACF7B79BEDB2D
3110.50ns INFO [00003112] Port=1 RD @05
3111.50ns INFO [00003113] Port=1 RD @05
3112.50ns INFO [00003114] * RD COMPARE * port=1 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3112.50ns INFO [00003114] Port=0 RD @05
3113.50ns INFO [00003115] * RD COMPARE * port=1 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3113.50ns INFO [00003115] Port=1 RD @01
3114.50ns INFO [00003116] * RD COMPARE * port=0 adr=05 act=74898A0BE97EDF6DE2 exp=74898A0BE97EDF6DE2
3114.50ns INFO [00003116] Port=0 RD @06
3114.50ns INFO [00003116] Port=1 RD @04
3115.50ns INFO [00003117] * RD COMPARE * port=1 adr=01 act=599FCACF7B79BEDB2D exp=599FCACF7B79BEDB2D
3116.50ns INFO [00003118] * RD COMPARE * port=0 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3116.50ns INFO [00003118] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3116.50ns INFO [00003118] Port=1 RD @07
3117.50ns INFO [00003119] Port=1 RD @06
3118.50ns INFO [00003120] * RD COMPARE * port=1 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8
3118.50ns INFO [00003120] Port=0 WR @05=60D788BCDFE5DBB4D3
3119.50ns INFO [00003121] * RD COMPARE * port=1 adr=06 act=DC80C77C6A1FAD67B7 exp=DC80C77C6A1FAD67B7
3119.50ns INFO [00003121] Port=0 WR @01=E8D1120C627EA2472E
3119.50ns INFO [00003121] Port=0 RD @00
3120.50ns INFO [00003122] Port=0 WR @06=E187D23120C510182B
3120.50ns INFO [00003122] Port=1 RD @05
3121.50ns INFO [00003123] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3121.50ns INFO [00003123] Port=0 RD @07
3122.50ns INFO [00003124] * RD COMPARE * port=1 adr=05 act=60D788BCDFE5DBB4D3 exp=60D788BCDFE5DBB4D3
3123.50ns INFO [00003125] * RD COMPARE * port=0 adr=07 act=AF47BA16498B699FC8 exp=AF47BA16498B699FC8
3123.50ns INFO [00003125] Port=0 RD @00
3124.50ns INFO [00003126] Port=0 RD @00
3124.50ns INFO [00003126] Port=1 RD @04
3125.50ns INFO [00003127] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3125.50ns INFO [00003127] Port=0 RD @00
3126.50ns INFO [00003128] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3126.50ns INFO [00003128] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3126.50ns INFO [00003128] Port=0 WR @07=DA1E726ED69B3472FC
3126.50ns INFO [00003128] Port=1 RD @03
3127.50ns INFO [00003129] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3127.50ns INFO [00003129] Port=1 RD @06
3128.50ns INFO [00003130] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0
3128.50ns INFO [00003130] Port=0 RD @06
3129.50ns INFO [00003131] * RD COMPARE * port=1 adr=06 act=E187D23120C510182B exp=E187D23120C510182B
3129.50ns INFO [00003131] Port=0 WR @02=A57551D342D387E7A6
3129.50ns INFO [00003131] Port=1 RD @04
3130.50ns INFO [00003132] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B
3130.50ns INFO [00003132] Port=0 RD @01
3130.50ns INFO [00003132] Port=1 RD @03
3131.50ns INFO [00003133] * RD COMPARE * port=1 adr=04 act=1DCB89DF0CA9A641F8 exp=1DCB89DF0CA9A641F8
3131.50ns INFO [00003133] Port=0 WR @05=A12DD4290B82F823A6
3131.50ns INFO [00003133] Port=1 RD @02
3132.50ns INFO [00003134] * RD COMPARE * port=0 adr=01 act=E8D1120C627EA2472E exp=E8D1120C627EA2472E
3132.50ns INFO [00003134] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0
3132.50ns INFO [00003134] Port=0 RD @03
3132.50ns INFO [00003134] Port=1 RD @02
3133.50ns INFO [00003135] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6
3133.50ns INFO [00003135] Port=1 RD @03
3134.50ns INFO [00003136] * RD COMPARE * port=0 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0
3134.50ns INFO [00003136] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6
3135.50ns INFO [00003137] * RD COMPARE * port=1 adr=03 act=71614A26B399634CB0 exp=71614A26B399634CB0
3135.50ns INFO [00003137] Port=0 WR @03=8AE3B5042696EA7512
3135.50ns INFO [00003137] Port=0 RD @02
3136.50ns INFO [00003138] Port=0 WR @04=111D26146848449E28
3136.50ns INFO [00003138] Port=0 RD @02
3137.50ns INFO [00003139] * RD COMPARE * port=0 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6
3137.50ns INFO [00003139] Port=0 RD @06
3138.50ns INFO [00003140] * RD COMPARE * port=0 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6
3139.50ns INFO [00003141] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B
3140.50ns INFO [00003142] Port=0 RD @00
3140.50ns INFO [00003142] Port=1 RD @02
3141.50ns INFO [00003143] Port=1 RD @05
3142.50ns INFO [00003144] * RD COMPARE * port=0 adr=00 act=25B05321CAB0700F48 exp=25B05321CAB0700F48
3142.50ns INFO [00003144] * RD COMPARE * port=1 adr=02 act=A57551D342D387E7A6 exp=A57551D342D387E7A6
3142.50ns INFO [00003144] Port=0 WR @03=6D40F7607F2295E361
3142.50ns INFO [00003144] Port=0 RD @04
3143.50ns INFO [00003145] * RD COMPARE * port=1 adr=05 act=A12DD4290B82F823A6 exp=A12DD4290B82F823A6
3143.50ns INFO [00003145] Port=0 RD @06
3144.50ns INFO [00003146] * RD COMPARE * port=0 adr=04 act=111D26146848449E28 exp=111D26146848449E28
3144.50ns INFO [00003146] Port=0 WR @01=A92AFAD82795F66524
3144.50ns INFO [00003146] Port=0 RD @07
3144.50ns INFO [00003146] Port=1 RD @04
3145.50ns INFO [00003147] * RD COMPARE * port=0 adr=06 act=E187D23120C510182B exp=E187D23120C510182B
3145.50ns INFO [00003147] Port=0 WR @00=F30B5EB29C405BF17D
3145.50ns INFO [00003147] Port=0 RD @01
3146.50ns INFO [00003148] * RD COMPARE * port=0 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC
3146.50ns INFO [00003148] * RD COMPARE * port=1 adr=04 act=111D26146848449E28 exp=111D26146848449E28
3146.50ns INFO [00003148] Port=0 WR @05=4DCD7F3EF5DB7A59F1
3147.50ns INFO [00003149] * RD COMPARE * port=0 adr=01 act=A92AFAD82795F66524 exp=A92AFAD82795F66524
3147.50ns INFO [00003149] Port=0 RD @03
3147.50ns INFO [00003149] Port=1 RD @03
3148.50ns INFO [00003150] Port=0 WR @02=4774ADFD5877479F5E
3149.50ns INFO [00003151] * RD COMPARE * port=0 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361
3149.50ns INFO [00003151] * RD COMPARE * port=1 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361
3149.50ns INFO [00003151] Port=0 RD @01
3149.50ns INFO [00003151] Port=1 RD @03
3150.50ns INFO [00003152] Port=0 WR @03=6B1AF28A4EBC911B07
3150.50ns INFO [00003152] Port=0 RD @04
3151.50ns INFO [00003153] * RD COMPARE * port=0 adr=01 act=A92AFAD82795F66524 exp=A92AFAD82795F66524
3151.50ns INFO [00003153] * RD COMPARE * port=1 adr=03 act=6D40F7607F2295E361 exp=6D40F7607F2295E361
3151.50ns INFO [00003153] Port=0 WR @04=C4D65F223F34B177D2
3151.50ns INFO [00003153] Port=1 RD @03
3152.50ns INFO [00003154] * RD COMPARE * port=0 adr=04 act=111D26146848449E28 exp=111D26146848449E28
3152.50ns INFO [00003154] Port=1 RD @03
3153.50ns INFO [00003155] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07
3153.50ns INFO [00003155] Port=0 WR @00=1077C98CA26544A5B6
3154.50ns INFO [00003156] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07
3154.50ns INFO [00003156] Port=0 WR @01=596028F25BE13BEBE6
3154.50ns INFO [00003156] Port=0 RD @04
3155.50ns INFO [00003157] Port=0 WR @01=4EE4AA92DE802BFDE2
3156.50ns INFO [00003158] * RD COMPARE * port=0 adr=04 act=C4D65F223F34B177D2 exp=C4D65F223F34B177D2
3156.50ns INFO [00003158] Port=0 WR @04=62C3E5F5863C09F75F
3157.50ns INFO [00003159] Port=0 RD @00
3158.50ns INFO [00003160] Port=0 WR @01=C827528EFE44D8E4C0
3158.50ns INFO [00003160] Port=1 RD @07
3159.50ns INFO [00003161] * RD COMPARE * port=0 adr=00 act=1077C98CA26544A5B6 exp=1077C98CA26544A5B6
3160.50ns INFO [00003162] * RD COMPARE * port=1 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC
3160.50ns INFO [00003162] Port=0 WR @01=F586388A62615FA473
3161.50ns INFO [00003163] Port=0 WR @06=E1114E32FDA8A42FEF
3161.50ns INFO [00003163] Port=1 RD @04
3162.50ns INFO [00003164] Port=1 RD @07
3163.50ns INFO [00003165] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3164.50ns INFO [00003166] * RD COMPARE * port=1 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC
3165.50ns INFO [00003167] Port=1 RD @04
3166.50ns INFO [00003168] Port=0 RD @03
3167.50ns INFO [00003169] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3167.50ns INFO [00003169] Port=0 RD @04
3167.50ns INFO [00003169] Port=1 RD @01
3168.50ns INFO [00003170] * RD COMPARE * port=0 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07
3168.50ns INFO [00003170] Port=1 RD @02
3169.50ns INFO [00003171] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3169.50ns INFO [00003171] * RD COMPARE * port=1 adr=01 act=F586388A62615FA473 exp=F586388A62615FA473
3169.50ns INFO [00003171] Port=0 RD @05
3170.50ns INFO [00003172] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E
3171.50ns INFO [00003173] * RD COMPARE * port=0 adr=05 act=4DCD7F3EF5DB7A59F1 exp=4DCD7F3EF5DB7A59F1
3171.50ns INFO [00003173] Port=0 RD @07
3171.50ns INFO [00003173] Port=1 RD @02
3172.50ns INFO [00003174] Port=0 WR @00=504A784C0204B6C0EE
3173.50ns INFO [00003175] * RD COMPARE * port=0 adr=07 act=DA1E726ED69B3472FC exp=DA1E726ED69B3472FC
3173.50ns INFO [00003175] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E
3173.50ns INFO [00003175] Port=0 RD @05
3174.50ns INFO [00003176] Port=1 RD @04
3175.50ns INFO [00003177] * RD COMPARE * port=0 adr=05 act=4DCD7F3EF5DB7A59F1 exp=4DCD7F3EF5DB7A59F1
3175.50ns INFO [00003177] Port=1 RD @02
3176.50ns INFO [00003178] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3176.50ns INFO [00003178] Port=0 WR @02=CC175994A562EC236A
3177.50ns INFO [00003179] * RD COMPARE * port=1 adr=02 act=4774ADFD5877479F5E exp=4774ADFD5877479F5E
3177.50ns INFO [00003179] Port=0 WR @01=F599465355399A87EF
3178.50ns INFO [00003180] Port=0 WR @07=AA919BCAA7D48E3F26
3179.50ns INFO [00003181] Port=0 RD @03
3179.50ns INFO [00003181] Port=1 RD @03
3180.50ns INFO [00003182] Port=0 WR @07=BF255B1CBE84C491D1
3180.50ns INFO [00003182] Port=0 RD @06
3180.50ns INFO [00003182] Port=1 RD @06
3181.50ns INFO [00003183] * RD COMPARE * port=0 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07
3181.50ns INFO [00003183] * RD COMPARE * port=1 adr=03 act=6B1AF28A4EBC911B07 exp=6B1AF28A4EBC911B07
3181.50ns INFO [00003183] Port=0 WR @00=9D611066A0E1B87FE6
3182.50ns INFO [00003184] * RD COMPARE * port=0 adr=06 act=E1114E32FDA8A42FEF exp=E1114E32FDA8A42FEF
3182.50ns INFO [00003184] * RD COMPARE * port=1 adr=06 act=E1114E32FDA8A42FEF exp=E1114E32FDA8A42FEF
3182.50ns INFO [00003184] Port=0 WR @06=C65C82D847BC728077
3182.50ns INFO [00003184] Port=1 RD @02
3184.50ns INFO [00003186] * RD COMPARE * port=1 adr=02 act=CC175994A562EC236A exp=CC175994A562EC236A
3184.50ns INFO [00003186] Port=0 RD @01
3185.50ns INFO [00003187] Port=0 RD @07
3186.50ns INFO [00003188] * RD COMPARE * port=0 adr=01 act=F599465355399A87EF exp=F599465355399A87EF
3186.50ns INFO [00003188] Port=0 RD @06
3187.50ns INFO [00003189] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3187.50ns INFO [00003189] Port=0 WR @01=E7850AC740E7506BEA
3187.50ns INFO [00003189] Port=0 RD @04
3187.50ns INFO [00003189] Port=1 RD @07
3188.50ns INFO [00003190] * RD COMPARE * port=0 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077
3188.50ns INFO [00003190] Port=0 WR @03=80A8694E41BAEE76D7
3189.50ns INFO [00003191] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3189.50ns INFO [00003191] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3190.50ns INFO [00003192] Port=0 WR @05=526C04523BEEF74F33
3190.50ns INFO [00003192] Port=1 RD @02
3191.50ns INFO [00003193] Port=0 WR @00=46292F0D3FAC985039
3191.50ns INFO [00003193] Port=0 RD @03
3192.50ns INFO [00003194] * RD COMPARE * port=1 adr=02 act=CC175994A562EC236A exp=CC175994A562EC236A
3192.50ns INFO [00003194] Port=0 RD @07
3193.50ns INFO [00003195] * RD COMPARE * port=0 adr=03 act=80A8694E41BAEE76D7 exp=80A8694E41BAEE76D7
3193.50ns INFO [00003195] Port=0 WR @05=7FD1C2C0E8D041FBA6
3193.50ns INFO [00003195] Port=0 RD @01
3194.50ns INFO [00003196] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3194.50ns INFO [00003196] Port=1 RD @07
3195.50ns INFO [00003197] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA
3196.50ns INFO [00003198] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3197.50ns INFO [00003199] Port=1 RD @05
3198.00ns INFO [00003200] [00003200] ...tick...
3198.50ns INFO [00003200] Port=0 RD @01
3198.50ns INFO [00003200] Port=1 RD @05
3199.50ns INFO [00003201] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6
3199.50ns INFO [00003201] Port=0 WR @02=1643B535F4C0578CEB
3199.50ns INFO [00003201] Port=1 RD @00
3200.50ns INFO [00003202] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA
3200.50ns INFO [00003202] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6
3200.50ns INFO [00003202] Port=0 WR @02=3D72ADAF23699CB172
3200.50ns INFO [00003202] Port=0 RD @04
3201.50ns INFO [00003203] * RD COMPARE * port=1 adr=00 act=46292F0D3FAC985039 exp=46292F0D3FAC985039
3201.50ns INFO [00003203] Port=0 RD @07
3202.50ns INFO [00003204] * RD COMPARE * port=0 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3202.50ns INFO [00003204] Port=0 WR @03=D030A0BEF1700DF428
3202.50ns INFO [00003204] Port=1 RD @06
3203.50ns INFO [00003205] * RD COMPARE * port=0 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3203.50ns INFO [00003205] Port=1 RD @01
3204.50ns INFO [00003206] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077
3204.50ns INFO [00003206] Port=0 RD @03
3205.50ns INFO [00003207] * RD COMPARE * port=1 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA
3205.50ns INFO [00003207] Port=0 RD @01
3206.50ns INFO [00003208] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428
3206.50ns INFO [00003208] Port=0 WR @00=428BEEEA6F1BD88471
3207.50ns INFO [00003209] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA
3207.50ns INFO [00003209] Port=0 RD @00
3207.50ns INFO [00003209] Port=1 RD @02
3209.50ns INFO [00003211] * RD COMPARE * port=0 adr=00 act=428BEEEA6F1BD88471 exp=428BEEEA6F1BD88471
3209.50ns INFO [00003211] * RD COMPARE * port=1 adr=02 act=3D72ADAF23699CB172 exp=3D72ADAF23699CB172
3209.50ns INFO [00003211] Port=0 RD @01
3209.50ns INFO [00003211] Port=1 RD @04
3211.50ns INFO [00003213] * RD COMPARE * port=0 adr=01 act=E7850AC740E7506BEA exp=E7850AC740E7506BEA
3211.50ns INFO [00003213] * RD COMPARE * port=1 adr=04 act=62C3E5F5863C09F75F exp=62C3E5F5863C09F75F
3212.50ns INFO [00003214] Port=0 WR @02=D96FE8E8E2776553F1
3212.50ns INFO [00003214] Port=1 RD @07
3214.50ns INFO [00003216] * RD COMPARE * port=1 adr=07 act=BF255B1CBE84C491D1 exp=BF255B1CBE84C491D1
3214.50ns INFO [00003216] Port=1 RD @06
3216.50ns INFO [00003218] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077
3216.50ns INFO [00003218] Port=0 WR @07=55927A97727BE2A4F4
3216.50ns INFO [00003218] Port=0 RD @03
3217.50ns INFO [00003219] Port=0 WR @04=9B2194D68F5CB00B3C
3217.50ns INFO [00003219] Port=1 RD @05
3218.50ns INFO [00003220] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428
3218.50ns INFO [00003220] Port=0 WR @05=59D47387F03207B49E
3218.50ns INFO [00003220] Port=0 RD @03
3218.50ns INFO [00003220] Port=1 RD @06
3219.50ns INFO [00003221] * RD COMPARE * port=1 adr=05 act=7FD1C2C0E8D041FBA6 exp=7FD1C2C0E8D041FBA6
3219.50ns INFO [00003221] Port=0 RD @05
3220.50ns INFO [00003222] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428
3220.50ns INFO [00003222] * RD COMPARE * port=1 adr=06 act=C65C82D847BC728077 exp=C65C82D847BC728077
3220.50ns INFO [00003222] Port=0 WR @00=FCD74DE0757FC449E9
3220.50ns INFO [00003222] Port=0 RD @03
3221.50ns INFO [00003223] * RD COMPARE * port=0 adr=05 act=59D47387F03207B49E exp=59D47387F03207B49E
3221.50ns INFO [00003223] Port=0 WR @06=90A08F4E8507D35C95
3221.50ns INFO [00003223] Port=0 RD @00
3222.50ns INFO [00003224] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428
3223.50ns INFO [00003225] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9
3223.50ns INFO [00003225] Port=0 WR @01=A17E26830FAC46FFBD
3223.50ns INFO [00003225] Port=0 RD @03
3224.50ns INFO [00003226] Port=0 WR @03=DD10A335C0911F98C2
3225.50ns INFO [00003227] * RD COMPARE * port=0 adr=03 act=D030A0BEF1700DF428 exp=D030A0BEF1700DF428
3225.50ns INFO [00003227] Port=0 WR @04=CDEF9B6CA6244EFB76
3225.50ns INFO [00003227] Port=0 RD @06
3226.50ns INFO [00003228] Port=0 WR @05=36A49C5F42207459AB
3226.50ns INFO [00003228] Port=0 RD @07
3226.50ns INFO [00003228] Port=1 RD @06
3227.50ns INFO [00003229] * RD COMPARE * port=0 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95
3227.50ns INFO [00003229] Port=0 WR @02=0C0CEB5A1694B4F24E
3228.50ns INFO [00003230] * RD COMPARE * port=0 adr=07 act=55927A97727BE2A4F4 exp=55927A97727BE2A4F4
3228.50ns INFO [00003230] * RD COMPARE * port=1 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95
3228.50ns INFO [00003230] Port=1 RD @03
3229.50ns INFO [00003231] Port=0 WR @02=B801A70444ECE109F9
3229.50ns INFO [00003231] Port=0 RD @03
3230.50ns INFO [00003232] * RD COMPARE * port=1 adr=03 act=DD10A335C0911F98C2 exp=DD10A335C0911F98C2
3230.50ns INFO [00003232] Port=0 WR @03=15C612B607E9953193
3230.50ns INFO [00003232] Port=1 RD @04
3231.50ns INFO [00003233] * RD COMPARE * port=0 adr=03 act=DD10A335C0911F98C2 exp=DD10A335C0911F98C2
3231.50ns INFO [00003233] Port=0 RD @05
3232.50ns INFO [00003234] * RD COMPARE * port=1 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76
3232.50ns INFO [00003234] Port=1 RD @06
3233.50ns INFO [00003235] * RD COMPARE * port=0 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB
3233.50ns INFO [00003235] Port=0 WR @06=0B8B5281F94E601569
3234.50ns INFO [00003236] * RD COMPARE * port=1 adr=06 act=90A08F4E8507D35C95 exp=90A08F4E8507D35C95
3234.50ns INFO [00003236] Port=0 WR @01=4798FDB97F66F73415
3234.50ns INFO [00003236] Port=0 RD @04
3235.50ns INFO [00003237] Port=0 WR @07=7575F0772A1A902913
3235.50ns INFO [00003237] Port=1 RD @03
3236.50ns INFO [00003238] * RD COMPARE * port=0 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76
3236.50ns INFO [00003238] Port=1 RD @04
3237.50ns INFO [00003239] * RD COMPARE * port=1 adr=03 act=15C612B607E9953193 exp=15C612B607E9953193
3237.50ns INFO [00003239] Port=1 RD @02
3238.50ns INFO [00003240] * RD COMPARE * port=1 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76
3238.50ns INFO [00003240] Port=0 WR @03=F86F58DBE8D4FC9A7A
3238.50ns INFO [00003240] Port=0 RD @04
3238.50ns INFO [00003240] Port=1 RD @06
3239.50ns INFO [00003241] * RD COMPARE * port=1 adr=02 act=B801A70444ECE109F9 exp=B801A70444ECE109F9
3240.50ns INFO [00003242] * RD COMPARE * port=0 adr=04 act=CDEF9B6CA6244EFB76 exp=CDEF9B6CA6244EFB76
3240.50ns INFO [00003242] * RD COMPARE * port=1 adr=06 act=0B8B5281F94E601569 exp=0B8B5281F94E601569
3240.50ns INFO [00003242] Port=1 RD @01
3242.50ns INFO [00003244] * RD COMPARE * port=1 adr=01 act=4798FDB97F66F73415 exp=4798FDB97F66F73415
3242.50ns INFO [00003244] Port=1 RD @05
3243.50ns INFO [00003245] Port=0 RD @00
3244.50ns INFO [00003246] * RD COMPARE * port=1 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB
3244.50ns INFO [00003246] Port=0 WR @02=7674AB4BA3DCFFDC04
3245.50ns INFO [00003247] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9
3245.50ns INFO [00003247] Port=0 WR @03=F74A053F395EAF0E4A
3246.50ns INFO [00003248] Port=1 RD @07
3247.50ns INFO [00003249] Port=0 WR @06=33F669C725BEF4ADC7
3248.50ns INFO [00003250] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3248.50ns INFO [00003250] Port=1 RD @02
3249.50ns INFO [00003251] Port=0 RD @07
3250.50ns INFO [00003252] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04
3250.50ns INFO [00003252] Port=0 RD @00
3251.50ns INFO [00003253] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3251.50ns INFO [00003253] Port=0 RD @07
3252.50ns INFO [00003254] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9
3252.50ns INFO [00003254] Port=0 RD @00
3253.50ns INFO [00003255] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3254.50ns INFO [00003256] * RD COMPARE * port=0 adr=00 act=FCD74DE0757FC449E9 exp=FCD74DE0757FC449E9
3255.50ns INFO [00003257] Port=0 WR @01=62A65549BEB0775A35
3255.50ns INFO [00003257] Port=1 RD @07
3256.50ns INFO [00003258] Port=1 RD @02
3257.50ns INFO [00003259] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3257.50ns INFO [00003259] Port=1 RD @01
3258.50ns INFO [00003260] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04
3258.50ns INFO [00003260] Port=0 RD @03
3258.50ns INFO [00003260] Port=1 RD @06
3259.50ns INFO [00003261] * RD COMPARE * port=1 adr=01 act=62A65549BEB0775A35 exp=62A65549BEB0775A35
3259.50ns INFO [00003261] Port=1 RD @02
3260.50ns INFO [00003262] * RD COMPARE * port=0 adr=03 act=F74A053F395EAF0E4A exp=F74A053F395EAF0E4A
3260.50ns INFO [00003262] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3261.50ns INFO [00003263] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04
3261.50ns INFO [00003263] Port=0 WR @00=4181ACDB10CE478D44
3261.50ns INFO [00003263] Port=1 RD @06
3262.50ns INFO [00003264] Port=0 RD @07
3262.50ns INFO [00003264] Port=1 RD @03
3263.50ns INFO [00003265] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3263.50ns INFO [00003265] Port=1 RD @02
3264.50ns INFO [00003266] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3264.50ns INFO [00003266] * RD COMPARE * port=1 adr=03 act=F74A053F395EAF0E4A exp=F74A053F395EAF0E4A
3265.50ns INFO [00003267] * RD COMPARE * port=1 adr=02 act=7674AB4BA3DCFFDC04 exp=7674AB4BA3DCFFDC04
3266.50ns INFO [00003268] Port=0 WR @01=40B97052E59AD3E55D
3266.50ns INFO [00003268] Port=1 RD @06
3267.50ns INFO [00003269] Port=0 WR @00=1882DCBD8F4C048EBD
3267.50ns INFO [00003269] Port=1 RD @06
3268.50ns INFO [00003270] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3268.50ns INFO [00003270] Port=0 RD @00
3268.50ns INFO [00003270] Port=1 RD @00
3269.50ns INFO [00003271] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3269.50ns INFO [00003271] Port=0 WR @04=9D7CC63FFC474C48AF
3269.50ns INFO [00003271] Port=1 RD @06
3270.50ns INFO [00003272] * RD COMPARE * port=0 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD
3270.50ns INFO [00003272] * RD COMPARE * port=1 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD
3270.50ns INFO [00003272] Port=0 WR @02=BEF4BCB400331E16E6
3271.50ns INFO [00003273] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3271.50ns INFO [00003273] Port=0 RD @00
3271.50ns INFO [00003273] Port=1 RD @04
3272.50ns INFO [00003274] Port=0 RD @01
3272.50ns INFO [00003274] Port=1 RD @06
3273.50ns INFO [00003275] * RD COMPARE * port=0 adr=00 act=1882DCBD8F4C048EBD exp=1882DCBD8F4C048EBD
3273.50ns INFO [00003275] * RD COMPARE * port=1 adr=04 act=9D7CC63FFC474C48AF exp=9D7CC63FFC474C48AF
3273.50ns INFO [00003275] Port=0 WR @06=6DC80A281D90B61764
3274.50ns INFO [00003276] * RD COMPARE * port=0 adr=01 act=40B97052E59AD3E55D exp=40B97052E59AD3E55D
3274.50ns INFO [00003276] * RD COMPARE * port=1 adr=06 act=33F669C725BEF4ADC7 exp=33F669C725BEF4ADC7
3274.50ns INFO [00003276] Port=1 RD @07
3275.50ns INFO [00003277] Port=0 WR @00=EA8C62046B8A5792E0
3275.50ns INFO [00003277] Port=1 RD @07
3276.50ns INFO [00003278] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3276.50ns INFO [00003278] Port=0 WR @02=D9368234BCF2D14649
3276.50ns INFO [00003278] Port=1 RD @00
3277.50ns INFO [00003279] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3277.50ns INFO [00003279] Port=0 WR @04=E769CC20CC95BF6401
3278.50ns INFO [00003280] * RD COMPARE * port=1 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0
3278.50ns INFO [00003280] Port=0 RD @00
3279.50ns INFO [00003281] Port=0 WR @03=FF0B5EBC0870F6728E
3280.50ns INFO [00003282] * RD COMPARE * port=0 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0
3280.50ns INFO [00003282] Port=0 RD @07
3280.50ns INFO [00003282] Port=1 RD @00
3281.50ns INFO [00003283] Port=0 WR @00=C889BAD6464545F2B3
3282.50ns INFO [00003284] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3282.50ns INFO [00003284] * RD COMPARE * port=1 adr=00 act=EA8C62046B8A5792E0 exp=EA8C62046B8A5792E0
3282.50ns INFO [00003284] Port=0 WR @01=FC2850225765FF5A22
3283.50ns INFO [00003285] Port=1 RD @04
3284.50ns INFO [00003286] Port=0 RD @01
3285.50ns INFO [00003287] * RD COMPARE * port=1 adr=04 act=E769CC20CC95BF6401 exp=E769CC20CC95BF6401
3285.50ns INFO [00003287] Port=1 RD @07
3286.50ns INFO [00003288] * RD COMPARE * port=0 adr=01 act=FC2850225765FF5A22 exp=FC2850225765FF5A22
3286.50ns INFO [00003288] Port=0 RD @00
3286.50ns INFO [00003288] Port=1 RD @03
3287.50ns INFO [00003289] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3287.50ns INFO [00003289] Port=1 RD @06
3288.50ns INFO [00003290] * RD COMPARE * port=0 adr=00 act=C889BAD6464545F2B3 exp=C889BAD6464545F2B3
3288.50ns INFO [00003290] * RD COMPARE * port=1 adr=03 act=FF0B5EBC0870F6728E exp=FF0B5EBC0870F6728E
3288.50ns INFO [00003290] Port=0 WR @01=7B59E387703A8A6B7F
3289.50ns INFO [00003291] * RD COMPARE * port=1 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764
3289.50ns INFO [00003291] Port=0 WR @01=B958C8911C95E3F91B
3290.50ns INFO [00003292] Port=0 WR @03=0BC7CC8AF85C660FE1
3290.50ns INFO [00003292] Port=0 RD @06
3291.50ns INFO [00003293] Port=1 RD @04
3292.50ns INFO [00003294] * RD COMPARE * port=0 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764
3292.50ns INFO [00003294] Port=0 WR @03=5948C9E6FD9984F7AB
3292.50ns INFO [00003294] Port=0 RD @06
3293.50ns INFO [00003295] * RD COMPARE * port=1 adr=04 act=E769CC20CC95BF6401 exp=E769CC20CC95BF6401
3293.50ns INFO [00003295] Port=0 WR @01=2A8CFB5526379A8E7E
3294.50ns INFO [00003296] * RD COMPARE * port=0 adr=06 act=6DC80A281D90B61764 exp=6DC80A281D90B61764
3294.50ns INFO [00003296] Port=1 RD @02
3295.50ns INFO [00003297] Port=0 WR @02=3FD1CDBAF8BC331ED2
3295.50ns INFO [00003297] Port=0 RD @03
3296.50ns INFO [00003298] * RD COMPARE * port=1 adr=02 act=D9368234BCF2D14649 exp=D9368234BCF2D14649
3296.50ns INFO [00003298] Port=0 WR @06=8D025953C152BF312A
3297.50ns INFO [00003299] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3297.50ns INFO [00003299] Port=0 WR @00=034831FFA2B4E9A816
3298.00ns INFO [00003300] [00003300] ...tick...
3298.50ns INFO [00003300] Port=0 RD @06
3300.50ns INFO [00003302] * RD COMPARE * port=0 adr=06 act=8D025953C152BF312A exp=8D025953C152BF312A
3300.50ns INFO [00003302] Port=0 RD @07
3301.50ns INFO [00003303] Port=0 WR @04=FF500323CE4CD94375
3301.50ns INFO [00003303] Port=0 RD @01
3302.50ns INFO [00003304] * RD COMPARE * port=0 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3302.50ns INFO [00003304] Port=0 WR @02=B2D72E15C871D2B4E7
3302.50ns INFO [00003304] Port=0 RD @01
3303.50ns INFO [00003305] * RD COMPARE * port=0 adr=01 act=2A8CFB5526379A8E7E exp=2A8CFB5526379A8E7E
3303.50ns INFO [00003305] Port=0 RD @00
3303.50ns INFO [00003305] Port=1 RD @07
3304.50ns INFO [00003306] * RD COMPARE * port=0 adr=01 act=2A8CFB5526379A8E7E exp=2A8CFB5526379A8E7E
3304.50ns INFO [00003306] Port=1 RD @05
3305.50ns INFO [00003307] * RD COMPARE * port=0 adr=00 act=034831FFA2B4E9A816 exp=034831FFA2B4E9A816
3305.50ns INFO [00003307] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3305.50ns INFO [00003307] Port=0 WR @06=E0BEFAF35C187421DE
3306.50ns INFO [00003308] * RD COMPARE * port=1 adr=05 act=36A49C5F42207459AB exp=36A49C5F42207459AB
3306.50ns INFO [00003308] Port=0 WR @06=6128E9D398932A0542
3306.50ns INFO [00003308] Port=1 RD @03
3307.50ns INFO [00003309] Port=0 WR @04=B5721C4B895DEF06C2
3308.50ns INFO [00003310] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3308.50ns INFO [00003310] Port=0 RD @03
3308.50ns INFO [00003310] Port=1 RD @04
3309.50ns INFO [00003311] Port=0 WR @06=2F32DA053F5923336B
3309.50ns INFO [00003311] Port=0 RD @02
3310.50ns INFO [00003312] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3310.50ns INFO [00003312] * RD COMPARE * port=1 adr=04 act=B5721C4B895DEF06C2 exp=B5721C4B895DEF06C2
3310.50ns INFO [00003312] Port=1 RD @06
3311.50ns INFO [00003313] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7
3311.50ns INFO [00003313] Port=0 WR @05=7E687813F591BCFF9B
3311.50ns INFO [00003313] Port=0 RD @03
3312.50ns INFO [00003314] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3312.50ns INFO [00003314] Port=0 RD @02
3313.50ns INFO [00003315] * RD COMPARE * port=0 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3313.50ns INFO [00003315] Port=0 WR @05=22C23BB8EEC9847612
3314.50ns INFO [00003316] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7
3315.50ns INFO [00003317] Port=0 WR @04=B34F7F77C86FEFA4E4
3315.50ns INFO [00003317] Port=0 RD @02
3316.50ns INFO [00003318] Port=0 WR @00=65004CC5D364B29877
3316.50ns INFO [00003318] Port=0 RD @06
3317.50ns INFO [00003319] * RD COMPARE * port=0 adr=02 act=B2D72E15C871D2B4E7 exp=B2D72E15C871D2B4E7
3317.50ns INFO [00003319] Port=0 WR @00=76807D854D89C32493
3318.50ns INFO [00003320] * RD COMPARE * port=0 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3318.50ns INFO [00003320] Port=0 RD @06
3318.50ns INFO [00003320] Port=1 RD @06
3320.50ns INFO [00003322] * RD COMPARE * port=0 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3320.50ns INFO [00003322] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3320.50ns INFO [00003322] Port=0 WR @02=165F3FAF3E9288D3DE
3320.50ns INFO [00003322] Port=1 RD @03
3321.50ns INFO [00003323] Port=1 RD @06
3322.50ns INFO [00003324] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3322.50ns INFO [00003324] Port=0 RD @02
3322.50ns INFO [00003324] Port=1 RD @07
3323.50ns INFO [00003325] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3323.50ns INFO [00003325] Port=0 WR @01=5F7D228EB8903B536F
3324.50ns INFO [00003326] * RD COMPARE * port=0 adr=02 act=165F3FAF3E9288D3DE exp=165F3FAF3E9288D3DE
3324.50ns INFO [00003326] * RD COMPARE * port=1 adr=07 act=7575F0772A1A902913 exp=7575F0772A1A902913
3324.50ns INFO [00003326] Port=0 WR @07=D1DCE28D518AA5759A
3325.50ns INFO [00003327] Port=0 WR @04=C7C3BFE469BDB729CE
3325.50ns INFO [00003327] Port=1 RD @06
3326.50ns INFO [00003328] Port=0 WR @07=61CA16848637503A26
3326.50ns INFO [00003328] Port=0 RD @05
3326.50ns INFO [00003328] Port=1 RD @05
3327.50ns INFO [00003329] * RD COMPARE * port=1 adr=06 act=2F32DA053F5923336B exp=2F32DA053F5923336B
3327.50ns INFO [00003329] Port=0 RD @01
3327.50ns INFO [00003329] Port=1 RD @03
3328.50ns INFO [00003330] * RD COMPARE * port=0 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612
3328.50ns INFO [00003330] * RD COMPARE * port=1 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612
3328.50ns INFO [00003330] Port=0 WR @06=16134B36E85A8752FE
3329.50ns INFO [00003331] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3329.50ns INFO [00003331] * RD COMPARE * port=1 adr=03 act=5948C9E6FD9984F7AB exp=5948C9E6FD9984F7AB
3329.50ns INFO [00003331] Port=1 RD @00
3330.50ns INFO [00003332] Port=0 WR @07=0AF1D512D9090E8DED
3331.50ns INFO [00003333] * RD COMPARE * port=1 adr=00 act=76807D854D89C32493 exp=76807D854D89C32493
3331.50ns INFO [00003333] Port=0 WR @00=E5C43F38857FBFBCBA
3331.50ns INFO [00003333] Port=0 RD @04
3332.50ns INFO [00003334] Port=0 RD @07
3332.50ns INFO [00003334] Port=1 RD @07
3333.50ns INFO [00003335] * RD COMPARE * port=0 adr=04 act=C7C3BFE469BDB729CE exp=C7C3BFE469BDB729CE
3333.50ns INFO [00003335] Port=0 WR @03=9FE37611F9E30CC7C9
3333.50ns INFO [00003335] Port=0 RD @07
3333.50ns INFO [00003335] Port=1 RD @06
3334.50ns INFO [00003336] * RD COMPARE * port=0 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED
3334.50ns INFO [00003336] * RD COMPARE * port=1 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED
3334.50ns INFO [00003336] Port=0 WR @04=52D6485A631C9AA84C
3334.50ns INFO [00003336] Port=1 RD @02
3335.50ns INFO [00003337] * RD COMPARE * port=0 adr=07 act=0AF1D512D9090E8DED exp=0AF1D512D9090E8DED
3335.50ns INFO [00003337] * RD COMPARE * port=1 adr=06 act=16134B36E85A8752FE exp=16134B36E85A8752FE
3335.50ns INFO [00003337] Port=0 WR @00=F1DC1AC86EC49F0842
3336.50ns INFO [00003338] * RD COMPARE * port=1 adr=02 act=165F3FAF3E9288D3DE exp=165F3FAF3E9288D3DE
3336.50ns INFO [00003338] Port=0 WR @07=6BD948014497559B82
3336.50ns INFO [00003338] Port=0 RD @00
3337.50ns INFO [00003339] Port=0 WR @02=83D1DEC0DB1C7ED1C1
3337.50ns INFO [00003339] Port=0 RD @05
3337.50ns INFO [00003339] Port=1 RD @06
3338.50ns INFO [00003340] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3338.50ns INFO [00003340] Port=0 WR @06=C69B034895B5FDBA01
3338.50ns INFO [00003340] Port=0 RD @00
3338.50ns INFO [00003340] Port=1 RD @05
3339.50ns INFO [00003341] * RD COMPARE * port=0 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612
3339.50ns INFO [00003341] * RD COMPARE * port=1 adr=06 act=16134B36E85A8752FE exp=16134B36E85A8752FE
3339.50ns INFO [00003341] Port=0 WR @04=65DCB42F2044B7313E
3340.50ns INFO [00003342] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3340.50ns INFO [00003342] * RD COMPARE * port=1 adr=05 act=22C23BB8EEC9847612 exp=22C23BB8EEC9847612
3341.50ns INFO [00003343] Port=0 WR @03=12B9D77937E8F8D22B
3343.50ns INFO [00003345] Port=0 WR @04=2B6D6E37E5A9647DF2
3343.50ns INFO [00003345] Port=1 RD @00
3344.50ns INFO [00003346] Port=1 RD @03
3345.50ns INFO [00003347] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3345.50ns INFO [00003347] Port=0 WR @05=F3F488D908BF2C1107
3345.50ns INFO [00003347] Port=0 RD @06
3346.50ns INFO [00003348] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B
3346.50ns INFO [00003348] Port=0 WR @02=00A46CF194C2CA9A41
3347.50ns INFO [00003349] * RD COMPARE * port=0 adr=06 act=C69B034895B5FDBA01 exp=C69B034895B5FDBA01
3347.50ns INFO [00003349] Port=0 WR @06=510B9960AC88BDE475
3347.50ns INFO [00003349] Port=0 RD @07
3349.50ns INFO [00003351] * RD COMPARE * port=0 adr=07 act=6BD948014497559B82 exp=6BD948014497559B82
3350.50ns INFO [00003352] Port=0 WR @07=A1F01A8FEA281836C4
3350.50ns INFO [00003352] Port=0 RD @00
3352.50ns INFO [00003354] * RD COMPARE * port=0 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3352.50ns INFO [00003354] Port=0 RD @06
3354.50ns INFO [00003356] * RD COMPARE * port=0 adr=06 act=510B9960AC88BDE475 exp=510B9960AC88BDE475
3354.50ns INFO [00003356] Port=0 RD @02
3354.50ns INFO [00003356] Port=1 RD @00
3355.50ns INFO [00003357] Port=1 RD @00
3356.50ns INFO [00003358] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3356.50ns INFO [00003358] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3357.50ns INFO [00003359] * RD COMPARE * port=1 adr=00 act=F1DC1AC86EC49F0842 exp=F1DC1AC86EC49F0842
3357.50ns INFO [00003359] Port=0 WR @00=078A27BB44AB31FF2A
3357.50ns INFO [00003359] Port=1 RD @01
3358.50ns INFO [00003360] Port=0 RD @07
3358.50ns INFO [00003360] Port=1 RD @00
3359.50ns INFO [00003361] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3359.50ns INFO [00003361] Port=0 RD @04
3359.50ns INFO [00003361] Port=1 RD @00
3360.50ns INFO [00003362] * RD COMPARE * port=0 adr=07 act=A1F01A8FEA281836C4 exp=A1F01A8FEA281836C4
3360.50ns INFO [00003362] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A
3360.50ns INFO [00003362] Port=0 WR @06=CF968DAABDEBC48851
3360.50ns INFO [00003362] Port=0 RD @01
3360.50ns INFO [00003362] Port=1 RD @01
3361.50ns INFO [00003363] * RD COMPARE * port=0 adr=04 act=2B6D6E37E5A9647DF2 exp=2B6D6E37E5A9647DF2
3361.50ns INFO [00003363] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A
3361.50ns INFO [00003363] Port=0 RD @01
3361.50ns INFO [00003363] Port=1 RD @06
3362.50ns INFO [00003364] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3362.50ns INFO [00003364] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3363.50ns INFO [00003365] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3363.50ns INFO [00003365] * RD COMPARE * port=1 adr=06 act=CF968DAABDEBC48851 exp=CF968DAABDEBC48851
3363.50ns INFO [00003365] Port=0 RD @01
3363.50ns INFO [00003365] Port=1 RD @01
3364.50ns INFO [00003366] Port=0 WR @07=BA84D758342DFB69B6
3365.50ns INFO [00003367] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3365.50ns INFO [00003367] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3365.50ns INFO [00003367] Port=0 WR @07=EEE74468586F37BBE7
3366.50ns INFO [00003368] Port=0 WR @05=59D35DCB26BB9D7FB5
3367.50ns INFO [00003369] Port=0 WR @04=F4B853BF66E5A8DDA0
3367.50ns INFO [00003369] Port=0 RD @01
3368.50ns INFO [00003370] Port=0 WR @04=1A57AEC076A78A31D5
3369.50ns INFO [00003371] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3369.50ns INFO [00003371] Port=1 RD @02
3370.50ns INFO [00003372] Port=0 RD @07
3370.50ns INFO [00003372] Port=1 RD @00
3371.50ns INFO [00003373] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3371.50ns INFO [00003373] Port=0 WR @07=7ED50144CE4BC9E8EB
3372.50ns INFO [00003374] * RD COMPARE * port=0 adr=07 act=EEE74468586F37BBE7 exp=EEE74468586F37BBE7
3372.50ns INFO [00003374] * RD COMPARE * port=1 adr=00 act=078A27BB44AB31FF2A exp=078A27BB44AB31FF2A
3372.50ns INFO [00003374] Port=1 RD @05
3373.50ns INFO [00003375] Port=0 WR @04=16F243FDA87BB79F13
3374.50ns INFO [00003376] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5
3374.50ns INFO [00003376] Port=0 WR @00=9C7AE455FC51165B98
3374.50ns INFO [00003376] Port=1 RD @05
3375.50ns INFO [00003377] Port=0 WR @00=9B789F0C6B989CD012
3375.50ns INFO [00003377] Port=0 RD @01
3375.50ns INFO [00003377] Port=1 RD @02
3376.50ns INFO [00003378] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5
3376.50ns INFO [00003378] Port=0 WR @04=8BA58BE89981C1CC20
3376.50ns INFO [00003378] Port=1 RD @02
3377.50ns INFO [00003379] * RD COMPARE * port=0 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3377.50ns INFO [00003379] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3377.50ns INFO [00003379] Port=0 WR @06=E698D62F2990F8B1CB
3377.50ns INFO [00003379] Port=1 RD @00
3378.50ns INFO [00003380] * RD COMPARE * port=1 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3379.50ns INFO [00003381] * RD COMPARE * port=1 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012
3379.50ns INFO [00003381] Port=0 RD @00
3379.50ns INFO [00003381] Port=1 RD @01
3380.50ns INFO [00003382] Port=0 WR @01=9E14C884078B09EB2D
3380.50ns INFO [00003382] Port=0 RD @02
3381.50ns INFO [00003383] * RD COMPARE * port=0 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012
3381.50ns INFO [00003383] * RD COMPARE * port=1 adr=01 act=5F7D228EB8903B536F exp=5F7D228EB8903B536F
3381.50ns INFO [00003383] Port=1 RD @05
3382.50ns INFO [00003384] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3382.50ns INFO [00003384] Port=0 RD @01
3383.50ns INFO [00003385] * RD COMPARE * port=1 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5
3384.50ns INFO [00003386] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D
3384.50ns INFO [00003386] Port=0 RD @02
3384.50ns INFO [00003386] Port=1 RD @04
3385.50ns INFO [00003387] Port=0 WR @06=0E1F8C9657D2184090
3385.50ns INFO [00003387] Port=1 RD @07
3386.50ns INFO [00003388] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3386.50ns INFO [00003388] * RD COMPARE * port=1 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20
3386.50ns INFO [00003388] Port=0 RD @05
3386.50ns INFO [00003388] Port=1 RD @03
3387.50ns INFO [00003389] * RD COMPARE * port=1 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB
3387.50ns INFO [00003389] Port=1 RD @03
3388.50ns INFO [00003390] * RD COMPARE * port=0 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5
3388.50ns INFO [00003390] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B
3388.50ns INFO [00003390] Port=0 RD @02
3389.50ns INFO [00003391] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B
3389.50ns INFO [00003391] Port=0 RD @02
3389.50ns INFO [00003391] Port=1 RD @00
3390.50ns INFO [00003392] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3391.50ns INFO [00003393] * RD COMPARE * port=0 adr=02 act=00A46CF194C2CA9A41 exp=00A46CF194C2CA9A41
3391.50ns INFO [00003393] * RD COMPARE * port=1 adr=00 act=9B789F0C6B989CD012 exp=9B789F0C6B989CD012
3391.50ns INFO [00003393] Port=0 WR @06=8E6DC3439D42443525
3391.50ns INFO [00003393] Port=1 RD @03
3392.50ns INFO [00003394] Port=0 WR @00=61FF562DA0842B752A
3392.50ns INFO [00003394] Port=1 RD @03
3393.50ns INFO [00003395] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B
3394.50ns INFO [00003396] * RD COMPARE * port=1 adr=03 act=12B9D77937E8F8D22B exp=12B9D77937E8F8D22B
3394.50ns INFO [00003396] Port=0 RD @06
3395.50ns INFO [00003397] Port=0 WR @02=37C9FB4F17143684E2
3395.50ns INFO [00003397] Port=0 RD @04
3395.50ns INFO [00003397] Port=1 RD @06
3396.50ns INFO [00003398] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3396.50ns INFO [00003398] Port=0 WR @03=1F92F0FEEF8E09F9B1
3396.50ns INFO [00003398] Port=0 RD @07
3397.50ns INFO [00003399] * RD COMPARE * port=0 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20
3397.50ns INFO [00003399] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3397.50ns INFO [00003399] Port=0 RD @02
3398.00ns INFO [00003400] [00003400] ...tick...
3398.50ns INFO [00003400] * RD COMPARE * port=0 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB
3398.50ns INFO [00003400] Port=1 RD @03
3399.50ns INFO [00003401] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2
3399.50ns INFO [00003401] Port=0 RD @05
3400.50ns INFO [00003402] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3400.50ns INFO [00003402] Port=0 WR @05=9320A8F3CA21D5B63E
3400.50ns INFO [00003402] Port=0 RD @07
3401.50ns INFO [00003403] * RD COMPARE * port=0 adr=05 act=59D35DCB26BB9D7FB5 exp=59D35DCB26BB9D7FB5
3401.50ns INFO [00003403] Port=0 RD @00
3401.50ns INFO [00003403] Port=1 RD @03
3402.50ns INFO [00003404] * RD COMPARE * port=0 adr=07 act=7ED50144CE4BC9E8EB exp=7ED50144CE4BC9E8EB
3402.50ns INFO [00003404] Port=0 RD @00
3403.50ns INFO [00003405] * RD COMPARE * port=0 adr=00 act=61FF562DA0842B752A exp=61FF562DA0842B752A
3403.50ns INFO [00003405] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3403.50ns INFO [00003405] Port=0 WR @00=EF7035F3942794BBBD
3403.50ns INFO [00003405] Port=0 RD @02
3403.50ns INFO [00003405] Port=1 RD @04
3404.50ns INFO [00003406] * RD COMPARE * port=0 adr=00 act=61FF562DA0842B752A exp=61FF562DA0842B752A
3404.50ns INFO [00003406] Port=0 WR @07=3ACF7E342C1D9EBA63
3405.50ns INFO [00003407] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2
3405.50ns INFO [00003407] * RD COMPARE * port=1 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20
3405.50ns INFO [00003407] Port=1 RD @05
3406.50ns INFO [00003408] Port=1 RD @00
3407.50ns INFO [00003409] * RD COMPARE * port=1 adr=05 act=9320A8F3CA21D5B63E exp=9320A8F3CA21D5B63E
3408.50ns INFO [00003410] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3409.50ns INFO [00003411] Port=0 RD @02
3411.50ns INFO [00003413] * RD COMPARE * port=0 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2
3411.50ns INFO [00003413] Port=1 RD @03
3412.50ns INFO [00003414] Port=0 RD @04
3413.50ns INFO [00003415] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3413.50ns INFO [00003415] Port=0 WR @04=9CC88537D0A92055E8
3413.50ns INFO [00003415] Port=0 RD @06
3414.50ns INFO [00003416] * RD COMPARE * port=0 adr=04 act=8BA58BE89981C1CC20 exp=8BA58BE89981C1CC20
3415.50ns INFO [00003417] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3416.50ns INFO [00003418] Port=0 RD @01
3417.50ns INFO [00003419] Port=0 WR @07=A661E195D0F2C3A429
3417.50ns INFO [00003419] Port=0 RD @00
3418.50ns INFO [00003420] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D
3418.50ns INFO [00003420] Port=0 RD @00
3418.50ns INFO [00003420] Port=1 RD @02
3419.50ns INFO [00003421] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3419.50ns INFO [00003421] Port=0 WR @05=4C30C70C72B8CED7B0
3420.50ns INFO [00003422] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3420.50ns INFO [00003422] * RD COMPARE * port=1 adr=02 act=37C9FB4F17143684E2 exp=37C9FB4F17143684E2
3420.50ns INFO [00003422] Port=1 RD @03
3422.50ns INFO [00003424] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3422.50ns INFO [00003424] Port=0 RD @06
3423.50ns INFO [00003425] Port=1 RD @07
3424.50ns INFO [00003426] * RD COMPARE * port=0 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3424.50ns INFO [00003426] Port=1 RD @03
3425.50ns INFO [00003427] * RD COMPARE * port=1 adr=07 act=A661E195D0F2C3A429 exp=A661E195D0F2C3A429
3426.50ns INFO [00003428] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3426.50ns INFO [00003428] Port=0 WR @05=97E5B68B6118459F38
3426.50ns INFO [00003428] Port=0 RD @01
3426.50ns INFO [00003428] Port=1 RD @03
3427.50ns INFO [00003429] Port=0 WR @01=299972DEE3E820820C
3428.50ns INFO [00003430] * RD COMPARE * port=0 adr=01 act=9E14C884078B09EB2D exp=9E14C884078B09EB2D
3428.50ns INFO [00003430] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3429.50ns INFO [00003431] Port=0 RD @00
3429.50ns INFO [00003431] Port=1 RD @06
3430.50ns INFO [00003432] Port=0 WR @05=ADA510955F77C338FD
3431.50ns INFO [00003433] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3431.50ns INFO [00003433] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3431.50ns INFO [00003433] Port=0 RD @00
3432.50ns INFO [00003434] Port=0 WR @02=962F6AAE11F8F9492B
3432.50ns INFO [00003434] Port=1 RD @01
3433.50ns INFO [00003435] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3433.50ns INFO [00003435] Port=0 RD @02
3433.50ns INFO [00003435] Port=1 RD @06
3434.50ns INFO [00003436] * RD COMPARE * port=1 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C
3434.50ns INFO [00003436] Port=0 RD @01
3435.50ns INFO [00003437] * RD COMPARE * port=0 adr=02 act=962F6AAE11F8F9492B exp=962F6AAE11F8F9492B
3435.50ns INFO [00003437] * RD COMPARE * port=1 adr=06 act=8E6DC3439D42443525 exp=8E6DC3439D42443525
3436.50ns INFO [00003438] * RD COMPARE * port=0 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C
3437.50ns INFO [00003439] Port=0 WR @07=197FC8D7C213AD0A44
3438.50ns INFO [00003440] Port=0 WR @06=EB4A8675DB7F95B8D1
3438.50ns INFO [00003440] Port=0 RD @03
3439.50ns INFO [00003441] Port=1 RD @06
3440.50ns INFO [00003442] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3440.50ns INFO [00003442] Port=0 RD @04
3441.50ns INFO [00003443] * RD COMPARE * port=1 adr=06 act=EB4A8675DB7F95B8D1 exp=EB4A8675DB7F95B8D1
3441.50ns INFO [00003443] Port=1 RD @02
3442.50ns INFO [00003444] * RD COMPARE * port=0 adr=04 act=9CC88537D0A92055E8 exp=9CC88537D0A92055E8
3442.50ns INFO [00003444] Port=0 WR @04=327E2331FA26DE0C1C
3442.50ns INFO [00003444] Port=1 RD @00
3443.50ns INFO [00003445] * RD COMPARE * port=1 adr=02 act=962F6AAE11F8F9492B exp=962F6AAE11F8F9492B
3444.50ns INFO [00003446] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3444.50ns INFO [00003446] Port=0 WR @04=B6503823DDFDB571E6
3444.50ns INFO [00003446] Port=0 RD @00
3445.50ns INFO [00003447] Port=0 RD @05
3445.50ns INFO [00003447] Port=1 RD @07
3446.50ns INFO [00003448] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3446.50ns INFO [00003448] Port=0 WR @06=37B26E948816E569F8
3447.50ns INFO [00003449] * RD COMPARE * port=0 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD
3447.50ns INFO [00003449] * RD COMPARE * port=1 adr=07 act=197FC8D7C213AD0A44 exp=197FC8D7C213AD0A44
3447.50ns INFO [00003449] Port=0 WR @02=507B40B437CF41553C
3447.50ns INFO [00003449] Port=0 RD @03
3448.50ns INFO [00003450] Port=0 WR @07=CEF95169FB91439593
3449.50ns INFO [00003451] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3450.50ns INFO [00003452] Port=0 WR @04=AB521F4BF29D4F7766
3450.50ns INFO [00003452] Port=1 RD @07
3451.50ns INFO [00003453] Port=0 WR @02=F1A21267ABF22A1D15
3451.50ns INFO [00003453] Port=0 RD @05
3451.50ns INFO [00003453] Port=1 RD @04
3452.50ns INFO [00003454] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593
3453.50ns INFO [00003455] * RD COMPARE * port=0 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD
3453.50ns INFO [00003455] * RD COMPARE * port=1 adr=04 act=AB521F4BF29D4F7766 exp=AB521F4BF29D4F7766
3454.50ns INFO [00003456] Port=1 RD @02
3455.50ns INFO [00003457] Port=0 RD @02
3455.50ns INFO [00003457] Port=1 RD @06
3456.50ns INFO [00003458] * RD COMPARE * port=1 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15
3457.50ns INFO [00003459] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15
3457.50ns INFO [00003459] * RD COMPARE * port=1 adr=06 act=37B26E948816E569F8 exp=37B26E948816E569F8
3457.50ns INFO [00003459] Port=0 WR @04=94F72486DFCF51D941
3458.50ns INFO [00003460] Port=0 RD @00
3458.50ns INFO [00003460] Port=1 RD @05
3459.50ns INFO [00003461] Port=0 WR @06=08A6A108446380A1B1
3460.50ns INFO [00003462] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3460.50ns INFO [00003462] * RD COMPARE * port=1 adr=05 act=ADA510955F77C338FD exp=ADA510955F77C338FD
3460.50ns INFO [00003462] Port=1 RD @07
3462.50ns INFO [00003464] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593
3462.50ns INFO [00003464] Port=0 RD @00
3462.50ns INFO [00003464] Port=1 RD @00
3463.50ns INFO [00003465] Port=0 RD @06
3463.50ns INFO [00003465] Port=1 RD @00
3464.50ns INFO [00003466] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3464.50ns INFO [00003466] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3464.50ns INFO [00003466] Port=0 RD @03
3464.50ns INFO [00003466] Port=1 RD @06
3465.50ns INFO [00003467] * RD COMPARE * port=0 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1
3465.50ns INFO [00003467] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3465.50ns INFO [00003467] Port=1 RD @06
3466.50ns INFO [00003468] * RD COMPARE * port=0 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3466.50ns INFO [00003468] * RD COMPARE * port=1 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1
3466.50ns INFO [00003468] Port=0 WR @04=166EA1AC8725249089
3466.50ns INFO [00003468] Port=0 RD @00
3466.50ns INFO [00003468] Port=1 RD @03
3467.50ns INFO [00003469] * RD COMPARE * port=1 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1
3467.50ns INFO [00003469] Port=0 RD @01
3468.50ns INFO [00003470] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3468.50ns INFO [00003470] * RD COMPARE * port=1 adr=03 act=1F92F0FEEF8E09F9B1 exp=1F92F0FEEF8E09F9B1
3469.50ns INFO [00003471] * RD COMPARE * port=0 adr=01 act=299972DEE3E820820C exp=299972DEE3E820820C
3469.50ns INFO [00003471] Port=0 WR @03=ECD78E434B53DCEB5E
3469.50ns INFO [00003471] Port=0 RD @06
3470.50ns INFO [00003472] Port=0 WR @06=912DA352213B21A73F
3470.50ns INFO [00003472] Port=0 RD @04
3470.50ns INFO [00003472] Port=1 RD @07
3471.50ns INFO [00003473] * RD COMPARE * port=0 adr=06 act=08A6A108446380A1B1 exp=08A6A108446380A1B1
3472.50ns INFO [00003474] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3472.50ns INFO [00003474] * RD COMPARE * port=1 adr=07 act=CEF95169FB91439593 exp=CEF95169FB91439593
3472.50ns INFO [00003474] Port=0 WR @01=E4346B12959E378419
3472.50ns INFO [00003474] Port=0 RD @06
3473.50ns INFO [00003475] Port=0 WR @05=9F428A0DC5466E0958
3473.50ns INFO [00003475] Port=1 RD @04
3474.50ns INFO [00003476] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F
3474.50ns INFO [00003476] Port=0 WR @05=B01292C61AD5D780A2
3475.50ns INFO [00003477] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3476.50ns INFO [00003478] Port=0 RD @05
3477.50ns INFO [00003479] Port=0 WR @07=72387E79E1EFEE3FA0
3477.50ns INFO [00003479] Port=1 RD @06
3478.50ns INFO [00003480] * RD COMPARE * port=0 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2
3478.50ns INFO [00003480] Port=0 WR @07=B34C28A7E914008E40
3478.50ns INFO [00003480] Port=1 RD @05
3479.50ns INFO [00003481] * RD COMPARE * port=1 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F
3479.50ns INFO [00003481] Port=0 RD @04
3479.50ns INFO [00003481] Port=1 RD @04
3480.50ns INFO [00003482] * RD COMPARE * port=1 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2
3480.50ns INFO [00003482] Port=0 WR @03=C7742F8FCDA2807143
3480.50ns INFO [00003482] Port=0 RD @06
3481.50ns INFO [00003483] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3481.50ns INFO [00003483] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3481.50ns INFO [00003483] Port=0 RD @00
3482.50ns INFO [00003484] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F
3482.50ns INFO [00003484] Port=0 RD @06
3483.50ns INFO [00003485] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3483.50ns INFO [00003485] Port=0 WR @07=6A78023619FF4A7059
3483.50ns INFO [00003485] Port=1 RD @05
3484.50ns INFO [00003486] * RD COMPARE * port=0 adr=06 act=912DA352213B21A73F exp=912DA352213B21A73F
3484.50ns INFO [00003486] Port=0 WR @03=23DA06CA81832F47A1
3484.50ns INFO [00003486] Port=1 RD @04
3485.50ns INFO [00003487] * RD COMPARE * port=1 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2
3486.50ns INFO [00003488] * RD COMPARE * port=1 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3486.50ns INFO [00003488] Port=0 WR @06=6BDEBB21FE625FC41D
3486.50ns INFO [00003488] Port=0 RD @05
3486.50ns INFO [00003488] Port=1 RD @00
3487.50ns INFO [00003489] Port=0 RD @03
3488.50ns INFO [00003490] * RD COMPARE * port=0 adr=05 act=B01292C61AD5D780A2 exp=B01292C61AD5D780A2
3488.50ns INFO [00003490] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3488.50ns INFO [00003490] Port=0 WR @05=242F6D855AA95A0BB9
3489.50ns INFO [00003491] * RD COMPARE * port=0 adr=03 act=23DA06CA81832F47A1 exp=23DA06CA81832F47A1
3489.50ns INFO [00003491] Port=1 RD @05
3490.50ns INFO [00003492] Port=0 WR @03=60F80689D921C74F91
3491.50ns INFO [00003493] * RD COMPARE * port=1 adr=05 act=242F6D855AA95A0BB9 exp=242F6D855AA95A0BB9
3491.50ns INFO [00003493] Port=1 RD @05
3492.50ns INFO [00003494] Port=1 RD @00
3493.50ns INFO [00003495] * RD COMPARE * port=1 adr=05 act=242F6D855AA95A0BB9 exp=242F6D855AA95A0BB9
3493.50ns INFO [00003495] Port=0 RD @04
3494.50ns INFO [00003496] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3494.50ns INFO [00003496] Port=0 RD @01
3495.50ns INFO [00003497] * RD COMPARE * port=0 adr=04 act=166EA1AC8725249089 exp=166EA1AC8725249089
3496.50ns INFO [00003498] * RD COMPARE * port=0 adr=01 act=E4346B12959E378419 exp=E4346B12959E378419
3496.50ns INFO [00003498] Port=0 WR @01=09692B2C3DBEE669EE
3498.00ns INFO [00003500] [00003500] ...tick...
3498.50ns INFO [00003500] Port=0 RD @01
3498.50ns INFO [00003500] Port=1 RD @00
3499.50ns INFO [00003501] Port=0 WR @07=1BBA1FD68DC50518CF
3499.50ns INFO [00003501] Port=0 RD @02
3500.50ns INFO [00003502] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE
3500.50ns INFO [00003502] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3500.50ns INFO [00003502] Port=0 RD @02
3501.50ns INFO [00003503] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15
3501.50ns INFO [00003503] Port=0 WR @05=D12C098799F4631825
3501.50ns INFO [00003503] Port=0 RD @02
3501.50ns INFO [00003503] Port=1 RD @06
3502.50ns INFO [00003504] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15
3503.50ns INFO [00003505] * RD COMPARE * port=0 adr=02 act=F1A21267ABF22A1D15 exp=F1A21267ABF22A1D15
3503.50ns INFO [00003505] * RD COMPARE * port=1 adr=06 act=6BDEBB21FE625FC41D exp=6BDEBB21FE625FC41D
3503.50ns INFO [00003505] Port=0 WR @04=4D9A635C8008C92824
3503.50ns INFO [00003505] Port=1 RD @03
3504.50ns INFO [00003506] Port=0 RD @06
3505.50ns INFO [00003507] * RD COMPARE * port=1 adr=03 act=60F80689D921C74F91 exp=60F80689D921C74F91
3505.50ns INFO [00003507] Port=0 WR @02=6538EBB6C16E0FB2B7
3506.50ns INFO [00003508] * RD COMPARE * port=0 adr=06 act=6BDEBB21FE625FC41D exp=6BDEBB21FE625FC41D
3506.50ns INFO [00003508] Port=0 WR @03=9179530A2053E42E06
3506.50ns INFO [00003508] Port=1 RD @01
3507.50ns INFO [00003509] Port=0 RD @02
3508.50ns INFO [00003510] * RD COMPARE * port=1 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE
3509.50ns INFO [00003511] * RD COMPARE * port=0 adr=02 act=6538EBB6C16E0FB2B7 exp=6538EBB6C16E0FB2B7
3509.50ns INFO [00003511] Port=0 RD @01
3511.50ns INFO [00003513] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE
3511.50ns INFO [00003513] Port=0 RD @07
3511.50ns INFO [00003513] Port=1 RD @07
3513.50ns INFO [00003515] * RD COMPARE * port=0 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF
3513.50ns INFO [00003515] * RD COMPARE * port=1 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF
3513.50ns INFO [00003515] Port=0 RD @03
3515.50ns INFO [00003517] * RD COMPARE * port=0 adr=03 act=9179530A2053E42E06 exp=9179530A2053E42E06
3515.50ns INFO [00003517] Port=0 RD @01
3516.50ns INFO [00003518] Port=0 WR @06=9E0C0574E849AC11D7
3516.50ns INFO [00003518] Port=1 RD @01
3517.50ns INFO [00003519] * RD COMPARE * port=0 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE
3518.50ns INFO [00003520] * RD COMPARE * port=1 adr=01 act=09692B2C3DBEE669EE exp=09692B2C3DBEE669EE
3518.50ns INFO [00003520] Port=1 RD @00
3520.50ns INFO [00003522] * RD COMPARE * port=1 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3520.50ns INFO [00003522] Port=0 RD @00
3521.50ns INFO [00003523] Port=0 RD @07
3522.50ns INFO [00003524] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3522.50ns INFO [00003524] Port=0 WR @01=CA8CAC94DADB1AB48D
3522.50ns INFO [00003524] Port=0 RD @00
3523.50ns INFO [00003525] * RD COMPARE * port=0 adr=07 act=1BBA1FD68DC50518CF exp=1BBA1FD68DC50518CF
3523.50ns INFO [00003525] Port=0 RD @03
3524.50ns INFO [00003526] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3525.50ns INFO [00003527] * RD COMPARE * port=0 adr=03 act=9179530A2053E42E06 exp=9179530A2053E42E06
3525.50ns INFO [00003527] Port=1 RD @04
3526.50ns INFO [00003528] Port=0 WR @06=2A7E9DA75352E847EF
3527.50ns INFO [00003529] * RD COMPARE * port=1 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824
3527.50ns INFO [00003529] Port=0 WR @06=7E166838EF1A926B75
3527.50ns INFO [00003529] Port=0 RD @00
3527.50ns INFO [00003529] Port=1 RD @05
3528.50ns INFO [00003530] Port=0 WR @00=E2D1B5F5E190A76316
3529.50ns INFO [00003531] * RD COMPARE * port=0 adr=00 act=EF7035F3942794BBBD exp=EF7035F3942794BBBD
3529.50ns INFO [00003531] * RD COMPARE * port=1 adr=05 act=D12C098799F4631825 exp=D12C098799F4631825
3531.50ns INFO [00003533] Port=1 RD @04
3532.50ns INFO [00003534] Port=0 WR @07=F0588E44A743D8CFC4
3532.50ns INFO [00003534] Port=0 RD @04
3533.50ns INFO [00003535] * RD COMPARE * port=1 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824
3533.50ns INFO [00003535] Port=0 WR @07=B862955FCA511A2D44
3533.50ns INFO [00003535] Port=0 RD @04
3534.50ns INFO [00003536] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824
3534.50ns INFO [00003536] Port=0 RD @04
3535.50ns INFO [00003537] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824
3536.50ns INFO [00003538] * RD COMPARE * port=0 adr=04 act=4D9A635C8008C92824 exp=4D9A635C8008C92824
3536.50ns INFO [00003538] Port=1 RD @02
3538.50ns INFO [00003540] * RD COMPARE * port=1 adr=02 act=6538EBB6C16E0FB2B7 exp=6538EBB6C16E0FB2B7
3538.50ns INFO [00003540] Port=0 WR @05=CB9B8D6C4325FFF338
3538.50ns INFO [00003540] Port=1 RD @00
3539.50ns INFO [00003541] Port=0 WR @07=B202A5F6978162778B
3539.50ns INFO [00003541] Port=1 RD @01
3540.50ns INFO [00003542] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316
3540.50ns INFO [00003542] Port=0 WR @02=418B68F0BC3E7923BB
3540.50ns INFO [00003542] Port=1 RD @07
3541.50ns INFO [00003543] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D
3542.50ns INFO [00003544] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B
3542.50ns INFO [00003544] Port=0 WR @03=1BC8B47FE2278A7B65
3542.50ns INFO [00003544] Port=0 RD @02
3543.50ns INFO [00003545] Port=1 RD @07
3544.50ns INFO [00003546] * RD COMPARE * port=0 adr=02 act=418B68F0BC3E7923BB exp=418B68F0BC3E7923BB
3544.50ns INFO [00003546] Port=0 RD @03
3544.50ns INFO [00003546] Port=1 RD @01
3545.50ns INFO [00003547] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B
3546.50ns INFO [00003548] * RD COMPARE * port=0 adr=03 act=1BC8B47FE2278A7B65 exp=1BC8B47FE2278A7B65
3546.50ns INFO [00003548] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D
3546.50ns INFO [00003548] Port=0 WR @02=4F26165DE7C8C6A18F
3546.50ns INFO [00003548] Port=1 RD @05
3547.50ns INFO [00003549] Port=1 RD @06
3548.50ns INFO [00003550] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3548.50ns INFO [00003550] Port=0 WR @04=F0E697D987FBF31028
3548.50ns INFO [00003550] Port=1 RD @05
3549.50ns INFO [00003551] * RD COMPARE * port=1 adr=06 act=7E166838EF1A926B75 exp=7E166838EF1A926B75
3549.50ns INFO [00003551] Port=0 RD @00
3549.50ns INFO [00003551] Port=1 RD @02
3550.50ns INFO [00003552] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3550.50ns INFO [00003552] Port=0 RD @05
3550.50ns INFO [00003552] Port=1 RD @00
3551.50ns INFO [00003553] * RD COMPARE * port=0 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316
3551.50ns INFO [00003553] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3551.50ns INFO [00003553] Port=1 RD @06
3552.50ns INFO [00003554] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3552.50ns INFO [00003554] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316
3552.50ns INFO [00003554] Port=0 WR @06=2EC51D3F2AC69C3EA6
3553.50ns INFO [00003555] * RD COMPARE * port=1 adr=06 act=7E166838EF1A926B75 exp=7E166838EF1A926B75
3553.50ns INFO [00003555] Port=1 RD @07
3554.50ns INFO [00003556] Port=0 RD @06
3554.50ns INFO [00003556] Port=1 RD @07
3555.50ns INFO [00003557] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B
3555.50ns INFO [00003557] Port=0 RD @06
3556.50ns INFO [00003558] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6
3556.50ns INFO [00003558] * RD COMPARE * port=1 adr=07 act=B202A5F6978162778B exp=B202A5F6978162778B
3556.50ns INFO [00003558] Port=1 RD @01
3557.50ns INFO [00003559] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6
3557.50ns INFO [00003559] Port=0 RD @02
3558.50ns INFO [00003560] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D
3558.50ns INFO [00003560] Port=1 RD @00
3559.50ns INFO [00003561] * RD COMPARE * port=0 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3560.50ns INFO [00003562] * RD COMPARE * port=1 adr=00 act=E2D1B5F5E190A76316 exp=E2D1B5F5E190A76316
3561.50ns INFO [00003563] Port=0 RD @06
3563.50ns INFO [00003565] * RD COMPARE * port=0 adr=06 act=2EC51D3F2AC69C3EA6 exp=2EC51D3F2AC69C3EA6
3563.50ns INFO [00003565] Port=0 WR @04=2417C9409BDB1D621B
3563.50ns INFO [00003565] Port=1 RD @05
3565.50ns INFO [00003567] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3566.50ns INFO [00003568] Port=0 RD @02
3567.50ns INFO [00003569] Port=0 WR @03=0B8BA91DCB8CB4BBF5
3568.50ns INFO [00003570] * RD COMPARE * port=0 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3568.50ns INFO [00003570] Port=0 RD @05
3568.50ns INFO [00003570] Port=1 RD @02
3569.50ns INFO [00003571] Port=0 WR @06=29C7B4629539CF72A7
3569.50ns INFO [00003571] Port=1 RD @02
3570.50ns INFO [00003572] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3570.50ns INFO [00003572] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3570.50ns INFO [00003572] Port=0 RD @05
3571.50ns INFO [00003573] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3571.50ns INFO [00003573] Port=1 RD @05
3572.50ns INFO [00003574] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3572.50ns INFO [00003574] Port=1 RD @02
3573.50ns INFO [00003575] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3573.50ns INFO [00003575] Port=0 WR @00=1CBC7C55B0F6C7FABF
3573.50ns INFO [00003575] Port=1 RD @01
3574.50ns INFO [00003576] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3574.50ns INFO [00003576] Port=0 RD @04
3575.50ns INFO [00003577] * RD COMPARE * port=1 adr=01 act=CA8CAC94DADB1AB48D exp=CA8CAC94DADB1AB48D
3576.50ns INFO [00003578] * RD COMPARE * port=0 adr=04 act=2417C9409BDB1D621B exp=2417C9409BDB1D621B
3576.50ns INFO [00003578] Port=0 RD @03
3577.50ns INFO [00003579] Port=0 RD @05
3578.50ns INFO [00003580] * RD COMPARE * port=0 adr=03 act=0B8BA91DCB8CB4BBF5 exp=0B8BA91DCB8CB4BBF5
3579.50ns INFO [00003581] * RD COMPARE * port=0 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3579.50ns INFO [00003581] Port=0 RD @06
3579.50ns INFO [00003581] Port=1 RD @04
3581.50ns INFO [00003583] * RD COMPARE * port=0 adr=06 act=29C7B4629539CF72A7 exp=29C7B4629539CF72A7
3581.50ns INFO [00003583] * RD COMPARE * port=1 adr=04 act=2417C9409BDB1D621B exp=2417C9409BDB1D621B
3582.50ns INFO [00003584] Port=0 WR @03=C1DC45E109111320EA
3584.50ns INFO [00003586] Port=0 WR @04=B4741D1E54EA5DDE6A
3585.50ns INFO [00003587] Port=0 WR @01=AB4BD14074FB376274
3586.50ns INFO [00003588] Port=0 WR @01=558E5FF020E065C080
3587.50ns INFO [00003589] Port=0 WR @00=CEA7D6627AA23152E9
3588.50ns INFO [00003590] Port=0 WR @01=1F9A82A41E464CFC86
3588.50ns INFO [00003590] Port=1 RD @04
3589.50ns INFO [00003591] Port=0 RD @03
3589.50ns INFO [00003591] Port=1 RD @01
3590.50ns INFO [00003592] * RD COMPARE * port=1 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A
3591.50ns INFO [00003593] * RD COMPARE * port=0 adr=03 act=C1DC45E109111320EA exp=C1DC45E109111320EA
3591.50ns INFO [00003593] * RD COMPARE * port=1 adr=01 act=1F9A82A41E464CFC86 exp=1F9A82A41E464CFC86
3591.50ns INFO [00003593] Port=1 RD @05
3592.50ns INFO [00003594] Port=0 WR @00=5DFDBBF49B29AE89EF
3593.50ns INFO [00003595] * RD COMPARE * port=1 adr=05 act=CB9B8D6C4325FFF338 exp=CB9B8D6C4325FFF338
3593.50ns INFO [00003595] Port=0 WR @01=3B88D9C3E241E24CE5
3594.50ns INFO [00003596] Port=0 RD @01
3595.50ns INFO [00003597] Port=0 WR @06=502077FFB85E03717E
3596.50ns INFO [00003598] * RD COMPARE * port=0 adr=01 act=3B88D9C3E241E24CE5 exp=3B88D9C3E241E24CE5
3597.50ns INFO [00003599] Port=1 RD @02
3598.00ns INFO [00003600] [00003600] ...tick...
3598.50ns INFO [00003600] Port=0 RD @04
3599.50ns INFO [00003601] * RD COMPARE * port=1 adr=02 act=4F26165DE7C8C6A18F exp=4F26165DE7C8C6A18F
3599.50ns INFO [00003601] Port=0 WR @07=4F62F5E5AA3DE40B6E
3600.50ns INFO [00003602] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A
3600.50ns INFO [00003602] Port=0 WR @03=F9E17E16296CEA432C
3601.50ns INFO [00003603] Port=0 RD @04
3601.50ns INFO [00003603] Port=1 RD @01
3602.50ns INFO [00003604] Port=0 RD @03
3602.50ns INFO [00003604] Port=1 RD @06
3603.50ns INFO [00003605] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A
3603.50ns INFO [00003605] * RD COMPARE * port=1 adr=01 act=3B88D9C3E241E24CE5 exp=3B88D9C3E241E24CE5
3603.50ns INFO [00003605] Port=0 WR @03=26BE0794419A80BAA3
3604.50ns INFO [00003606] * RD COMPARE * port=0 adr=03 act=F9E17E16296CEA432C exp=F9E17E16296CEA432C
3604.50ns INFO [00003606] * RD COMPARE * port=1 adr=06 act=502077FFB85E03717E exp=502077FFB85E03717E
3604.50ns INFO [00003606] Port=0 WR @07=61A10E05C3457AC655
3605.50ns INFO [00003607] Port=0 WR @03=C15F6BB11C9DFB97F5
3606.50ns INFO [00003608] Port=0 WR @01=E80D8E973DC40FD8A7
3606.50ns INFO [00003608] Port=0 RD @07
3606.50ns INFO [00003608] Port=1 RD @00
3607.50ns INFO [00003609] Port=0 WR @05=1008FF250B10F11B9C
3608.50ns INFO [00003610] * RD COMPARE * port=0 adr=07 act=61A10E05C3457AC655 exp=61A10E05C3457AC655
3608.50ns INFO [00003610] * RD COMPARE * port=1 adr=00 act=5DFDBBF49B29AE89EF exp=5DFDBBF49B29AE89EF
3608.50ns INFO [00003610] Port=0 WR @02=3030F9A5186F5C1A05
3609.50ns INFO [00003611] Port=0 WR @06=9BEA3B09B161ABE0AE
3610.50ns INFO [00003612] Port=1 RD @04
3612.50ns INFO [00003614] * RD COMPARE * port=1 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A
3614.50ns INFO [00003616] Port=0 WR @06=ED0C7597D32C62E0BB
3616.50ns INFO [00003618] Port=0 WR @02=A178E78152DA1A09A4
3616.50ns INFO [00003618] Port=0 RD @06
3616.50ns INFO [00003618] Port=1 RD @06
3617.50ns INFO [00003619] Port=1 RD @07
3618.50ns INFO [00003620] * RD COMPARE * port=0 adr=06 act=ED0C7597D32C62E0BB exp=ED0C7597D32C62E0BB
3618.50ns INFO [00003620] * RD COMPARE * port=1 adr=06 act=ED0C7597D32C62E0BB exp=ED0C7597D32C62E0BB
3619.50ns INFO [00003621] * RD COMPARE * port=1 adr=07 act=61A10E05C3457AC655 exp=61A10E05C3457AC655
3621.50ns INFO [00003623] Port=0 WR @00=B8CAEEBD5EE704E0A4
3623.50ns INFO [00003625] Port=0 WR @02=52F66956618743EE04
3623.50ns INFO [00003625] Port=0 RD @04
3623.50ns INFO [00003625] Port=1 RD @00
3624.50ns INFO [00003626] Port=1 RD @05
3625.50ns INFO [00003627] * RD COMPARE * port=0 adr=04 act=B4741D1E54EA5DDE6A exp=B4741D1E54EA5DDE6A
3625.50ns INFO [00003627] * RD COMPARE * port=1 adr=00 act=B8CAEEBD5EE704E0A4 exp=B8CAEEBD5EE704E0A4
3625.50ns INFO [00003627] Port=0 WR @07=B7732853FFF0E736F8
3625.50ns INFO [00003627] Port=1 RD @01
3626.50ns INFO [00003628] * RD COMPARE * port=1 adr=05 act=1008FF250B10F11B9C exp=1008FF250B10F11B9C
3626.50ns INFO [00003628] Port=0 WR @03=119C7A01CD44CE09CD
3627.50ns INFO [00003629] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3628.50ns INFO [00003630] Port=0 WR @03=04D6914F4557F370E5
3628.50ns INFO [00003630] Port=1 RD @07
3630.50ns INFO [00003632] * RD COMPARE * port=1 adr=07 act=B7732853FFF0E736F8 exp=B7732853FFF0E736F8
3632.50ns INFO [00003634] Port=1 RD @03
3633.50ns INFO [00003635] Port=0 WR @04=BD81A8A325FE6D1A35
3634.50ns INFO [00003636] * RD COMPARE * port=1 adr=03 act=04D6914F4557F370E5 exp=04D6914F4557F370E5
3634.50ns INFO [00003636] Port=0 WR @00=5A40B47F0157FD906F
3634.50ns INFO [00003636] Port=1 RD @03
3635.50ns INFO [00003637] Port=0 WR @04=856E15E7BDBC75C100
3636.50ns INFO [00003638] * RD COMPARE * port=1 adr=03 act=04D6914F4557F370E5 exp=04D6914F4557F370E5
3636.50ns INFO [00003638] Port=0 WR @06=A2A8BF107E1B26B6EC
3636.50ns INFO [00003638] Port=1 RD @02
3637.50ns INFO [00003639] Port=0 WR @00=44337111C2EC37CE3D
3637.50ns INFO [00003639] Port=1 RD @06
3638.50ns INFO [00003640] * RD COMPARE * port=1 adr=02 act=52F66956618743EE04 exp=52F66956618743EE04
3638.50ns INFO [00003640] Port=0 WR @03=9299F403C6EDBC0E4D
3639.50ns INFO [00003641] * RD COMPARE * port=1 adr=06 act=A2A8BF107E1B26B6EC exp=A2A8BF107E1B26B6EC
3639.50ns INFO [00003641] Port=0 WR @07=F38EFAFEE6130AE2C4
3640.50ns INFO [00003642] Port=0 RD @07
3642.50ns INFO [00003644] * RD COMPARE * port=0 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4
3645.50ns INFO [00003647] Port=0 WR @02=1ED4CE28881253F8E6
3645.50ns INFO [00003647] Port=0 RD @07
3646.50ns INFO [00003648] Port=0 RD @00
3647.50ns INFO [00003649] * RD COMPARE * port=0 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4
3647.50ns INFO [00003649] Port=0 RD @06
3647.50ns INFO [00003649] Port=1 RD @07
3648.50ns INFO [00003650] * RD COMPARE * port=0 adr=00 act=44337111C2EC37CE3D exp=44337111C2EC37CE3D
3648.50ns INFO [00003650] Port=0 WR @06=36C44B3F4CE586AE96
3648.50ns INFO [00003650] Port=0 RD @03
3648.50ns INFO [00003650] Port=1 RD @01
3649.50ns INFO [00003651] * RD COMPARE * port=0 adr=06 act=A2A8BF107E1B26B6EC exp=A2A8BF107E1B26B6EC
3649.50ns INFO [00003651] * RD COMPARE * port=1 adr=07 act=F38EFAFEE6130AE2C4 exp=F38EFAFEE6130AE2C4
3649.50ns INFO [00003651] Port=0 RD @05
3650.50ns INFO [00003652] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D
3650.50ns INFO [00003652] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3650.50ns INFO [00003652] Port=0 WR @05=3D9FA42F26BBE67456
3651.50ns INFO [00003653] * RD COMPARE * port=0 adr=05 act=1008FF250B10F11B9C exp=1008FF250B10F11B9C
3652.50ns INFO [00003654] Port=0 WR @06=8DA155F478488C7FD4
3652.50ns INFO [00003654] Port=0 RD @03
3652.50ns INFO [00003654] Port=1 RD @05
3654.50ns INFO [00003656] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D
3654.50ns INFO [00003656] * RD COMPARE * port=1 adr=05 act=3D9FA42F26BBE67456 exp=3D9FA42F26BBE67456
3654.50ns INFO [00003656] Port=0 WR @07=3945A16CE5A7D0AE05
3656.50ns INFO [00003658] Port=0 WR @05=817E83EFD809E7E02A
3657.50ns INFO [00003659] Port=0 WR @04=77D6E83EB3124F0106
3657.50ns INFO [00003659] Port=0 RD @07
3659.50ns INFO [00003661] * RD COMPARE * port=0 adr=07 act=3945A16CE5A7D0AE05 exp=3945A16CE5A7D0AE05
3659.50ns INFO [00003661] Port=0 RD @00
3660.50ns INFO [00003662] Port=1 RD @05
3661.50ns INFO [00003663] * RD COMPARE * port=0 adr=00 act=44337111C2EC37CE3D exp=44337111C2EC37CE3D
3661.50ns INFO [00003663] Port=1 RD @01
3662.50ns INFO [00003664] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A
3663.50ns INFO [00003665] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3663.50ns INFO [00003665] Port=0 RD @02
3663.50ns INFO [00003665] Port=1 RD @05
3664.50ns INFO [00003666] Port=0 WR @00=D7241EB4D4142D5805
3665.50ns INFO [00003667] * RD COMPARE * port=0 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6
3665.50ns INFO [00003667] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A
3666.50ns INFO [00003668] Port=0 WR @07=BCA3ED380C1A9F7DE1
3668.50ns INFO [00003670] Port=0 RD @01
3668.50ns INFO [00003670] Port=1 RD @01
3669.50ns INFO [00003671] Port=1 RD @05
3670.50ns INFO [00003672] * RD COMPARE * port=0 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3670.50ns INFO [00003672] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3670.50ns INFO [00003672] Port=0 RD @03
3671.50ns INFO [00003673] * RD COMPARE * port=1 adr=05 act=817E83EFD809E7E02A exp=817E83EFD809E7E02A
3671.50ns INFO [00003673] Port=0 WR @03=01DB57C0B295CC1B1D
3672.50ns INFO [00003674] * RD COMPARE * port=0 adr=03 act=9299F403C6EDBC0E4D exp=9299F403C6EDBC0E4D
3672.50ns INFO [00003674] Port=0 RD @06
3673.50ns INFO [00003675] Port=1 RD @04
3674.50ns INFO [00003676] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3674.50ns INFO [00003676] Port=0 WR @05=427593DDFD02423743
3674.50ns INFO [00003676] Port=0 RD @04
3675.50ns INFO [00003677] * RD COMPARE * port=1 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3676.50ns INFO [00003678] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3676.50ns INFO [00003678] Port=0 RD @06
3678.50ns INFO [00003680] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3678.50ns INFO [00003680] Port=0 RD @04
3678.50ns INFO [00003680] Port=1 RD @02
3679.50ns INFO [00003681] Port=0 RD @03
3679.50ns INFO [00003681] Port=1 RD @02
3680.50ns INFO [00003682] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3680.50ns INFO [00003682] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6
3680.50ns INFO [00003682] Port=1 RD @02
3681.50ns INFO [00003683] * RD COMPARE * port=0 adr=03 act=01DB57C0B295CC1B1D exp=01DB57C0B295CC1B1D
3681.50ns INFO [00003683] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6
3681.50ns INFO [00003683] Port=1 RD @06
3682.50ns INFO [00003684] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6
3682.50ns INFO [00003684] Port=1 RD @05
3683.50ns INFO [00003685] * RD COMPARE * port=1 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3684.50ns INFO [00003686] * RD COMPARE * port=1 adr=05 act=427593DDFD02423743 exp=427593DDFD02423743
3684.50ns INFO [00003686] Port=0 WR @07=A1C2C7016703941FFB
3686.50ns INFO [00003688] Port=0 RD @04
3687.50ns INFO [00003689] Port=0 RD @07
3687.50ns INFO [00003689] Port=1 RD @01
3688.50ns INFO [00003690] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3689.50ns INFO [00003691] * RD COMPARE * port=0 adr=07 act=A1C2C7016703941FFB exp=A1C2C7016703941FFB
3689.50ns INFO [00003691] * RD COMPARE * port=1 adr=01 act=E80D8E973DC40FD8A7 exp=E80D8E973DC40FD8A7
3689.50ns INFO [00003691] Port=0 RD @05
3690.50ns INFO [00003692] Port=0 WR @01=6025F0E3EDBD0450C0
3690.50ns INFO [00003692] Port=0 RD @04
3691.50ns INFO [00003693] * RD COMPARE * port=0 adr=05 act=427593DDFD02423743 exp=427593DDFD02423743
3692.50ns INFO [00003694] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3694.50ns INFO [00003696] Port=0 RD @04
3696.50ns INFO [00003698] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3696.50ns INFO [00003698] Port=0 WR @07=14D8F818B2A7D2ED7F
3698.00ns INFO [00003700] [00003700] ...tick...
3699.50ns INFO [00003701] Port=0 WR @00=C10BE1AB431A170C12
3700.50ns INFO [00003702] Port=0 RD @06
3700.50ns INFO [00003702] Port=1 RD @07
3701.50ns INFO [00003703] Port=0 WR @01=57F55A8F8CC8C7D8E8
3701.50ns INFO [00003703] Port=1 RD @07
3702.50ns INFO [00003704] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3702.50ns INFO [00003704] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F
3702.50ns INFO [00003704] Port=0 RD @07
3703.50ns INFO [00003705] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F
3703.50ns INFO [00003705] Port=0 RD @07
3703.50ns INFO [00003705] Port=1 RD @03
3704.50ns INFO [00003706] * RD COMPARE * port=0 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F
3704.50ns INFO [00003706] Port=0 WR @03=C381AE78DC4E6F9C50
3704.50ns INFO [00003706] Port=0 RD @01
3704.50ns INFO [00003706] Port=1 RD @07
3705.50ns INFO [00003707] * RD COMPARE * port=0 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F
3705.50ns INFO [00003707] * RD COMPARE * port=1 adr=03 act=01DB57C0B295CC1B1D exp=01DB57C0B295CC1B1D
3705.50ns INFO [00003707] Port=0 WR @07=D9DCCF3E04B8AB3C08
3706.50ns INFO [00003708] * RD COMPARE * port=0 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8
3706.50ns INFO [00003708] * RD COMPARE * port=1 adr=07 act=14D8F818B2A7D2ED7F exp=14D8F818B2A7D2ED7F
3706.50ns INFO [00003708] Port=0 RD @06
3706.50ns INFO [00003708] Port=1 RD @03
3707.50ns INFO [00003709] Port=0 WR @05=1F1A90E53ACED41155
3707.50ns INFO [00003709] Port=0 RD @03
3707.50ns INFO [00003709] Port=1 RD @07
3708.50ns INFO [00003710] * RD COMPARE * port=0 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3708.50ns INFO [00003710] * RD COMPARE * port=1 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50
3708.50ns INFO [00003710] Port=1 RD @04
3709.50ns INFO [00003711] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50
3709.50ns INFO [00003711] * RD COMPARE * port=1 adr=07 act=D9DCCF3E04B8AB3C08 exp=D9DCCF3E04B8AB3C08
3709.50ns INFO [00003711] Port=1 RD @00
3710.50ns INFO [00003712] * RD COMPARE * port=1 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3710.50ns INFO [00003712] Port=0 RD @05
3710.50ns INFO [00003712] Port=1 RD @05
3711.50ns INFO [00003713] * RD COMPARE * port=1 adr=00 act=C10BE1AB431A170C12 exp=C10BE1AB431A170C12
3712.50ns INFO [00003714] * RD COMPARE * port=0 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3712.50ns INFO [00003714] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3712.50ns INFO [00003714] Port=0 WR @00=EE3FDD2EFBC5080620
3713.50ns INFO [00003715] Port=0 RD @05
3713.50ns INFO [00003715] Port=1 RD @05
3714.50ns INFO [00003716] Port=1 RD @05
3715.50ns INFO [00003717] * RD COMPARE * port=0 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3715.50ns INFO [00003717] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3715.50ns INFO [00003717] Port=0 WR @07=EECB68486F321B4848
3715.50ns INFO [00003717] Port=0 RD @04
3716.50ns INFO [00003718] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3716.50ns INFO [00003718] Port=1 RD @06
3717.50ns INFO [00003719] * RD COMPARE * port=0 adr=04 act=77D6E83EB3124F0106 exp=77D6E83EB3124F0106
3718.50ns INFO [00003720] * RD COMPARE * port=1 adr=06 act=8DA155F478488C7FD4 exp=8DA155F478488C7FD4
3718.50ns INFO [00003720] Port=0 RD @00
3719.50ns INFO [00003721] Port=0 RD @01
3720.50ns INFO [00003722] * RD COMPARE * port=0 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620
3721.50ns INFO [00003723] * RD COMPARE * port=0 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8
3721.50ns INFO [00003723] Port=0 WR @07=5BC8A4BDAD9B61A8C3
3721.50ns INFO [00003723] Port=0 RD @03
3721.50ns INFO [00003723] Port=1 RD @01
3722.50ns INFO [00003724] Port=1 RD @00
3723.50ns INFO [00003725] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50
3723.50ns INFO [00003725] * RD COMPARE * port=1 adr=01 act=57F55A8F8CC8C7D8E8 exp=57F55A8F8CC8C7D8E8
3723.50ns INFO [00003725] Port=0 WR @04=04A807029EA03FFDDD
3724.50ns INFO [00003726] * RD COMPARE * port=1 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620
3724.50ns INFO [00003726] Port=0 RD @07
3724.50ns INFO [00003726] Port=1 RD @02
3725.50ns INFO [00003727] Port=0 WR @06=269E1293EE959DCD62
3725.50ns INFO [00003727] Port=0 RD @03
3725.50ns INFO [00003727] Port=1 RD @05
3726.50ns INFO [00003728] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3
3726.50ns INFO [00003728] * RD COMPARE * port=1 adr=02 act=1ED4CE28881253F8E6 exp=1ED4CE28881253F8E6
3726.50ns INFO [00003728] Port=0 WR @03=8EC9B2EE281802FB24
3726.50ns INFO [00003728] Port=0 RD @00
3727.50ns INFO [00003729] * RD COMPARE * port=0 adr=03 act=C381AE78DC4E6F9C50 exp=C381AE78DC4E6F9C50
3727.50ns INFO [00003729] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3727.50ns INFO [00003729] Port=0 WR @02=B99B912C4029832718
3728.50ns INFO [00003730] * RD COMPARE * port=0 adr=00 act=EE3FDD2EFBC5080620 exp=EE3FDD2EFBC5080620
3728.50ns INFO [00003730] Port=0 WR @00=633FE9F4A388044555
3728.50ns INFO [00003730] Port=1 RD @05
3729.50ns INFO [00003731] Port=0 WR @02=2FDAD2977A75607FC2
3730.50ns INFO [00003732] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3730.50ns INFO [00003732] Port=0 RD @07
3731.50ns INFO [00003733] Port=1 RD @03
3732.50ns INFO [00003734] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3
3732.50ns INFO [00003734] Port=0 WR @06=98A441155D4D51642E
3732.50ns INFO [00003734] Port=1 RD @00
3733.50ns INFO [00003735] * RD COMPARE * port=1 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24
3733.50ns INFO [00003735] Port=0 RD @07
3734.50ns INFO [00003736] * RD COMPARE * port=1 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555
3735.50ns INFO [00003737] * RD COMPARE * port=0 adr=07 act=5BC8A4BDAD9B61A8C3 exp=5BC8A4BDAD9B61A8C3
3735.50ns INFO [00003737] Port=0 WR @02=765D6EC4A04AA5C96A
3736.50ns INFO [00003738] Port=0 WR @01=807BEEAF936B808590
3736.50ns INFO [00003738] Port=0 RD @00
3736.50ns INFO [00003738] Port=1 RD @04
3737.50ns INFO [00003739] Port=0 WR @01=06E8026AE6C0804522
3737.50ns INFO [00003739] Port=0 RD @04
3738.50ns INFO [00003740] * RD COMPARE * port=0 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555
3738.50ns INFO [00003740] * RD COMPARE * port=1 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD
3738.50ns INFO [00003740] Port=0 WR @06=E1695FBA4A7DEB22E9
3738.50ns INFO [00003740] Port=0 RD @01
3738.50ns INFO [00003740] Port=1 RD @05
3739.50ns INFO [00003741] * RD COMPARE * port=0 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD
3739.50ns INFO [00003741] Port=0 RD @00
3740.50ns INFO [00003742] * RD COMPARE * port=0 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522
3740.50ns INFO [00003742] * RD COMPARE * port=1 adr=05 act=1F1A90E53ACED41155 exp=1F1A90E53ACED41155
3740.50ns INFO [00003742] Port=0 WR @00=CA9E209E24CE4C9CA5
3741.50ns INFO [00003743] * RD COMPARE * port=0 adr=00 act=633FE9F4A388044555 exp=633FE9F4A388044555
3741.50ns INFO [00003743] Port=0 RD @03
3742.50ns INFO [00003744] Port=0 WR @02=732ACD27B2C693785A
3743.50ns INFO [00003745] * RD COMPARE * port=0 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24
3743.50ns INFO [00003745] Port=0 WR @05=8CF48E7F0468BDDFC3
3743.50ns INFO [00003745] Port=0 RD @04
3743.50ns INFO [00003745] Port=1 RD @03
3744.50ns INFO [00003746] Port=0 WR @07=5F95E930CBCF8562F7
3744.50ns INFO [00003746] Port=1 RD @01
3745.50ns INFO [00003747] * RD COMPARE * port=0 adr=04 act=04A807029EA03FFDDD exp=04A807029EA03FFDDD
3745.50ns INFO [00003747] * RD COMPARE * port=1 adr=03 act=8EC9B2EE281802FB24 exp=8EC9B2EE281802FB24
3746.50ns INFO [00003748] * RD COMPARE * port=1 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522
3746.50ns INFO [00003748] Port=0 WR @04=DA85E309260D0F3AEF
3746.50ns INFO [00003748] Port=1 RD @01
3747.50ns INFO [00003749] Port=0 RD @04
3748.50ns INFO [00003750] * RD COMPARE * port=1 adr=01 act=06E8026AE6C0804522 exp=06E8026AE6C0804522
3748.50ns INFO [00003750] Port=0 WR @06=7AC217CEAB8113B99B
3749.50ns INFO [00003751] * RD COMPARE * port=0 adr=04 act=DA85E309260D0F3AEF exp=DA85E309260D0F3AEF
3749.50ns INFO [00003751] Port=0 WR @06=F4F415C539DEB34872
3749.50ns INFO [00003751] Port=1 RD @07
3750.50ns INFO [00003752] Port=0 WR @03=049A480C75C956C7D1
3751.50ns INFO [00003753] * RD COMPARE * port=1 adr=07 act=5F95E930CBCF8562F7 exp=5F95E930CBCF8562F7
3751.50ns INFO [00003753] Port=0 WR @01=EA0E98E5EE4C532B3B
3752.50ns INFO [00003754] Port=0 RD @05
3754.50ns INFO [00003756] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3
3754.50ns INFO [00003756] Port=0 WR @07=C73F72760EC31682F8
3754.50ns INFO [00003756] Port=1 RD @06
3755.50ns INFO [00003757] Port=0 WR @04=11A88F01101A9CF96D
3755.50ns INFO [00003757] Port=0 RD @05
3755.50ns INFO [00003757] Port=1 RD @01
3756.50ns INFO [00003758] * RD COMPARE * port=1 adr=06 act=F4F415C539DEB34872 exp=F4F415C539DEB34872
3757.50ns INFO [00003759] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3
3757.50ns INFO [00003759] * RD COMPARE * port=1 adr=01 act=EA0E98E5EE4C532B3B exp=EA0E98E5EE4C532B3B
3757.50ns INFO [00003759] Port=0 WR @02=1397832FB2806FE310
3758.50ns INFO [00003760] Port=0 WR @03=DDAFB942F60EBBC582
3762.50ns INFO [00003764] Port=1 RD @03
3763.50ns INFO [00003765] Port=0 RD @02
3764.50ns INFO [00003766] * RD COMPARE * port=1 adr=03 act=DDAFB942F60EBBC582 exp=DDAFB942F60EBBC582
3765.50ns INFO [00003767] * RD COMPARE * port=0 adr=02 act=1397832FB2806FE310 exp=1397832FB2806FE310
3765.50ns INFO [00003767] Port=0 WR @04=807C5A47B21F2124E4
3765.50ns INFO [00003767] Port=0 RD @06
3766.50ns INFO [00003768] Port=0 RD @07
3767.50ns INFO [00003769] * RD COMPARE * port=0 adr=06 act=F4F415C539DEB34872 exp=F4F415C539DEB34872
3767.50ns INFO [00003769] Port=0 RD @02
3768.50ns INFO [00003770] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3768.50ns INFO [00003770] Port=0 WR @03=40DFD36B9BA8ABBE7E
3769.50ns INFO [00003771] * RD COMPARE * port=0 adr=02 act=1397832FB2806FE310 exp=1397832FB2806FE310
3771.50ns INFO [00003773] Port=0 RD @05
3772.50ns INFO [00003774] Port=0 RD @03
3772.50ns INFO [00003774] Port=1 RD @03
3773.50ns INFO [00003775] * RD COMPARE * port=0 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3
3773.50ns INFO [00003775] Port=0 WR @02=D4812D15DB6ED76835
3774.50ns INFO [00003776] * RD COMPARE * port=0 adr=03 act=40DFD36B9BA8ABBE7E exp=40DFD36B9BA8ABBE7E
3774.50ns INFO [00003776] * RD COMPARE * port=1 adr=03 act=40DFD36B9BA8ABBE7E exp=40DFD36B9BA8ABBE7E
3774.50ns INFO [00003776] Port=1 RD @05
3775.50ns INFO [00003777] Port=0 RD @01
3775.50ns INFO [00003777] Port=1 RD @07
3776.50ns INFO [00003778] * RD COMPARE * port=1 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3
3776.50ns INFO [00003778] Port=0 WR @01=316B6A4F0B135CF4A3
3777.50ns INFO [00003779] * RD COMPARE * port=0 adr=01 act=EA0E98E5EE4C532B3B exp=EA0E98E5EE4C532B3B
3777.50ns INFO [00003779] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3777.50ns INFO [00003779] Port=0 RD @04
3778.50ns INFO [00003780] Port=0 RD @02
3778.50ns INFO [00003780] Port=1 RD @04
3779.50ns INFO [00003781] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4
3779.50ns INFO [00003781] Port=0 WR @06=F830F6431BF547EEEE
3779.50ns INFO [00003781] Port=1 RD @05
3780.50ns INFO [00003782] * RD COMPARE * port=0 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835
3780.50ns INFO [00003782] * RD COMPARE * port=1 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4
3780.50ns INFO [00003782] Port=0 RD @01
3781.50ns INFO [00003783] * RD COMPARE * port=1 adr=05 act=8CF48E7F0468BDDFC3 exp=8CF48E7F0468BDDFC3
3781.50ns INFO [00003783] Port=0 RD @06
3782.50ns INFO [00003784] * RD COMPARE * port=0 adr=01 act=316B6A4F0B135CF4A3 exp=316B6A4F0B135CF4A3
3782.50ns INFO [00003784] Port=0 RD @06
3783.50ns INFO [00003785] * RD COMPARE * port=0 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE
3783.50ns INFO [00003785] Port=0 WR @05=ADC9ABB5EB34A99771
3784.50ns INFO [00003786] * RD COMPARE * port=0 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE
3784.50ns INFO [00003786] Port=0 WR @03=C1260A951FBE56D775
3785.50ns INFO [00003787] Port=1 RD @06
3786.50ns INFO [00003788] Port=0 RD @07
3786.50ns INFO [00003788] Port=1 RD @02
3787.50ns INFO [00003789] * RD COMPARE * port=1 adr=06 act=F830F6431BF547EEEE exp=F830F6431BF547EEEE
3787.50ns INFO [00003789] Port=0 WR @01=EF21005981CD9260C2
3787.50ns INFO [00003789] Port=0 RD @05
3788.50ns INFO [00003790] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3788.50ns INFO [00003790] * RD COMPARE * port=1 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835
3788.50ns INFO [00003790] Port=0 RD @07
3788.50ns INFO [00003790] Port=1 RD @05
3789.50ns INFO [00003791] * RD COMPARE * port=0 adr=05 act=ADC9ABB5EB34A99771 exp=ADC9ABB5EB34A99771
3789.50ns INFO [00003791] Port=0 WR @05=4394BA973A49A19273
3789.50ns INFO [00003791] Port=0 RD @04
3790.50ns INFO [00003792] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3790.50ns INFO [00003792] * RD COMPARE * port=1 adr=05 act=ADC9ABB5EB34A99771 exp=ADC9ABB5EB34A99771
3790.50ns INFO [00003792] Port=0 WR @03=7AB5978C1C107890CD
3790.50ns INFO [00003792] Port=0 RD @01
3791.50ns INFO [00003793] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4
3791.50ns INFO [00003793] Port=0 RD @04
3792.50ns INFO [00003794] * RD COMPARE * port=0 adr=01 act=EF21005981CD9260C2 exp=EF21005981CD9260C2
3793.50ns INFO [00003795] * RD COMPARE * port=0 adr=04 act=807C5A47B21F2124E4 exp=807C5A47B21F2124E4
3793.50ns INFO [00003795] Port=0 WR @01=D8ED9CD3EF6CCE5A71
3794.50ns INFO [00003796] Port=0 WR @00=5CA438E238D5AA5AEA
3796.50ns INFO [00003798] Port=0 RD @07
3797.50ns INFO [00003799] Port=1 RD @03
3798.00ns INFO [00003800] [00003800] ...tick...
3798.50ns INFO [00003800] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3798.50ns INFO [00003800] Port=0 WR @04=F732390247187ED958
3798.50ns INFO [00003800] Port=0 RD @01
3798.50ns INFO [00003800] Port=1 RD @07
3799.50ns INFO [00003801] * RD COMPARE * port=1 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD
3800.50ns INFO [00003802] * RD COMPARE * port=0 adr=01 act=D8ED9CD3EF6CCE5A71 exp=D8ED9CD3EF6CCE5A71
3800.50ns INFO [00003802] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3800.50ns INFO [00003802] Port=0 WR @04=9FFA5B7370E019DF0F
3801.50ns INFO [00003803] Port=0 WR @01=D07720EFE9F29E3D8A
3803.50ns INFO [00003805] Port=0 RD @03
3803.50ns INFO [00003805] Port=1 RD @07
3805.50ns INFO [00003807] * RD COMPARE * port=0 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD
3805.50ns INFO [00003807] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3807.50ns INFO [00003809] Port=0 WR @06=E49B55A5AA0F470F42
3808.50ns INFO [00003810] Port=0 RD @05
3808.50ns INFO [00003810] Port=1 RD @01
3810.50ns INFO [00003812] * RD COMPARE * port=0 adr=05 act=4394BA973A49A19273 exp=4394BA973A49A19273
3810.50ns INFO [00003812] * RD COMPARE * port=1 adr=01 act=D07720EFE9F29E3D8A exp=D07720EFE9F29E3D8A
3810.50ns INFO [00003812] Port=0 RD @03
3810.50ns INFO [00003812] Port=1 RD @04
3811.50ns INFO [00003813] Port=1 RD @03
3812.50ns INFO [00003814] * RD COMPARE * port=0 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD
3812.50ns INFO [00003814] * RD COMPARE * port=1 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F
3813.50ns INFO [00003815] * RD COMPARE * port=1 adr=03 act=7AB5978C1C107890CD exp=7AB5978C1C107890CD
3814.50ns INFO [00003816] Port=0 WR @05=DD8EC3DCE9014841C7
3814.50ns INFO [00003816] Port=1 RD @02
3816.50ns INFO [00003818] * RD COMPARE * port=1 adr=02 act=D4812D15DB6ED76835 exp=D4812D15DB6ED76835
3816.50ns INFO [00003818] Port=0 WR @02=5E867E48FD661298B2
3816.50ns INFO [00003818] Port=1 RD @01
3817.50ns INFO [00003819] Port=0 WR @05=BCB24AB05431DE801F
3818.50ns INFO [00003820] * RD COMPARE * port=1 adr=01 act=D07720EFE9F29E3D8A exp=D07720EFE9F29E3D8A
3818.50ns INFO [00003820] Port=1 RD @04
3819.50ns INFO [00003821] Port=0 RD @04
3820.50ns INFO [00003822] * RD COMPARE * port=1 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F
3820.50ns INFO [00003822] Port=0 WR @03=D35D278A9E382D13B4
3821.50ns INFO [00003823] * RD COMPARE * port=0 adr=04 act=9FFA5B7370E019DF0F exp=9FFA5B7370E019DF0F
3821.50ns INFO [00003823] Port=0 RD @00
3822.50ns INFO [00003824] Port=0 WR @06=1D16AD1BA649C6931B
3823.50ns INFO [00003825] * RD COMPARE * port=0 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA
3823.50ns INFO [00003825] Port=1 RD @03
3824.50ns INFO [00003826] Port=1 RD @02
3825.50ns INFO [00003827] * RD COMPARE * port=1 adr=03 act=D35D278A9E382D13B4 exp=D35D278A9E382D13B4
3825.50ns INFO [00003827] Port=0 WR @01=0D50DB6778915F4A43
3825.50ns INFO [00003827] Port=0 RD @05
3826.50ns INFO [00003828] * RD COMPARE * port=1 adr=02 act=5E867E48FD661298B2 exp=5E867E48FD661298B2
3827.50ns INFO [00003829] * RD COMPARE * port=0 adr=05 act=BCB24AB05431DE801F exp=BCB24AB05431DE801F
3827.50ns INFO [00003829] Port=1 RD @03
3828.50ns INFO [00003830] Port=0 RD @06
3829.50ns INFO [00003831] * RD COMPARE * port=1 adr=03 act=D35D278A9E382D13B4 exp=D35D278A9E382D13B4
3829.50ns INFO [00003831] Port=0 WR @03=666AE4F414A11498EF
3830.50ns INFO [00003832] * RD COMPARE * port=0 adr=06 act=1D16AD1BA649C6931B exp=1D16AD1BA649C6931B
3830.50ns INFO [00003832] Port=0 WR @05=CCB999345B3E1E0496
3830.50ns INFO [00003832] Port=0 RD @07
3832.50ns INFO [00003834] * RD COMPARE * port=0 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3832.50ns INFO [00003834] Port=0 WR @02=73FEFD17EDF81CC63A
3836.50ns INFO [00003838] Port=0 WR @03=56BDEC4D9AF022CAF6
3837.50ns INFO [00003839] Port=0 WR @06=B94332CC923D6CA411
3837.50ns INFO [00003839] Port=1 RD @02
3838.50ns INFO [00003840] Port=0 RD @00
3838.50ns INFO [00003840] Port=1 RD @00
3839.50ns INFO [00003841] * RD COMPARE * port=1 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A
3839.50ns INFO [00003841] Port=0 WR @04=624308D65804A1BFB4
3839.50ns INFO [00003841] Port=1 RD @06
3840.50ns INFO [00003842] * RD COMPARE * port=0 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA
3840.50ns INFO [00003842] * RD COMPARE * port=1 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA
3840.50ns INFO [00003842] Port=0 RD @02
3841.50ns INFO [00003843] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3841.50ns INFO [00003843] Port=1 RD @03
3842.50ns INFO [00003844] * RD COMPARE * port=0 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A
3842.50ns INFO [00003844] Port=0 WR @05=5D2BEE0CB69C079486
3842.50ns INFO [00003844] Port=0 RD @01
3842.50ns INFO [00003844] Port=1 RD @07
3843.50ns INFO [00003845] * RD COMPARE * port=1 adr=03 act=56BDEC4D9AF022CAF6 exp=56BDEC4D9AF022CAF6
3843.50ns INFO [00003845] Port=0 WR @07=08ECF70054223ED0E7
3843.50ns INFO [00003845] Port=1 RD @00
3844.50ns INFO [00003846] * RD COMPARE * port=0 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43
3844.50ns INFO [00003846] * RD COMPARE * port=1 adr=07 act=C73F72760EC31682F8 exp=C73F72760EC31682F8
3844.50ns INFO [00003846] Port=0 WR @05=63E734782D874494AD
3845.50ns INFO [00003847] * RD COMPARE * port=1 adr=00 act=5CA438E238D5AA5AEA exp=5CA438E238D5AA5AEA
3846.50ns INFO [00003848] Port=0 RD @01
3846.50ns INFO [00003848] Port=1 RD @01
3848.50ns INFO [00003850] * RD COMPARE * port=0 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43
3848.50ns INFO [00003850] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43
3849.50ns INFO [00003851] Port=1 RD @03
3850.50ns INFO [00003852] Port=1 RD @07
3851.50ns INFO [00003853] * RD COMPARE * port=1 adr=03 act=56BDEC4D9AF022CAF6 exp=56BDEC4D9AF022CAF6
3851.50ns INFO [00003853] Port=0 WR @03=FB19D77A79999FA72A
3851.50ns INFO [00003853] Port=1 RD @05
3852.50ns INFO [00003854] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7
3852.50ns INFO [00003854] Port=1 RD @04
3853.50ns INFO [00003855] * RD COMPARE * port=1 adr=05 act=63E734782D874494AD exp=63E734782D874494AD
3853.50ns INFO [00003855] Port=0 RD @05
3854.50ns INFO [00003856] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4
3854.50ns INFO [00003856] Port=0 RD @02
3854.50ns INFO [00003856] Port=1 RD @04
3855.50ns INFO [00003857] * RD COMPARE * port=0 adr=05 act=63E734782D874494AD exp=63E734782D874494AD
3855.50ns INFO [00003857] Port=1 RD @06
3856.50ns INFO [00003858] * RD COMPARE * port=0 adr=02 act=73FEFD17EDF81CC63A exp=73FEFD17EDF81CC63A
3856.50ns INFO [00003858] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4
3857.50ns INFO [00003859] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3857.50ns INFO [00003859] Port=1 RD @07
3858.50ns INFO [00003860] Port=0 WR @02=869253071817545DB8
3858.50ns INFO [00003860] Port=0 RD @06
3859.50ns INFO [00003861] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7
3860.50ns INFO [00003862] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3861.50ns INFO [00003863] Port=0 WR @03=BDD333AAD56438F3C0
3862.50ns INFO [00003864] Port=0 WR @05=5A3AF039A655149F6F
3863.50ns INFO [00003865] Port=0 WR @05=93DA3B8AF60993CB79
3863.50ns INFO [00003865] Port=1 RD @01
3865.50ns INFO [00003867] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43
3866.50ns INFO [00003868] Port=0 RD @03
3867.50ns INFO [00003869] Port=0 RD @02
3868.50ns INFO [00003870] * RD COMPARE * port=0 adr=03 act=BDD333AAD56438F3C0 exp=BDD333AAD56438F3C0
3868.50ns INFO [00003870] Port=0 WR @05=CB2D3C6269CEA03B47
3869.50ns INFO [00003871] * RD COMPARE * port=0 adr=02 act=869253071817545DB8 exp=869253071817545DB8
3869.50ns INFO [00003871] Port=0 RD @02
3871.50ns INFO [00003873] * RD COMPARE * port=0 adr=02 act=869253071817545DB8 exp=869253071817545DB8
3871.50ns INFO [00003873] Port=0 WR @05=84FE44C7A04F301723
3871.50ns INFO [00003873] Port=1 RD @06
3872.50ns INFO [00003874] Port=0 WR @03=499101D7A4E35A8623
3872.50ns INFO [00003874] Port=1 RD @07
3873.50ns INFO [00003875] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3873.50ns INFO [00003875] Port=0 WR @00=75F51F02674AF80A62
3874.50ns INFO [00003876] * RD COMPARE * port=1 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7
3875.50ns INFO [00003877] Port=0 WR @02=997959BA2C96144C40
3875.50ns INFO [00003877] Port=1 RD @06
3876.50ns INFO [00003878] Port=0 RD @05
3876.50ns INFO [00003878] Port=1 RD @02
3877.50ns INFO [00003879] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3877.50ns INFO [00003879] Port=0 WR @02=032C7123DC93818DEB
3877.50ns INFO [00003879] Port=0 RD @00
3878.50ns INFO [00003880] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723
3878.50ns INFO [00003880] * RD COMPARE * port=1 adr=02 act=997959BA2C96144C40 exp=997959BA2C96144C40
3878.50ns INFO [00003880] Port=0 RD @06
3878.50ns INFO [00003880] Port=1 RD @01
3879.50ns INFO [00003881] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62
3879.50ns INFO [00003881] Port=0 WR @01=76AC585DE24B8F0B37
3879.50ns INFO [00003881] Port=0 RD @00
3879.50ns INFO [00003881] Port=1 RD @03
3880.50ns INFO [00003882] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3880.50ns INFO [00003882] * RD COMPARE * port=1 adr=01 act=0D50DB6778915F4A43 exp=0D50DB6778915F4A43
3880.50ns INFO [00003882] Port=1 RD @03
3881.50ns INFO [00003883] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62
3881.50ns INFO [00003883] * RD COMPARE * port=1 adr=03 act=499101D7A4E35A8623 exp=499101D7A4E35A8623
3882.50ns INFO [00003884] * RD COMPARE * port=1 adr=03 act=499101D7A4E35A8623 exp=499101D7A4E35A8623
3882.50ns INFO [00003884] Port=0 RD @07
3884.50ns INFO [00003886] * RD COMPARE * port=0 adr=07 act=08ECF70054223ED0E7 exp=08ECF70054223ED0E7
3884.50ns INFO [00003886] Port=0 WR @01=69FFB74C9BCD957E8D
3884.50ns INFO [00003886] Port=0 RD @05
3886.50ns INFO [00003888] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723
3886.50ns INFO [00003888] Port=0 WR @01=9AFE18226D33D27EF3
3887.50ns INFO [00003889] Port=1 RD @02
3888.50ns INFO [00003890] Port=0 RD @02
3889.50ns INFO [00003891] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3889.50ns INFO [00003891] Port=0 RD @06
3890.50ns INFO [00003892] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3891.50ns INFO [00003893] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3892.50ns INFO [00003894] Port=0 WR @01=BBF95A3A9392AA6314
3893.50ns INFO [00003895] Port=0 WR @07=3AF50D27DA921F0B72
3893.50ns INFO [00003895] Port=1 RD @06
3895.50ns INFO [00003897] * RD COMPARE * port=1 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3895.50ns INFO [00003897] Port=0 RD @00
3896.50ns INFO [00003898] Port=0 WR @00=52D607B26847BE60A3
3897.50ns INFO [00003899] * RD COMPARE * port=0 adr=00 act=75F51F02674AF80A62 exp=75F51F02674AF80A62
3898.00ns INFO [00003900] [00003900] ...tick...
3898.50ns INFO [00003900] Port=1 RD @04
3899.50ns INFO [00003901] Port=0 RD @06
3900.50ns INFO [00003902] * RD COMPARE * port=1 adr=04 act=624308D65804A1BFB4 exp=624308D65804A1BFB4
3900.50ns INFO [00003902] Port=0 WR @07=1F38E59FE6AF6839E5
3900.50ns INFO [00003902] Port=0 RD @06
3900.50ns INFO [00003902] Port=1 RD @02
3901.50ns INFO [00003903] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3901.50ns INFO [00003903] Port=0 WR @04=4841EF58FDC52502B0
3902.50ns INFO [00003904] * RD COMPARE * port=0 adr=06 act=B94332CC923D6CA411 exp=B94332CC923D6CA411
3902.50ns INFO [00003904] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3902.50ns INFO [00003904] Port=0 WR @03=2082FD73FF7DF6A7B7
3903.50ns INFO [00003905] Port=0 RD @00
3904.50ns INFO [00003906] Port=0 WR @04=3CC5FCF2262A36BD58
3905.50ns INFO [00003907] * RD COMPARE * port=0 adr=00 act=52D607B26847BE60A3 exp=52D607B26847BE60A3
3905.50ns INFO [00003907] Port=0 WR @06=C568388376BA37AD29
3906.50ns INFO [00003908] Port=0 RD @05
3908.50ns INFO [00003910] * RD COMPARE * port=0 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723
3908.50ns INFO [00003910] Port=0 RD @03
3908.50ns INFO [00003910] Port=1 RD @02
3909.50ns INFO [00003911] Port=0 WR @00=A3191E2FA7FA71D36B
3909.50ns INFO [00003911] Port=1 RD @07
3910.50ns INFO [00003912] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7
3910.50ns INFO [00003912] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3911.50ns INFO [00003913] * RD COMPARE * port=1 adr=07 act=1F38E59FE6AF6839E5 exp=1F38E59FE6AF6839E5
3911.50ns INFO [00003913] Port=0 RD @02
3913.50ns INFO [00003915] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3913.50ns INFO [00003915] Port=0 WR @07=A5B85DA4161E795666
3913.50ns INFO [00003915] Port=1 RD @01
3914.50ns INFO [00003916] Port=0 WR @06=3C9B7DD8E2B77E0D29
3914.50ns INFO [00003916] Port=0 RD @01
3914.50ns INFO [00003916] Port=1 RD @04
3915.50ns INFO [00003917] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3915.50ns INFO [00003917] Port=0 RD @07
3915.50ns INFO [00003917] Port=1 RD @03
3916.50ns INFO [00003918] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3916.50ns INFO [00003918] * RD COMPARE * port=1 adr=04 act=3CC5FCF2262A36BD58 exp=3CC5FCF2262A36BD58
3917.50ns INFO [00003919] * RD COMPARE * port=0 adr=07 act=A5B85DA4161E795666 exp=A5B85DA4161E795666
3917.50ns INFO [00003919] * RD COMPARE * port=1 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7
3917.50ns INFO [00003919] Port=0 RD @06
3917.50ns INFO [00003919] Port=1 RD @05
3918.50ns INFO [00003920] Port=0 WR @07=249A89F946261BD2B2
3919.50ns INFO [00003921] * RD COMPARE * port=0 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29
3919.50ns INFO [00003921] * RD COMPARE * port=1 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723
3919.50ns INFO [00003921] Port=0 WR @04=06D93A77B953C914FD
3919.50ns INFO [00003921] Port=1 RD @05
3921.50ns INFO [00003923] * RD COMPARE * port=1 adr=05 act=84FE44C7A04F301723 exp=84FE44C7A04F301723
3921.50ns INFO [00003923] Port=0 WR @04=A29BA586F1BCB34BE2
3923.50ns INFO [00003925] Port=0 RD @03
3925.50ns INFO [00003927] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7
3925.50ns INFO [00003927] Port=0 RD @00
3926.50ns INFO [00003928] Port=0 RD @01
3926.50ns INFO [00003928] Port=1 RD @04
3927.50ns INFO [00003929] * RD COMPARE * port=0 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B
3927.50ns INFO [00003929] Port=0 WR @04=31ED11427CAD659B5B
3927.50ns INFO [00003929] Port=0 RD @06
3928.50ns INFO [00003930] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3928.50ns INFO [00003930] * RD COMPARE * port=1 adr=04 act=A29BA586F1BCB34BE2 exp=A29BA586F1BCB34BE2
3928.50ns INFO [00003930] Port=0 WR @05=A21355ABB91FFEB27F
3929.50ns INFO [00003931] * RD COMPARE * port=0 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29
3929.50ns INFO [00003931] Port=1 RD @07
3930.50ns INFO [00003932] Port=0 RD @00
3930.50ns INFO [00003932] Port=1 RD @01
3931.50ns INFO [00003933] * RD COMPARE * port=1 adr=07 act=249A89F946261BD2B2 exp=249A89F946261BD2B2
3931.50ns INFO [00003933] Port=1 RD @02
3932.50ns INFO [00003934] * RD COMPARE * port=0 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B
3932.50ns INFO [00003934] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3932.50ns INFO [00003934] Port=0 WR @05=37DFEBA4D3F7C59D4C
3932.50ns INFO [00003934] Port=0 RD @02
3933.50ns INFO [00003935] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3933.50ns INFO [00003935] Port=1 RD @00
3934.50ns INFO [00003936] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3934.50ns INFO [00003936] Port=0 WR @05=D0CDA62EB0AB278E12
3935.50ns INFO [00003937] * RD COMPARE * port=1 adr=00 act=A3191E2FA7FA71D36B exp=A3191E2FA7FA71D36B
3935.50ns INFO [00003937] Port=0 WR @04=18474BBFEAD7E1D527
3935.50ns INFO [00003937] Port=0 RD @03
3936.50ns INFO [00003938] Port=0 WR @00=C1569A37EEC8722A96
3936.50ns INFO [00003938] Port=1 RD @07
3937.50ns INFO [00003939] * RD COMPARE * port=0 adr=03 act=2082FD73FF7DF6A7B7 exp=2082FD73FF7DF6A7B7
3938.50ns INFO [00003940] * RD COMPARE * port=1 adr=07 act=249A89F946261BD2B2 exp=249A89F946261BD2B2
3938.50ns INFO [00003940] Port=0 RD @00
3939.50ns INFO [00003941] Port=0 WR @07=DABF362F927993D878
3939.50ns INFO [00003941] Port=1 RD @06
3940.50ns INFO [00003942] * RD COMPARE * port=0 adr=00 act=C1569A37EEC8722A96 exp=C1569A37EEC8722A96
3940.50ns INFO [00003942] Port=1 RD @04
3941.50ns INFO [00003943] * RD COMPARE * port=1 adr=06 act=3C9B7DD8E2B77E0D29 exp=3C9B7DD8E2B77E0D29
3942.50ns INFO [00003944] * RD COMPARE * port=1 adr=04 act=18474BBFEAD7E1D527 exp=18474BBFEAD7E1D527
3942.50ns INFO [00003944] Port=0 RD @00
3943.50ns INFO [00003945] Port=0 WR @05=70971A0108779FE9E5
3944.50ns INFO [00003946] * RD COMPARE * port=0 adr=00 act=C1569A37EEC8722A96 exp=C1569A37EEC8722A96
3944.50ns INFO [00003946] Port=0 RD @02
3945.50ns INFO [00003947] Port=1 RD @01
3946.50ns INFO [00003948] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3946.50ns INFO [00003948] Port=0 WR @03=2ADCBCFDD7D3EBCA5E
3947.50ns INFO [00003949] * RD COMPARE * port=1 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3947.50ns INFO [00003949] Port=0 WR @00=CB82D09B1B49E15668
3947.50ns INFO [00003949] Port=0 RD @03
3948.50ns INFO [00003950] Port=0 WR @05=267B9A95362D2028FD
3949.50ns INFO [00003951] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3949.50ns INFO [00003951] Port=0 WR @00=5415A41A85AC27352E
3950.50ns INFO [00003952] Port=0 WR @00=99710AC2C96B14AAE5
3951.50ns INFO [00003953] Port=0 RD @04
3951.50ns INFO [00003953] Port=1 RD @00
3952.50ns INFO [00003954] Port=0 RD @01
3953.50ns INFO [00003955] * RD COMPARE * port=0 adr=04 act=18474BBFEAD7E1D527 exp=18474BBFEAD7E1D527
3953.50ns INFO [00003955] * RD COMPARE * port=1 adr=00 act=99710AC2C96B14AAE5 exp=99710AC2C96B14AAE5
3954.50ns INFO [00003956] * RD COMPARE * port=0 adr=01 act=BBF95A3A9392AA6314 exp=BBF95A3A9392AA6314
3954.50ns INFO [00003956] Port=0 WR @06=9B9A537FC4ED9573D2
3954.50ns INFO [00003956] Port=0 RD @03
3955.50ns INFO [00003957] Port=0 WR @01=138FE3B4C9DDD2A5E7
3955.50ns INFO [00003957] Port=0 RD @06
3956.50ns INFO [00003958] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3957.50ns INFO [00003959] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3957.50ns INFO [00003959] Port=0 WR @04=F51B40AB6FE7DF4721
3957.50ns INFO [00003959] Port=0 RD @03
3957.50ns INFO [00003959] Port=1 RD @05
3959.50ns INFO [00003961] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3959.50ns INFO [00003961] * RD COMPARE * port=1 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD
3959.50ns INFO [00003961] Port=0 RD @07
3959.50ns INFO [00003961] Port=1 RD @02
3960.50ns INFO [00003962] Port=0 RD @07
3961.50ns INFO [00003963] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3961.50ns INFO [00003963] * RD COMPARE * port=1 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3961.50ns INFO [00003963] Port=0 RD @03
3962.50ns INFO [00003964] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3962.50ns INFO [00003964] Port=0 WR @04=E0554543385B81C70B
3962.50ns INFO [00003964] Port=0 RD @05
3963.50ns INFO [00003965] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3963.50ns INFO [00003965] Port=0 WR @01=DF43F5C0E297E2D1E3
3964.50ns INFO [00003966] * RD COMPARE * port=0 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD
3964.50ns INFO [00003966] Port=1 RD @07
3965.50ns INFO [00003967] Port=0 WR @04=4F1FFDB9A31BA3F4E2
3965.50ns INFO [00003967] Port=1 RD @06
3966.50ns INFO [00003968] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3966.50ns INFO [00003968] Port=0 WR @04=429B936336FE590DDA
3966.50ns INFO [00003968] Port=0 RD @03
3966.50ns INFO [00003968] Port=1 RD @07
3967.50ns INFO [00003969] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3968.50ns INFO [00003970] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3968.50ns INFO [00003970] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3968.50ns INFO [00003970] Port=0 RD @00
3969.50ns INFO [00003971] Port=0 RD @03
3970.50ns INFO [00003972] * RD COMPARE * port=0 adr=00 act=99710AC2C96B14AAE5 exp=99710AC2C96B14AAE5
3971.50ns INFO [00003973] * RD COMPARE * port=0 adr=03 act=2ADCBCFDD7D3EBCA5E exp=2ADCBCFDD7D3EBCA5E
3971.50ns INFO [00003973] Port=1 RD @06
3972.50ns INFO [00003974] Port=0 RD @01
3973.50ns INFO [00003975] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3973.50ns INFO [00003975] Port=0 RD @02
3974.50ns INFO [00003976] * RD COMPARE * port=0 adr=01 act=DF43F5C0E297E2D1E3 exp=DF43F5C0E297E2D1E3
3974.50ns INFO [00003976] Port=0 WR @00=C646C583DDAFD819E5
3975.50ns INFO [00003977] * RD COMPARE * port=0 adr=02 act=032C7123DC93818DEB exp=032C7123DC93818DEB
3975.50ns INFO [00003977] Port=1 RD @05
3976.50ns INFO [00003978] Port=0 WR @03=DBEA87AD2DF632F6E4
3976.50ns INFO [00003978] Port=0 RD @04
3977.50ns INFO [00003979] * RD COMPARE * port=1 adr=05 act=267B9A95362D2028FD exp=267B9A95362D2028FD
3977.50ns INFO [00003979] Port=1 RD @06
3978.50ns INFO [00003980] * RD COMPARE * port=0 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA
3978.50ns INFO [00003980] Port=0 WR @01=134BEB21D73AFBB6C4
3978.50ns INFO [00003980] Port=0 RD @06
3979.50ns INFO [00003981] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3980.50ns INFO [00003982] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3981.50ns INFO [00003983] Port=0 WR @02=3D6E84BC0D61855249
3981.50ns INFO [00003983] Port=1 RD @07
3982.50ns INFO [00003984] Port=0 RD @00
3983.50ns INFO [00003985] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3983.50ns INFO [00003985] Port=0 WR @05=50547D1DB558D3287F
3983.50ns INFO [00003985] Port=0 RD @06
3983.50ns INFO [00003985] Port=1 RD @06
3984.50ns INFO [00003986] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
3984.50ns INFO [00003986] Port=0 RD @04
3984.50ns INFO [00003986] Port=1 RD @04
3985.50ns INFO [00003987] * RD COMPARE * port=0 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3985.50ns INFO [00003987] * RD COMPARE * port=1 adr=06 act=9B9A537FC4ED9573D2 exp=9B9A537FC4ED9573D2
3985.50ns INFO [00003987] Port=0 WR @02=ED86084FFC398F66EB
3985.50ns INFO [00003987] Port=0 RD @03
3986.50ns INFO [00003988] * RD COMPARE * port=0 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA
3986.50ns INFO [00003988] * RD COMPARE * port=1 adr=04 act=429B936336FE590DDA exp=429B936336FE590DDA
3986.50ns INFO [00003988] Port=0 RD @02
3987.50ns INFO [00003989] * RD COMPARE * port=0 adr=03 act=DBEA87AD2DF632F6E4 exp=DBEA87AD2DF632F6E4
3987.50ns INFO [00003989] Port=0 WR @04=CF645EBD3628C37590
3987.50ns INFO [00003989] Port=0 RD @02
3988.50ns INFO [00003990] * RD COMPARE * port=0 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB
3988.50ns INFO [00003990] Port=0 WR @06=3EDFA5B6798D3CCF79
3988.50ns INFO [00003990] Port=0 RD @03
3989.50ns INFO [00003991] * RD COMPARE * port=0 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB
3989.50ns INFO [00003991] Port=1 RD @02
3990.50ns INFO [00003992] * RD COMPARE * port=0 adr=03 act=DBEA87AD2DF632F6E4 exp=DBEA87AD2DF632F6E4
3990.50ns INFO [00003992] Port=0 WR @04=6930D56FA66AFBDCA6
3990.50ns INFO [00003992] Port=0 RD @01
3990.50ns INFO [00003992] Port=1 RD @07
3991.50ns INFO [00003993] * RD COMPARE * port=1 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB
3991.50ns INFO [00003993] Port=0 WR @03=7B41E6BA8160817894
3991.50ns INFO [00003993] Port=0 RD @05
3991.50ns INFO [00003993] Port=1 RD @04
3992.50ns INFO [00003994] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
3992.50ns INFO [00003994] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3992.50ns INFO [00003994] Port=0 RD @07
3993.50ns INFO [00003995] * RD COMPARE * port=0 adr=05 act=50547D1DB558D3287F exp=50547D1DB558D3287F
3993.50ns INFO [00003995] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6
3994.50ns INFO [00003996] * RD COMPARE * port=0 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
3995.50ns INFO [00003997] Port=1 RD @01
3997.50ns INFO [00003999] * RD COMPARE * port=1 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
3997.50ns INFO [00003999] Port=0 WR @05=310954B08857E1A0FD
3998.00ns INFO [00004000] [00004000] ...tick...
3998.50ns INFO [00004000] Port=0 RD @06
3999.50ns INFO [00004001] Port=0 RD @00
3999.50ns INFO [00004001] Port=1 RD @00
4000.50ns INFO [00004002] * RD COMPARE * port=0 adr=06 act=3EDFA5B6798D3CCF79 exp=3EDFA5B6798D3CCF79
4000.50ns INFO [00004002] Port=1 RD @02
4001.50ns INFO [00004003] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4001.50ns INFO [00004003] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4001.50ns INFO [00004003] Port=0 WR @05=265C682F908696983C
4001.50ns INFO [00004003] Port=0 RD @01
4002.50ns INFO [00004004] * RD COMPARE * port=1 adr=02 act=ED86084FFC398F66EB exp=ED86084FFC398F66EB
4002.50ns INFO [00004004] Port=0 WR @05=3F763400FD3FD76B57
4003.50ns INFO [00004005] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
4003.50ns INFO [00004005] Port=1 RD @07
4004.50ns INFO [00004006] Port=0 RD @00
4004.50ns INFO [00004006] Port=1 RD @04
4005.50ns INFO [00004007] * RD COMPARE * port=1 adr=07 act=DABF362F927993D878 exp=DABF362F927993D878
4005.50ns INFO [00004007] Port=0 WR @07=2926C02124704B5973
4005.50ns INFO [00004007] Port=1 RD @01
4006.50ns INFO [00004008] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4006.50ns INFO [00004008] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6
4006.50ns INFO [00004008] Port=1 RD @04
4007.50ns INFO [00004009] * RD COMPARE * port=1 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
4007.50ns INFO [00004009] Port=0 WR @03=96FB99E5138FAA507A
4008.50ns INFO [00004010] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6
4008.50ns INFO [00004010] Port=0 WR @03=0832A58D5B8410F82D
4009.50ns INFO [00004011] Port=0 WR @06=E3A84907AA7A389117
4009.50ns INFO [00004011] Port=1 RD @03
4011.50ns INFO [00004013] * RD COMPARE * port=1 adr=03 act=0832A58D5B8410F82D exp=0832A58D5B8410F82D
4011.50ns INFO [00004013] Port=0 WR @07=DDC373A6AAF49BDE68
4011.50ns INFO [00004013] Port=1 RD @05
4012.50ns INFO [00004014] Port=0 RD @01
4013.50ns INFO [00004015] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57
4013.50ns INFO [00004015] Port=1 RD @05
4014.50ns INFO [00004016] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
4015.50ns INFO [00004017] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57
4015.50ns INFO [00004017] Port=0 RD @01
4016.50ns INFO [00004018] Port=1 RD @04
4017.50ns INFO [00004019] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
4017.50ns INFO [00004019] Port=0 RD @03
4017.50ns INFO [00004019] Port=1 RD @04
4018.50ns INFO [00004020] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6
4018.50ns INFO [00004020] Port=0 WR @03=3E91DED18E80891806
4018.50ns INFO [00004020] Port=0 RD @07
4018.50ns INFO [00004020] Port=1 RD @00
4019.50ns INFO [00004021] * RD COMPARE * port=0 adr=03 act=0832A58D5B8410F82D exp=0832A58D5B8410F82D
4019.50ns INFO [00004021] * RD COMPARE * port=1 adr=04 act=6930D56FA66AFBDCA6 exp=6930D56FA66AFBDCA6
4019.50ns INFO [00004021] Port=0 WR @03=40ABAC8BC4176EA309
4019.50ns INFO [00004021] Port=0 RD @01
4019.50ns INFO [00004021] Port=1 RD @06
4020.50ns INFO [00004022] * RD COMPARE * port=0 adr=07 act=DDC373A6AAF49BDE68 exp=DDC373A6AAF49BDE68
4020.50ns INFO [00004022] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4020.50ns INFO [00004022] Port=0 WR @07=50291BFDC24D1B2BAC
4020.50ns INFO [00004022] Port=1 RD @05
4021.50ns INFO [00004023] * RD COMPARE * port=0 adr=01 act=134BEB21D73AFBB6C4 exp=134BEB21D73AFBB6C4
4021.50ns INFO [00004023] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117
4021.50ns INFO [00004023] Port=1 RD @03
4022.50ns INFO [00004024] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57
4022.50ns INFO [00004024] Port=0 RD @03
4022.50ns INFO [00004024] Port=1 RD @06
4023.50ns INFO [00004025] * RD COMPARE * port=1 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309
4023.50ns INFO [00004025] Port=0 WR @02=7BA902851B0948C990
4023.50ns INFO [00004025] Port=1 RD @05
4024.50ns INFO [00004026] * RD COMPARE * port=0 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309
4024.50ns INFO [00004026] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117
4024.50ns INFO [00004026] Port=0 WR @05=699743F3635F714A28
4024.50ns INFO [00004026] Port=0 RD @07
4025.50ns INFO [00004027] * RD COMPARE * port=1 adr=05 act=3F763400FD3FD76B57 exp=3F763400FD3FD76B57
4026.50ns INFO [00004028] * RD COMPARE * port=0 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC
4027.50ns INFO [00004029] Port=0 WR @05=85DA4DD3CDB375092C
4027.50ns INFO [00004029] Port=1 RD @03
4028.50ns INFO [00004030] Port=0 WR @04=EA2DD9B4ABD88F9425
4028.50ns INFO [00004030] Port=1 RD @07
4029.50ns INFO [00004031] * RD COMPARE * port=1 adr=03 act=40ABAC8BC4176EA309 exp=40ABAC8BC4176EA309
4029.50ns INFO [00004031] Port=0 WR @05=397A8716CD5F31E8DA
4030.50ns INFO [00004032] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC
4030.50ns INFO [00004032] Port=0 RD @04
4032.50ns INFO [00004034] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4032.50ns INFO [00004034] Port=0 WR @03=2DD9309CD8D7DC80A7
4035.50ns INFO [00004037] Port=0 WR @01=AF3C50E0ADFCEA5B03
4035.50ns INFO [00004037] Port=1 RD @04
4036.50ns INFO [00004038] Port=0 WR @01=D1CA9B394024F5211F
4036.50ns INFO [00004038] Port=1 RD @00
4037.50ns INFO [00004039] * RD COMPARE * port=1 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4038.50ns INFO [00004040] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4038.50ns INFO [00004040] Port=0 RD @04
4038.50ns INFO [00004040] Port=1 RD @07
4039.50ns INFO [00004041] Port=0 WR @05=98749DB1C956E35EE0
4040.50ns INFO [00004042] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4040.50ns INFO [00004042] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC
4040.50ns INFO [00004042] Port=0 RD @04
4040.50ns INFO [00004042] Port=1 RD @00
4042.50ns INFO [00004044] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4042.50ns INFO [00004044] * RD COMPARE * port=1 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4042.50ns INFO [00004044] Port=1 RD @01
4043.50ns INFO [00004045] Port=1 RD @07
4044.50ns INFO [00004046] * RD COMPARE * port=1 adr=01 act=D1CA9B394024F5211F exp=D1CA9B394024F5211F
4044.50ns INFO [00004046] Port=0 WR @02=515D17B5FBEF2B0677
4044.50ns INFO [00004046] Port=0 RD @06
4045.50ns INFO [00004047] * RD COMPARE * port=1 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC
4046.50ns INFO [00004048] * RD COMPARE * port=0 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117
4046.50ns INFO [00004048] Port=0 RD @05
4046.50ns INFO [00004048] Port=1 RD @02
4048.50ns INFO [00004050] * RD COMPARE * port=0 adr=05 act=98749DB1C956E35EE0 exp=98749DB1C956E35EE0
4048.50ns INFO [00004050] * RD COMPARE * port=1 adr=02 act=515D17B5FBEF2B0677 exp=515D17B5FBEF2B0677
4048.50ns INFO [00004050] Port=1 RD @06
4049.50ns INFO [00004051] Port=1 RD @05
4050.50ns INFO [00004052] * RD COMPARE * port=1 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117
4051.50ns INFO [00004053] * RD COMPARE * port=1 adr=05 act=98749DB1C956E35EE0 exp=98749DB1C956E35EE0
4051.50ns INFO [00004053] Port=0 RD @00
4053.50ns INFO [00004055] * RD COMPARE * port=0 adr=00 act=C646C583DDAFD819E5 exp=C646C583DDAFD819E5
4053.50ns INFO [00004055] Port=0 RD @03
4054.50ns INFO [00004056] Port=0 WR @00=BADA961CE14AACE717
4054.50ns INFO [00004056] Port=0 RD @07
4055.50ns INFO [00004057] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7
4056.50ns INFO [00004058] * RD COMPARE * port=0 adr=07 act=50291BFDC24D1B2BAC exp=50291BFDC24D1B2BAC
4056.50ns INFO [00004058] Port=0 WR @07=C64DA0477DF44125E0
4059.50ns INFO [00004061] Port=0 RD @06
4060.50ns INFO [00004062] Port=0 RD @03
4060.50ns INFO [00004062] Port=1 RD @00
4061.50ns INFO [00004063] * RD COMPARE * port=0 adr=06 act=E3A84907AA7A389117 exp=E3A84907AA7A389117
4062.50ns INFO [00004064] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7
4062.50ns INFO [00004064] * RD COMPARE * port=1 adr=00 act=BADA961CE14AACE717 exp=BADA961CE14AACE717
4063.50ns INFO [00004065] Port=0 WR @06=46DF1A6ACAFE2CFD0C
4064.50ns INFO [00004066] Port=0 WR @01=66464FDFB253BEC4C6
4064.50ns INFO [00004066] Port=1 RD @07
4066.50ns INFO [00004068] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0
4066.50ns INFO [00004068] Port=0 RD @04
4067.50ns INFO [00004069] Port=0 WR @01=F7B72ABA862BBBE33E
4067.50ns INFO [00004069] Port=0 RD @04
4068.50ns INFO [00004070] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4068.50ns INFO [00004070] Port=0 RD @00
4068.50ns INFO [00004070] Port=1 RD @07
4069.50ns INFO [00004071] * RD COMPARE * port=0 adr=04 act=EA2DD9B4ABD88F9425 exp=EA2DD9B4ABD88F9425
4069.50ns INFO [00004071] Port=1 RD @06
4070.50ns INFO [00004072] * RD COMPARE * port=0 adr=00 act=BADA961CE14AACE717 exp=BADA961CE14AACE717
4070.50ns INFO [00004072] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0
4070.50ns INFO [00004072] Port=0 WR @04=71753D6C7D1FA0132A
4070.50ns INFO [00004072] Port=0 RD @02
4070.50ns INFO [00004072] Port=1 RD @07
4071.50ns INFO [00004073] * RD COMPARE * port=1 adr=06 act=46DF1A6ACAFE2CFD0C exp=46DF1A6ACAFE2CFD0C
4071.50ns INFO [00004073] Port=0 RD @04
4072.50ns INFO [00004074] * RD COMPARE * port=0 adr=02 act=515D17B5FBEF2B0677 exp=515D17B5FBEF2B0677
4072.50ns INFO [00004074] * RD COMPARE * port=1 adr=07 act=C64DA0477DF44125E0 exp=C64DA0477DF44125E0
4072.50ns INFO [00004074] Port=0 RD @04
4073.50ns INFO [00004075] * RD COMPARE * port=0 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A
4074.50ns INFO [00004076] * RD COMPARE * port=0 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A
4075.50ns INFO [00004077] Port=0 WR @00=B38DFD67DD254F619E
4077.50ns INFO [00004079] Port=0 WR @06=FDDE3D177BEE58988B
4078.50ns INFO [00004080] Port=0 WR @02=703258C4B576BCE7F2
4078.50ns INFO [00004080] Port=0 RD @00
4080.50ns INFO [00004082] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E
4080.50ns INFO [00004082] Port=0 RD @02
4081.50ns INFO [00004083] Port=1 RD @04
4082.50ns INFO [00004084] * RD COMPARE * port=0 adr=02 act=703258C4B576BCE7F2 exp=703258C4B576BCE7F2
4082.50ns INFO [00004084] Port=0 WR @07=E3E5CE11340D738273
4082.50ns INFO [00004084] Port=0 RD @00
4083.50ns INFO [00004085] * RD COMPARE * port=1 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A
4083.50ns INFO [00004085] Port=0 WR @07=DC9B2A21BBC3AB582C
4083.50ns INFO [00004085] Port=0 RD @00
4084.50ns INFO [00004086] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E
4084.50ns INFO [00004086] Port=0 RD @07
4084.50ns INFO [00004086] Port=1 RD @03
4085.50ns INFO [00004087] * RD COMPARE * port=0 adr=00 act=B38DFD67DD254F619E exp=B38DFD67DD254F619E
4086.50ns INFO [00004088] * RD COMPARE * port=0 adr=07 act=DC9B2A21BBC3AB582C exp=DC9B2A21BBC3AB582C
4086.50ns INFO [00004088] * RD COMPARE * port=1 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7
4086.50ns INFO [00004088] Port=0 WR @07=8EDCDA0CEDEC55F115
4086.50ns INFO [00004088] Port=1 RD @02
4087.50ns INFO [00004089] Port=0 RD @07
4088.50ns INFO [00004090] * RD COMPARE * port=1 adr=02 act=703258C4B576BCE7F2 exp=703258C4B576BCE7F2
4088.50ns INFO [00004090] Port=0 WR @05=F36E4735A49B19A25A
4089.50ns INFO [00004091] * RD COMPARE * port=0 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115
4089.50ns INFO [00004091] Port=0 WR @00=EA72C4D0FAD1069634
4089.50ns INFO [00004091] Port=1 RD @04
4090.50ns INFO [00004092] Port=0 WR @02=44F30D30C66B3BEB56
4090.50ns INFO [00004092] Port=1 RD @06
4091.50ns INFO [00004093] * RD COMPARE * port=1 adr=04 act=71753D6C7D1FA0132A exp=71753D6C7D1FA0132A
4091.50ns INFO [00004093] Port=1 RD @06
4092.50ns INFO [00004094] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4092.50ns INFO [00004094] Port=1 RD @07
4093.50ns INFO [00004095] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4093.50ns INFO [00004095] Port=0 RD @01
4094.50ns INFO [00004096] * RD COMPARE * port=1 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115
4095.50ns INFO [00004097] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4096.50ns INFO [00004098] Port=0 RD @00
4096.50ns INFO [00004098] Port=1 RD @01
4098.00ns INFO [00004100] [00004100] ...tick...
4098.50ns INFO [00004100] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634
4098.50ns INFO [00004100] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4098.50ns INFO [00004100] Port=0 WR @02=6ABA7D4D3795676D9B
4099.50ns INFO [00004101] Port=0 WR @04=C8046BA8D134CC619A
4099.50ns INFO [00004101] Port=0 RD @00
4100.50ns INFO [00004102] Port=0 RD @00
4101.50ns INFO [00004103] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634
4101.50ns INFO [00004103] Port=0 WR @05=568B56C173437399A2
4101.50ns INFO [00004103] Port=1 RD @07
4102.50ns INFO [00004104] * RD COMPARE * port=0 adr=00 act=EA72C4D0FAD1069634 exp=EA72C4D0FAD1069634
4103.50ns INFO [00004105] * RD COMPARE * port=1 adr=07 act=8EDCDA0CEDEC55F115 exp=8EDCDA0CEDEC55F115
4103.50ns INFO [00004105] Port=0 WR @00=5A294D2CE0DD566FC8
4103.50ns INFO [00004105] Port=0 RD @05
4104.50ns INFO [00004106] Port=0 WR @07=8BEDB7070CAE39C950
4104.50ns INFO [00004106] Port=0 RD @06
4105.50ns INFO [00004107] * RD COMPARE * port=0 adr=05 act=568B56C173437399A2 exp=568B56C173437399A2
4105.50ns INFO [00004107] Port=0 RD @01
4105.50ns INFO [00004107] Port=1 RD @01
4106.50ns INFO [00004108] * RD COMPARE * port=0 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4106.50ns INFO [00004108] Port=0 RD @07
4107.50ns INFO [00004109] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4107.50ns INFO [00004109] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4107.50ns INFO [00004109] Port=1 RD @06
4108.50ns INFO [00004110] * RD COMPARE * port=0 adr=07 act=8BEDB7070CAE39C950 exp=8BEDB7070CAE39C950
4108.50ns INFO [00004110] Port=0 RD @00
4109.50ns INFO [00004111] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4109.50ns INFO [00004111] Port=0 RD @01
4110.50ns INFO [00004112] * RD COMPARE * port=0 adr=00 act=5A294D2CE0DD566FC8 exp=5A294D2CE0DD566FC8
4110.50ns INFO [00004112] Port=0 WR @05=E170645679093D628B
4110.50ns INFO [00004112] Port=0 RD @03
4111.50ns INFO [00004113] * RD COMPARE * port=0 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4112.50ns INFO [00004114] * RD COMPARE * port=0 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7
4115.50ns INFO [00004117] Port=0 WR @02=E4E557B5316DDD17BB
4116.50ns INFO [00004118] Port=0 RD @04
4117.50ns INFO [00004119] Port=0 WR @02=279928F13DC7028F9E
4118.50ns INFO [00004120] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A
4118.50ns INFO [00004120] Port=0 WR @00=06CF29C8CD72FAED92
4118.50ns INFO [00004120] Port=1 RD @07
4119.50ns INFO [00004121] Port=0 WR @00=67EF6CAA1693890C2E
4119.50ns INFO [00004121] Port=1 RD @06
4120.50ns INFO [00004122] * RD COMPARE * port=1 adr=07 act=8BEDB7070CAE39C950 exp=8BEDB7070CAE39C950
4120.50ns INFO [00004122] Port=0 RD @06
4121.50ns INFO [00004123] * RD COMPARE * port=1 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4121.50ns INFO [00004123] Port=1 RD @01
4122.50ns INFO [00004124] * RD COMPARE * port=0 adr=06 act=FDDE3D177BEE58988B exp=FDDE3D177BEE58988B
4122.50ns INFO [00004124] Port=0 RD @04
4123.50ns INFO [00004125] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4123.50ns INFO [00004125] Port=0 WR @07=5992D7CA939A48B938
4123.50ns INFO [00004125] Port=0 RD @04
4123.50ns INFO [00004125] Port=1 RD @03
4124.50ns INFO [00004126] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A
4125.50ns INFO [00004127] * RD COMPARE * port=0 adr=04 act=C8046BA8D134CC619A exp=C8046BA8D134CC619A
4125.50ns INFO [00004127] * RD COMPARE * port=1 adr=03 act=2DD9309CD8D7DC80A7 exp=2DD9309CD8D7DC80A7
4125.50ns INFO [00004127] Port=0 RD @02
4125.50ns INFO [00004127] Port=1 RD @05
4126.50ns INFO [00004128] Port=0 WR @06=550E58DD51892ED55E
4127.50ns INFO [00004129] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4127.50ns INFO [00004129] * RD COMPARE * port=1 adr=05 act=E170645679093D628B exp=E170645679093D628B
4129.50ns INFO [00004131] Port=0 RD @05
4130.50ns INFO [00004132] Port=1 RD @01
4131.50ns INFO [00004133] * RD COMPARE * port=0 adr=05 act=E170645679093D628B exp=E170645679093D628B
4131.50ns INFO [00004133] Port=0 WR @03=CFA86E0C82E96E1E32
4132.50ns INFO [00004134] * RD COMPARE * port=1 adr=01 act=F7B72ABA862BBBE33E exp=F7B72ABA862BBBE33E
4132.50ns INFO [00004134] Port=1 RD @02
4134.50ns INFO [00004136] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4134.50ns INFO [00004136] Port=1 RD @03
4135.50ns INFO [00004137] Port=0 WR @05=D7C8CBC8529C75A03A
4135.50ns INFO [00004137] Port=0 RD @00
4136.50ns INFO [00004138] * RD COMPARE * port=1 adr=03 act=CFA86E0C82E96E1E32 exp=CFA86E0C82E96E1E32
4136.50ns INFO [00004138] Port=0 WR @07=4FC0709E03B750EB3B
4136.50ns INFO [00004138] Port=1 RD @06
4137.50ns INFO [00004139] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4138.50ns INFO [00004140] * RD COMPARE * port=1 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E
4139.50ns INFO [00004141] Port=0 RD @02
4139.50ns INFO [00004141] Port=1 RD @00
4140.50ns INFO [00004142] Port=0 RD @00
4141.50ns INFO [00004143] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4141.50ns INFO [00004143] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4141.50ns INFO [00004143] Port=0 WR @01=9CE312F6A234BBC31E
4141.50ns INFO [00004143] Port=0 RD @06
4142.50ns INFO [00004144] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4142.50ns INFO [00004144] Port=1 RD @00
4143.50ns INFO [00004145] * RD COMPARE * port=0 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E
4143.50ns INFO [00004145] Port=1 RD @02
4144.50ns INFO [00004146] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4144.50ns INFO [00004146] Port=0 RD @02
4145.50ns INFO [00004147] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4145.50ns INFO [00004147] Port=0 WR @05=0006FAEFE5FFAE90D0
4145.50ns INFO [00004147] Port=1 RD @07
4146.50ns INFO [00004148] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4146.50ns INFO [00004148] Port=0 WR @01=4418E4652FB53689B5
4147.50ns INFO [00004149] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B
4147.50ns INFO [00004149] Port=0 RD @00
4147.50ns INFO [00004149] Port=1 RD @05
4148.50ns INFO [00004150] Port=0 WR @04=CDB7811F5D957D50BC
4148.50ns INFO [00004150] Port=0 RD @05
4149.50ns INFO [00004151] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4149.50ns INFO [00004151] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4149.50ns INFO [00004151] Port=1 RD @06
4150.50ns INFO [00004152] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4151.50ns INFO [00004153] * RD COMPARE * port=1 adr=06 act=550E58DD51892ED55E exp=550E58DD51892ED55E
4151.50ns INFO [00004153] Port=1 RD @07
4152.50ns INFO [00004154] Port=0 WR @01=327F0320EA6542D934
4152.50ns INFO [00004154] Port=0 RD @04
4153.50ns INFO [00004155] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B
4153.50ns INFO [00004155] Port=1 RD @04
4154.50ns INFO [00004156] * RD COMPARE * port=0 adr=04 act=CDB7811F5D957D50BC exp=CDB7811F5D957D50BC
4155.50ns INFO [00004157] * RD COMPARE * port=1 adr=04 act=CDB7811F5D957D50BC exp=CDB7811F5D957D50BC
4156.50ns INFO [00004158] Port=0 RD @05
4156.50ns INFO [00004158] Port=1 RD @00
4158.50ns INFO [00004160] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4158.50ns INFO [00004160] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4158.50ns INFO [00004160] Port=0 WR @04=FFF0B042D8E6EB0E48
4158.50ns INFO [00004160] Port=1 RD @01
4160.50ns INFO [00004162] * RD COMPARE * port=1 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934
4160.50ns INFO [00004162] Port=0 RD @00
4160.50ns INFO [00004162] Port=1 RD @04
4161.50ns INFO [00004163] Port=1 RD @02
4162.50ns INFO [00004164] * RD COMPARE * port=0 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4162.50ns INFO [00004164] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4162.50ns INFO [00004164] Port=1 RD @04
4163.50ns INFO [00004165] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4163.50ns INFO [00004165] Port=0 WR @06=15BE95517375AEB942
4164.50ns INFO [00004166] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4164.50ns INFO [00004166] Port=1 RD @00
4166.50ns INFO [00004168] * RD COMPARE * port=1 adr=00 act=67EF6CAA1693890C2E exp=67EF6CAA1693890C2E
4166.50ns INFO [00004168] Port=0 WR @03=1E3D32CA170B737741
4166.50ns INFO [00004168] Port=0 RD @01
4167.50ns INFO [00004169] Port=1 RD @03
4168.50ns INFO [00004170] * RD COMPARE * port=0 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934
4168.50ns INFO [00004170] Port=0 RD @07
4169.50ns INFO [00004171] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4169.50ns INFO [00004171] Port=0 RD @03
4169.50ns INFO [00004171] Port=1 RD @04
4170.50ns INFO [00004172] * RD COMPARE * port=0 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B
4170.50ns INFO [00004172] Port=0 RD @04
4170.50ns INFO [00004172] Port=1 RD @01
4171.50ns INFO [00004173] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4171.50ns INFO [00004173] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4171.50ns INFO [00004173] Port=0 RD @02
4172.50ns INFO [00004174] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4172.50ns INFO [00004174] * RD COMPARE * port=1 adr=01 act=327F0320EA6542D934 exp=327F0320EA6542D934
4172.50ns INFO [00004174] Port=1 RD @04
4173.50ns INFO [00004175] * RD COMPARE * port=0 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4174.50ns INFO [00004176] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4174.50ns INFO [00004176] Port=0 WR @01=3D833733F5C4CD3911
4174.50ns INFO [00004176] Port=1 RD @05
4175.50ns INFO [00004177] Port=0 RD @03
4175.50ns INFO [00004177] Port=1 RD @05
4176.50ns INFO [00004178] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4176.50ns INFO [00004178] Port=0 RD @05
4176.50ns INFO [00004178] Port=1 RD @03
4177.50ns INFO [00004179] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4177.50ns INFO [00004179] * RD COMPARE * port=1 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4177.50ns INFO [00004179] Port=1 RD @07
4178.50ns INFO [00004180] * RD COMPARE * port=0 adr=05 act=0006FAEFE5FFAE90D0 exp=0006FAEFE5FFAE90D0
4178.50ns INFO [00004180] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4178.50ns INFO [00004180] Port=0 WR @06=68674842FF206127A7
4179.50ns INFO [00004181] * RD COMPARE * port=1 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B
4179.50ns INFO [00004181] Port=1 RD @02
4180.50ns INFO [00004182] Port=0 WR @00=343ED1483D392C99B9
4181.50ns INFO [00004183] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4181.50ns INFO [00004183] Port=0 RD @06
4183.50ns INFO [00004185] * RD COMPARE * port=0 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7
4183.50ns INFO [00004185] Port=1 RD @02
4185.50ns INFO [00004187] * RD COMPARE * port=1 adr=02 act=279928F13DC7028F9E exp=279928F13DC7028F9E
4185.50ns INFO [00004187] Port=0 WR @02=FC803B2A0E071EC378
4185.50ns INFO [00004187] Port=0 RD @07
4186.50ns INFO [00004188] Port=0 WR @05=24E23C1020EDF8F958
4186.50ns INFO [00004188] Port=0 RD @03
4187.50ns INFO [00004189] * RD COMPARE * port=0 adr=07 act=4FC0709E03B750EB3B exp=4FC0709E03B750EB3B
4187.50ns INFO [00004189] Port=1 RD @03
4188.50ns INFO [00004190] * RD COMPARE * port=0 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4189.50ns INFO [00004191] * RD COMPARE * port=1 adr=03 act=1E3D32CA170B737741 exp=1E3D32CA170B737741
4190.50ns INFO [00004192] Port=1 RD @05
4192.50ns INFO [00004194] * RD COMPARE * port=1 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958
4192.50ns INFO [00004194] Port=0 RD @02
4193.50ns INFO [00004195] Port=0 RD @05
4194.50ns INFO [00004196] * RD COMPARE * port=0 adr=02 act=FC803B2A0E071EC378 exp=FC803B2A0E071EC378
4194.50ns INFO [00004196] Port=0 WR @02=3868B4264FCB6214D1
4194.50ns INFO [00004196] Port=0 RD @05
4195.50ns INFO [00004197] * RD COMPARE * port=0 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958
4196.50ns INFO [00004198] * RD COMPARE * port=0 adr=05 act=24E23C1020EDF8F958 exp=24E23C1020EDF8F958
4196.50ns INFO [00004198] Port=0 WR @02=02F625A6C4F396BF37
4197.50ns INFO [00004199] Port=0 WR @01=2FC529A6FBCFC0E50E
4197.50ns INFO [00004199] Port=1 RD @06
4198.00ns INFO [00004200] [00004200] ...tick...
4198.50ns INFO [00004200] Port=1 RD @06
4199.50ns INFO [00004201] * RD COMPARE * port=1 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7
4199.50ns INFO [00004201] Port=0 WR @05=CF7B013FB5DFA48C73
4200.50ns INFO [00004202] * RD COMPARE * port=1 adr=06 act=68674842FF206127A7 exp=68674842FF206127A7
4201.50ns INFO [00004203] Port=0 RD @00
4201.50ns INFO [00004203] Port=1 RD @05
4202.50ns INFO [00004204] Port=0 WR @02=1A7D1E0E58B09C7D38
4203.50ns INFO [00004205] * RD COMPARE * port=0 adr=00 act=343ED1483D392C99B9 exp=343ED1483D392C99B9
4203.50ns INFO [00004205] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4203.50ns INFO [00004205] Port=1 RD @02
4205.50ns INFO [00004207] * RD COMPARE * port=1 adr=02 act=1A7D1E0E58B09C7D38 exp=1A7D1E0E58B09C7D38
4206.50ns INFO [00004208] Port=0 WR @06=90CE01745B2DC15D89
4206.50ns INFO [00004208] Port=1 RD @01
4207.50ns INFO [00004209] Port=0 WR @00=E7F0E96ACA03BC02DC
4208.50ns INFO [00004210] * RD COMPARE * port=1 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E
4208.50ns INFO [00004210] Port=0 WR @03=03DB2C9FAC726A3C7F
4208.50ns INFO [00004210] Port=0 RD @04
4209.50ns INFO [00004211] Port=0 WR @06=46ECB5EA74077AA9F7
4209.50ns INFO [00004211] Port=1 RD @04
4210.50ns INFO [00004212] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4211.50ns INFO [00004213] * RD COMPARE * port=1 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4211.50ns INFO [00004213] Port=0 RD @06
4212.50ns INFO [00004214] Port=0 RD @06
4213.50ns INFO [00004215] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7
4213.50ns INFO [00004215] Port=0 RD @04
4214.50ns INFO [00004216] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7
4214.50ns INFO [00004216] Port=0 RD @06
4215.50ns INFO [00004217] * RD COMPARE * port=0 adr=04 act=FFF0B042D8E6EB0E48 exp=FFF0B042D8E6EB0E48
4215.50ns INFO [00004217] Port=0 WR @04=8210CC1EEF2FB3ED99
4215.50ns INFO [00004217] Port=1 RD @05
4216.50ns INFO [00004218] * RD COMPARE * port=0 adr=06 act=46ECB5EA74077AA9F7 exp=46ECB5EA74077AA9F7
4216.50ns INFO [00004218] Port=0 WR @06=3B647676C8FBFFCE04
4216.50ns INFO [00004218] Port=0 RD @04
4217.50ns INFO [00004219] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4217.50ns INFO [00004219] Port=0 WR @06=7FEE732A1164B178B0
4218.50ns INFO [00004220] * RD COMPARE * port=0 adr=04 act=8210CC1EEF2FB3ED99 exp=8210CC1EEF2FB3ED99
4218.50ns INFO [00004220] Port=0 WR @02=10B5B965E6F074CFEE
4218.50ns INFO [00004220] Port=1 RD @06
4219.50ns INFO [00004221] Port=0 WR @03=E8E15C08FAE4AB3BD6
4219.50ns INFO [00004221] Port=0 RD @01
4219.50ns INFO [00004221] Port=1 RD @00
4220.50ns INFO [00004222] * RD COMPARE * port=1 adr=06 act=7FEE732A1164B178B0 exp=7FEE732A1164B178B0
4220.50ns INFO [00004222] Port=0 WR @07=771B3C6508E4F075EB
4220.50ns INFO [00004222] Port=0 RD @05
4220.50ns INFO [00004222] Port=1 RD @01
4221.50ns INFO [00004223] * RD COMPARE * port=0 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E
4221.50ns INFO [00004223] * RD COMPARE * port=1 adr=00 act=E7F0E96ACA03BC02DC exp=E7F0E96ACA03BC02DC
4221.50ns INFO [00004223] Port=0 WR @00=2A922AF9A3E8D88369
4221.50ns INFO [00004223] Port=1 RD @02
4222.50ns INFO [00004224] * RD COMPARE * port=0 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4222.50ns INFO [00004224] * RD COMPARE * port=1 adr=01 act=2FC529A6FBCFC0E50E exp=2FC529A6FBCFC0E50E
4222.50ns INFO [00004224] Port=0 WR @06=CA3034BE858809C599
4222.50ns INFO [00004224] Port=0 RD @04
4223.50ns INFO [00004225] * RD COMPARE * port=1 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE
4223.50ns INFO [00004225] Port=0 WR @04=99E9B5EC0E7F930244
4223.50ns INFO [00004225] Port=1 RD @05
4224.50ns INFO [00004226] * RD COMPARE * port=0 adr=04 act=8210CC1EEF2FB3ED99 exp=8210CC1EEF2FB3ED99
4224.50ns INFO [00004226] Port=1 RD @02
4225.50ns INFO [00004227] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4225.50ns INFO [00004227] Port=1 RD @00
4226.50ns INFO [00004228] * RD COMPARE * port=1 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE
4227.50ns INFO [00004229] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4227.50ns INFO [00004229] Port=0 WR @01=48A1886C82D1F6ECA1
4227.50ns INFO [00004229] Port=0 RD @04
4227.50ns INFO [00004229] Port=1 RD @00
4228.50ns INFO [00004230] Port=0 RD @07
4229.50ns INFO [00004231] * RD COMPARE * port=0 adr=04 act=99E9B5EC0E7F930244 exp=99E9B5EC0E7F930244
4229.50ns INFO [00004231] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4229.50ns INFO [00004231] Port=0 RD @02
4230.50ns INFO [00004232] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4230.50ns INFO [00004232] Port=0 RD @00
4231.50ns INFO [00004233] * RD COMPARE * port=0 adr=02 act=10B5B965E6F074CFEE exp=10B5B965E6F074CFEE
4231.50ns INFO [00004233] Port=0 RD @00
4232.50ns INFO [00004234] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4232.50ns INFO [00004234] Port=0 WR @02=9010087C920D5FAFD2
4233.50ns INFO [00004235] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4233.50ns INFO [00004235] Port=0 WR @01=A4CB230A11776AB562
4233.50ns INFO [00004235] Port=0 RD @07
4235.50ns INFO [00004237] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4235.50ns INFO [00004237] Port=1 RD @02
4236.50ns INFO [00004238] Port=0 RD @04
4237.50ns INFO [00004239] * RD COMPARE * port=1 adr=02 act=9010087C920D5FAFD2 exp=9010087C920D5FAFD2
4237.50ns INFO [00004239] Port=0 WR @01=0C0AAA5269AE3313C0
4237.50ns INFO [00004239] Port=0 RD @02
4238.50ns INFO [00004240] * RD COMPARE * port=0 adr=04 act=99E9B5EC0E7F930244 exp=99E9B5EC0E7F930244
4239.50ns INFO [00004241] * RD COMPARE * port=0 adr=02 act=9010087C920D5FAFD2 exp=9010087C920D5FAFD2
4239.50ns INFO [00004241] Port=1 RD @07
4241.50ns INFO [00004243] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4242.50ns INFO [00004244] Port=1 RD @07
4243.50ns INFO [00004245] Port=0 WR @04=76834A55F8F4E59943
4243.50ns INFO [00004245] Port=0 RD @06
4243.50ns INFO [00004245] Port=1 RD @05
4244.50ns INFO [00004246] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4244.50ns INFO [00004246] Port=0 WR @03=D61EE7D8E783EE67DE
4244.50ns INFO [00004246] Port=0 RD @07
4244.50ns INFO [00004246] Port=1 RD @07
4245.50ns INFO [00004247] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4245.50ns INFO [00004247] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4245.50ns INFO [00004247] Port=0 RD @00
4245.50ns INFO [00004247] Port=1 RD @06
4246.50ns INFO [00004248] * RD COMPARE * port=0 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4246.50ns INFO [00004248] * RD COMPARE * port=1 adr=07 act=771B3C6508E4F075EB exp=771B3C6508E4F075EB
4246.50ns INFO [00004248] Port=0 RD @00
4247.50ns INFO [00004249] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4247.50ns INFO [00004249] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4247.50ns INFO [00004249] Port=1 RD @03
4248.50ns INFO [00004250] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4248.50ns INFO [00004250] Port=0 RD @00
4249.50ns INFO [00004251] * RD COMPARE * port=1 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE
4249.50ns INFO [00004251] Port=0 WR @01=B86161CC4B49AB01B2
4249.50ns INFO [00004251] Port=1 RD @00
4250.50ns INFO [00004252] * RD COMPARE * port=0 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4250.50ns INFO [00004252] Port=0 RD @04
4251.50ns INFO [00004253] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4251.50ns INFO [00004253] Port=0 WR @07=845244AF0F1E8B284A
4251.50ns INFO [00004253] Port=0 RD @03
4252.50ns INFO [00004254] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4252.50ns INFO [00004254] Port=0 RD @01
4253.50ns INFO [00004255] * RD COMPARE * port=0 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE
4253.50ns INFO [00004255] Port=0 WR @01=AC561B54AED3BD3982
4253.50ns INFO [00004255] Port=1 RD @05
4254.50ns INFO [00004256] * RD COMPARE * port=0 adr=01 act=B86161CC4B49AB01B2 exp=B86161CC4B49AB01B2
4255.50ns INFO [00004257] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4255.50ns INFO [00004257] Port=0 WR @02=9C2B665D593700704D
4255.50ns INFO [00004257] Port=1 RD @06
4256.50ns INFO [00004258] Port=0 RD @05
4257.50ns INFO [00004259] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4258.50ns INFO [00004260] * RD COMPARE * port=0 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4258.50ns INFO [00004260] Port=1 RD @05
4259.50ns INFO [00004261] Port=0 WR @01=A9F5CBDFEEDDAE434B
4260.50ns INFO [00004262] * RD COMPARE * port=1 adr=05 act=CF7B013FB5DFA48C73 exp=CF7B013FB5DFA48C73
4260.50ns INFO [00004262] Port=0 WR @05=5F7AAA73112514506D
4260.50ns INFO [00004262] Port=0 RD @06
4261.50ns INFO [00004263] Port=0 WR @01=55BB2CECAF40D13B24
4261.50ns INFO [00004263] Port=0 RD @03
4261.50ns INFO [00004263] Port=1 RD @06
4262.50ns INFO [00004264] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4262.50ns INFO [00004264] Port=0 RD @06
4262.50ns INFO [00004264] Port=1 RD @00
4263.50ns INFO [00004265] * RD COMPARE * port=0 adr=03 act=D61EE7D8E783EE67DE exp=D61EE7D8E783EE67DE
4263.50ns INFO [00004265] * RD COMPARE * port=1 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4263.50ns INFO [00004265] Port=0 WR @00=82E2F61251D70FCD76
4264.50ns INFO [00004266] * RD COMPARE * port=0 adr=06 act=CA3034BE858809C599 exp=CA3034BE858809C599
4264.50ns INFO [00004266] * RD COMPARE * port=1 adr=00 act=2A922AF9A3E8D88369 exp=2A922AF9A3E8D88369
4264.50ns INFO [00004266] Port=0 RD @01
4265.50ns INFO [00004267] Port=0 RD @04
4266.50ns INFO [00004268] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4266.50ns INFO [00004268] Port=0 WR @03=4D67AB4A223F180191
4267.50ns INFO [00004269] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4267.50ns INFO [00004269] Port=1 RD @02
4268.50ns INFO [00004270] Port=1 RD @02
4269.50ns INFO [00004271] * RD COMPARE * port=1 adr=02 act=9C2B665D593700704D exp=9C2B665D593700704D
4269.50ns INFO [00004271] Port=1 RD @05
4270.50ns INFO [00004272] * RD COMPARE * port=1 adr=02 act=9C2B665D593700704D exp=9C2B665D593700704D
4270.50ns INFO [00004272] Port=0 WR @00=6686D84427756AE41C
4271.50ns INFO [00004273] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D
4271.50ns INFO [00004273] Port=0 WR @03=0F2A2114862BA14F39
4271.50ns INFO [00004273] Port=0 RD @04
4272.50ns INFO [00004274] Port=0 WR @06=8166D6355B69426760
4272.50ns INFO [00004274] Port=0 RD @01
4273.50ns INFO [00004275] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4273.50ns INFO [00004275] Port=0 WR @06=569A8F7AAD64C77E93
4274.50ns INFO [00004276] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4275.50ns INFO [00004277] Port=0 WR @06=528B50D84C108AE3D9
4276.50ns INFO [00004278] Port=0 WR @00=78FBC844E949668027
4276.50ns INFO [00004278] Port=0 RD @05
4277.50ns INFO [00004279] Port=0 WR @02=99DA5B3D96967EB569
4277.50ns INFO [00004279] Port=0 RD @04
4277.50ns INFO [00004279] Port=1 RD @03
4278.50ns INFO [00004280] * RD COMPARE * port=0 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D
4278.50ns INFO [00004280] Port=1 RD @06
4279.50ns INFO [00004281] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4279.50ns INFO [00004281] * RD COMPARE * port=1 adr=03 act=0F2A2114862BA14F39 exp=0F2A2114862BA14F39
4279.50ns INFO [00004281] Port=1 RD @06
4280.50ns INFO [00004282] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9
4280.50ns INFO [00004282] Port=0 WR @03=376AF45462E9176869
4281.50ns INFO [00004283] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9
4281.50ns INFO [00004283] Port=0 WR @00=910BED5560879304F0
4283.50ns INFO [00004285] Port=1 RD @00
4284.50ns INFO [00004286] Port=0 RD @01
4285.50ns INFO [00004287] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4285.50ns INFO [00004287] Port=1 RD @06
4286.50ns INFO [00004288] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4287.50ns INFO [00004289] * RD COMPARE * port=1 adr=06 act=528B50D84C108AE3D9 exp=528B50D84C108AE3D9
4287.50ns INFO [00004289] Port=0 WR @03=1E07DA4F2338304377
4287.50ns INFO [00004289] Port=0 RD @05
4288.50ns INFO [00004290] Port=0 RD @04
4289.50ns INFO [00004291] * RD COMPARE * port=0 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D
4289.50ns INFO [00004291] Port=0 RD @03
4290.50ns INFO [00004292] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4290.50ns INFO [00004292] Port=0 WR @06=50C03D38027AEF2C43
4290.50ns INFO [00004292] Port=1 RD @05
4291.50ns INFO [00004293] * RD COMPARE * port=0 adr=03 act=1E07DA4F2338304377 exp=1E07DA4F2338304377
4292.50ns INFO [00004294] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D
4292.50ns INFO [00004294] Port=0 RD @04
4294.50ns INFO [00004296] * RD COMPARE * port=0 adr=04 act=76834A55F8F4E59943 exp=76834A55F8F4E59943
4294.50ns INFO [00004296] Port=1 RD @05
4295.50ns INFO [00004297] Port=0 WR @02=2EBFD33C198C0B235D
4295.50ns INFO [00004297] Port=0 RD @00
4295.50ns INFO [00004297] Port=1 RD @03
4296.50ns INFO [00004298] * RD COMPARE * port=1 adr=05 act=5F7AAA73112514506D exp=5F7AAA73112514506D
4296.50ns INFO [00004298] Port=0 WR @05=AC65710A541B098440
4296.50ns INFO [00004298] Port=1 RD @07
4297.50ns INFO [00004299] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4297.50ns INFO [00004299] * RD COMPARE * port=1 adr=03 act=1E07DA4F2338304377 exp=1E07DA4F2338304377
4297.50ns INFO [00004299] Port=1 RD @07
4298.00ns INFO [00004300] [00004300] ...tick...
4298.50ns INFO [00004300] * RD COMPARE * port=1 adr=07 act=845244AF0F1E8B284A exp=845244AF0F1E8B284A
4298.50ns INFO [00004300] Port=0 RD @01
4298.50ns INFO [00004300] Port=1 RD @00
4299.50ns INFO [00004301] * RD COMPARE * port=1 adr=07 act=845244AF0F1E8B284A exp=845244AF0F1E8B284A
4299.50ns INFO [00004301] Port=0 WR @04=E6382B9F996EFF8D6F
4299.50ns INFO [00004301] Port=1 RD @00
4300.50ns INFO [00004302] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4300.50ns INFO [00004302] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4300.50ns INFO [00004302] Port=0 RD @00
4301.50ns INFO [00004303] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4301.50ns INFO [00004303] Port=0 WR @04=0DD620A7E522450CA6
4302.50ns INFO [00004304] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4302.50ns INFO [00004304] Port=1 RD @06
4304.50ns INFO [00004306] * RD COMPARE * port=1 adr=06 act=50C03D38027AEF2C43 exp=50C03D38027AEF2C43
4304.50ns INFO [00004306] Port=0 WR @07=989B11C43AD3CA06A4
4304.50ns INFO [00004306] Port=0 RD @04
4305.50ns INFO [00004307] Port=0 WR @03=9ED5FBFAB2A590627B
4305.50ns INFO [00004307] Port=1 RD @01
4306.50ns INFO [00004308] * RD COMPARE * port=0 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6
4306.50ns INFO [00004308] Port=0 RD @05
4307.50ns INFO [00004309] * RD COMPARE * port=1 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4307.50ns INFO [00004309] Port=0 RD @03
4307.50ns INFO [00004309] Port=1 RD @02
4308.50ns INFO [00004310] * RD COMPARE * port=0 adr=05 act=AC65710A541B098440 exp=AC65710A541B098440
4309.50ns INFO [00004311] * RD COMPARE * port=0 adr=03 act=9ED5FBFAB2A590627B exp=9ED5FBFAB2A590627B
4309.50ns INFO [00004311] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D
4309.50ns INFO [00004311] Port=0 RD @01
4309.50ns INFO [00004311] Port=1 RD @02
4311.50ns INFO [00004313] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4311.50ns INFO [00004313] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D
4312.50ns INFO [00004314] Port=0 RD @07
4313.50ns INFO [00004315] Port=0 WR @05=01B3C59CCEC8117963
4313.50ns INFO [00004315] Port=1 RD @04
4314.50ns INFO [00004316] * RD COMPARE * port=0 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4
4314.50ns INFO [00004316] Port=0 WR @03=F76AB56F95519713EB
4314.50ns INFO [00004316] Port=1 RD @00
4315.50ns INFO [00004317] * RD COMPARE * port=1 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6
4316.50ns INFO [00004318] * RD COMPARE * port=1 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4317.50ns INFO [00004319] Port=0 RD @05
4318.50ns INFO [00004320] Port=0 RD @05
4318.50ns INFO [00004320] Port=1 RD @07
4319.50ns INFO [00004321] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963
4319.50ns INFO [00004321] Port=0 RD @00
4320.50ns INFO [00004322] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963
4320.50ns INFO [00004322] * RD COMPARE * port=1 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4
4320.50ns INFO [00004322] Port=0 WR @00=9DA8779661EB5FF12A
4320.50ns INFO [00004322] Port=0 RD @02
4320.50ns INFO [00004322] Port=1 RD @03
4321.50ns INFO [00004323] * RD COMPARE * port=0 adr=00 act=910BED5560879304F0 exp=910BED5560879304F0
4321.50ns INFO [00004323] Port=1 RD @02
4322.50ns INFO [00004324] * RD COMPARE * port=0 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D
4322.50ns INFO [00004324] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4322.50ns INFO [00004324] Port=0 RD @07
4322.50ns INFO [00004324] Port=1 RD @00
4323.50ns INFO [00004325] * RD COMPARE * port=1 adr=02 act=2EBFD33C198C0B235D exp=2EBFD33C198C0B235D
4324.50ns INFO [00004326] * RD COMPARE * port=0 adr=07 act=989B11C43AD3CA06A4 exp=989B11C43AD3CA06A4
4324.50ns INFO [00004326] * RD COMPARE * port=1 adr=00 act=9DA8779661EB5FF12A exp=9DA8779661EB5FF12A
4324.50ns INFO [00004326] Port=1 RD @04
4325.50ns INFO [00004327] Port=0 WR @07=EEF913579458E4AC19
4325.50ns INFO [00004327] Port=0 RD @00
4326.50ns INFO [00004328] * RD COMPARE * port=1 adr=04 act=0DD620A7E522450CA6 exp=0DD620A7E522450CA6
4326.50ns INFO [00004328] Port=0 WR @04=E6414AD2E94454507B
4326.50ns INFO [00004328] Port=1 RD @03
4327.50ns INFO [00004329] * RD COMPARE * port=0 adr=00 act=9DA8779661EB5FF12A exp=9DA8779661EB5FF12A
4327.50ns INFO [00004329] Port=1 RD @03
4328.50ns INFO [00004330] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4328.50ns INFO [00004330] Port=0 WR @00=F22361DBEB2A0F31FE
4328.50ns INFO [00004330] Port=0 RD @05
4328.50ns INFO [00004330] Port=1 RD @06
4329.50ns INFO [00004331] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4329.50ns INFO [00004331] Port=0 RD @03
4330.50ns INFO [00004332] * RD COMPARE * port=0 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963
4330.50ns INFO [00004332] * RD COMPARE * port=1 adr=06 act=50C03D38027AEF2C43 exp=50C03D38027AEF2C43
4330.50ns INFO [00004332] Port=0 RD @01
4330.50ns INFO [00004332] Port=1 RD @00
4331.50ns INFO [00004333] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4332.50ns INFO [00004334] * RD COMPARE * port=0 adr=01 act=55BB2CECAF40D13B24 exp=55BB2CECAF40D13B24
4332.50ns INFO [00004334] * RD COMPARE * port=1 adr=00 act=F22361DBEB2A0F31FE exp=F22361DBEB2A0F31FE
4332.50ns INFO [00004334] Port=0 WR @01=4B650C831877E592C1
4333.50ns INFO [00004335] Port=0 WR @02=CC3764694C3C5F6288
4334.50ns INFO [00004336] Port=1 RD @01
4335.50ns INFO [00004337] Port=0 WR @00=AAF52C6971F0D12C05
4335.50ns INFO [00004337] Port=1 RD @05
4336.50ns INFO [00004338] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4336.50ns INFO [00004338] Port=0 WR @04=A8E37B7104C104A3BC
4336.50ns INFO [00004338] Port=0 RD @02
4336.50ns INFO [00004338] Port=1 RD @07
4337.50ns INFO [00004339] * RD COMPARE * port=1 adr=05 act=01B3C59CCEC8117963 exp=01B3C59CCEC8117963
4337.50ns INFO [00004339] Port=0 RD @03
4338.50ns INFO [00004340] * RD COMPARE * port=0 adr=02 act=CC3764694C3C5F6288 exp=CC3764694C3C5F6288
4338.50ns INFO [00004340] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4338.50ns INFO [00004340] Port=1 RD @04
4339.50ns INFO [00004341] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4340.50ns INFO [00004342] * RD COMPARE * port=1 adr=04 act=A8E37B7104C104A3BC exp=A8E37B7104C104A3BC
4340.50ns INFO [00004342] Port=0 RD @07
4340.50ns INFO [00004342] Port=1 RD @02
4341.50ns INFO [00004343] Port=0 WR @06=14E96694A9A39EFA8E
4342.50ns INFO [00004344] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4342.50ns INFO [00004344] * RD COMPARE * port=1 adr=02 act=CC3764694C3C5F6288 exp=CC3764694C3C5F6288
4342.50ns INFO [00004344] Port=0 WR @02=BC37DC6A692D702070
4343.50ns INFO [00004345] Port=0 RD @03
4343.50ns INFO [00004345] Port=1 RD @03
4344.50ns INFO [00004346] Port=1 RD @04
4345.50ns INFO [00004347] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4345.50ns INFO [00004347] * RD COMPARE * port=1 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4345.50ns INFO [00004347] Port=1 RD @07
4346.50ns INFO [00004348] * RD COMPARE * port=1 adr=04 act=A8E37B7104C104A3BC exp=A8E37B7104C104A3BC
4346.50ns INFO [00004348] Port=0 WR @04=63CFCFD9439321D249
4346.50ns INFO [00004348] Port=0 RD @03
4347.50ns INFO [00004349] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4347.50ns INFO [00004349] Port=0 RD @07
4347.50ns INFO [00004349] Port=1 RD @07
4348.50ns INFO [00004350] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4349.50ns INFO [00004351] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4349.50ns INFO [00004351] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4349.50ns INFO [00004351] Port=0 RD @02
4350.50ns INFO [00004352] Port=1 RD @01
4351.50ns INFO [00004353] * RD COMPARE * port=0 adr=02 act=BC37DC6A692D702070 exp=BC37DC6A692D702070
4351.50ns INFO [00004353] Port=0 WR @05=5A70D41FDFB3A211E3
4351.50ns INFO [00004353] Port=0 RD @02
4351.50ns INFO [00004353] Port=1 RD @04
4352.50ns INFO [00004354] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4352.50ns INFO [00004354] Port=0 WR @06=70F39878CBDCDFC251
4353.50ns INFO [00004355] * RD COMPARE * port=0 adr=02 act=BC37DC6A692D702070 exp=BC37DC6A692D702070
4353.50ns INFO [00004355] * RD COMPARE * port=1 adr=04 act=63CFCFD9439321D249 exp=63CFCFD9439321D249
4353.50ns INFO [00004355] Port=0 RD @06
4354.50ns INFO [00004356] Port=0 RD @01
4355.50ns INFO [00004357] * RD COMPARE * port=0 adr=06 act=70F39878CBDCDFC251 exp=70F39878CBDCDFC251
4355.50ns INFO [00004357] Port=0 RD @03
4356.50ns INFO [00004358] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4357.50ns INFO [00004359] * RD COMPARE * port=0 adr=03 act=F76AB56F95519713EB exp=F76AB56F95519713EB
4357.50ns INFO [00004359] Port=0 RD @04
4358.50ns INFO [00004360] Port=0 WR @03=4FC6F5D34169724C8A
4358.50ns INFO [00004360] Port=1 RD @05
4359.50ns INFO [00004361] * RD COMPARE * port=0 adr=04 act=63CFCFD9439321D249 exp=63CFCFD9439321D249
4359.50ns INFO [00004361] Port=0 RD @01
4360.50ns INFO [00004362] * RD COMPARE * port=1 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3
4360.50ns INFO [00004362] Port=0 RD @06
4361.50ns INFO [00004363] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4361.50ns INFO [00004363] Port=0 WR @06=E3B83D3B26854218FC
4361.50ns INFO [00004363] Port=1 RD @03
4362.50ns INFO [00004364] * RD COMPARE * port=0 adr=06 act=70F39878CBDCDFC251 exp=70F39878CBDCDFC251
4362.50ns INFO [00004364] Port=0 WR @02=C980B7A6192ED6E0B4
4362.50ns INFO [00004364] Port=0 RD @05
4363.50ns INFO [00004365] * RD COMPARE * port=1 adr=03 act=4FC6F5D34169724C8A exp=4FC6F5D34169724C8A
4364.50ns INFO [00004366] * RD COMPARE * port=0 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3
4365.50ns INFO [00004367] Port=0 WR @02=1A42B3C3803D50C567
4365.50ns INFO [00004367] Port=1 RD @01
4367.50ns INFO [00004369] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4368.50ns INFO [00004370] Port=0 RD @01
4368.50ns INFO [00004370] Port=1 RD @01
4369.50ns INFO [00004371] Port=0 WR @04=DED829FCC69BFBA96D
4369.50ns INFO [00004371] Port=1 RD @00
4370.50ns INFO [00004372] * RD COMPARE * port=0 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4370.50ns INFO [00004372] * RD COMPARE * port=1 adr=01 act=4B650C831877E592C1 exp=4B650C831877E592C1
4370.50ns INFO [00004372] Port=0 WR @04=A6BB995A2BF8FC5D20
4370.50ns INFO [00004372] Port=0 RD @03
4371.50ns INFO [00004373] * RD COMPARE * port=1 adr=00 act=AAF52C6971F0D12C05 exp=AAF52C6971F0D12C05
4371.50ns INFO [00004373] Port=0 WR @03=E056945AB931ED9340
4372.50ns INFO [00004374] * RD COMPARE * port=0 adr=03 act=4FC6F5D34169724C8A exp=4FC6F5D34169724C8A
4376.50ns INFO [00004378] Port=0 WR @01=EEDEC047D31525EC89
4376.50ns INFO [00004378] Port=0 RD @06
4377.50ns INFO [00004379] Port=1 RD @01
4378.50ns INFO [00004380] * RD COMPARE * port=0 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4379.50ns INFO [00004381] * RD COMPARE * port=1 adr=01 act=EEDEC047D31525EC89 exp=EEDEC047D31525EC89
4379.50ns INFO [00004381] Port=1 RD @06
4380.50ns INFO [00004382] Port=0 RD @07
4381.50ns INFO [00004383] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4381.50ns INFO [00004383] Port=0 WR @03=50E643694AD69A51D6
4381.50ns INFO [00004383] Port=0 RD @05
4382.50ns INFO [00004384] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4383.50ns INFO [00004385] * RD COMPARE * port=0 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3
4384.50ns INFO [00004386] Port=0 WR @02=1BD54EB84A6038346D
4385.50ns INFO [00004387] Port=0 RD @07
4387.50ns INFO [00004389] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4387.50ns INFO [00004389] Port=1 RD @07
4388.50ns INFO [00004390] Port=0 WR @00=51E654D8D5C9F619EF
4388.50ns INFO [00004390] Port=0 RD @03
4388.50ns INFO [00004390] Port=1 RD @07
4389.50ns INFO [00004391] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4389.50ns INFO [00004391] Port=0 RD @04
4390.50ns INFO [00004392] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6
4390.50ns INFO [00004392] * RD COMPARE * port=1 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4390.50ns INFO [00004392] Port=0 RD @07
4390.50ns INFO [00004392] Port=1 RD @02
4391.50ns INFO [00004393] * RD COMPARE * port=0 adr=04 act=A6BB995A2BF8FC5D20 exp=A6BB995A2BF8FC5D20
4391.50ns INFO [00004393] Port=1 RD @00
4392.50ns INFO [00004394] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4392.50ns INFO [00004394] * RD COMPARE * port=1 adr=02 act=1BD54EB84A6038346D exp=1BD54EB84A6038346D
4392.50ns INFO [00004394] Port=0 WR @02=C9B8A565D9571567E6
4392.50ns INFO [00004394] Port=0 RD @00
4393.50ns INFO [00004395] * RD COMPARE * port=1 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF
4393.50ns INFO [00004395] Port=0 WR @04=A08D15A10221EA5192
4393.50ns INFO [00004395] Port=0 RD @00
4394.50ns INFO [00004396] * RD COMPARE * port=0 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF
4394.50ns INFO [00004396] Port=0 RD @02
4394.50ns INFO [00004396] Port=1 RD @02
4395.50ns INFO [00004397] * RD COMPARE * port=0 adr=00 act=51E654D8D5C9F619EF exp=51E654D8D5C9F619EF
4395.50ns INFO [00004397] Port=0 RD @02
4396.50ns INFO [00004398] * RD COMPARE * port=0 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6
4396.50ns INFO [00004398] * RD COMPARE * port=1 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6
4396.50ns INFO [00004398] Port=1 RD @05
4397.50ns INFO [00004399] * RD COMPARE * port=0 adr=02 act=C9B8A565D9571567E6 exp=C9B8A565D9571567E6
4397.50ns INFO [00004399] Port=0 WR @05=D5B8AAEC6FD2AC2411
4398.00ns INFO [00004400] [00004400] ...tick...
4398.50ns INFO [00004400] * RD COMPARE * port=1 adr=05 act=5A70D41FDFB3A211E3 exp=5A70D41FDFB3A211E3
4398.50ns INFO [00004400] Port=0 WR @00=258867751D53486341
4398.50ns INFO [00004400] Port=0 RD @07
4399.50ns INFO [00004401] Port=1 RD @06
4400.50ns INFO [00004402] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4401.50ns INFO [00004403] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4401.50ns INFO [00004403] Port=0 RD @04
4402.50ns INFO [00004404] Port=1 RD @06
4403.50ns INFO [00004405] * RD COMPARE * port=0 adr=04 act=A08D15A10221EA5192 exp=A08D15A10221EA5192
4403.50ns INFO [00004405] Port=0 WR @05=583E6F107318011F6A
4404.50ns INFO [00004406] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4404.50ns INFO [00004406] Port=0 WR @01=5928CA8A50A2D9DDC7
4404.50ns INFO [00004406] Port=0 RD @03
4405.50ns INFO [00004407] Port=0 RD @03
4405.50ns INFO [00004407] Port=1 RD @06
4406.50ns INFO [00004408] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6
4407.50ns INFO [00004409] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6
4407.50ns INFO [00004409] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4408.50ns INFO [00004410] Port=0 RD @01
4409.50ns INFO [00004411] Port=0 WR @05=9B4C9C4EAC295CA32C
4410.50ns INFO [00004412] * RD COMPARE * port=0 adr=01 act=5928CA8A50A2D9DDC7 exp=5928CA8A50A2D9DDC7
4410.50ns INFO [00004412] Port=0 WR @02=EA639BD54BC748D92C
4410.50ns INFO [00004412] Port=0 RD @00
4411.50ns INFO [00004413] Port=1 RD @00
4412.50ns INFO [00004414] * RD COMPARE * port=0 adr=00 act=258867751D53486341 exp=258867751D53486341
4412.50ns INFO [00004414] Port=0 RD @06
4412.50ns INFO [00004414] Port=1 RD @01
4413.50ns INFO [00004415] * RD COMPARE * port=1 adr=00 act=258867751D53486341 exp=258867751D53486341
4413.50ns INFO [00004415] Port=0 WR @00=D893AC497E0182B8DC
4414.50ns INFO [00004416] * RD COMPARE * port=0 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4414.50ns INFO [00004416] * RD COMPARE * port=1 adr=01 act=5928CA8A50A2D9DDC7 exp=5928CA8A50A2D9DDC7
4414.50ns INFO [00004416] Port=0 WR @01=4A82FB5D19EEEFE5E2
4414.50ns INFO [00004416] Port=1 RD @04
4415.50ns INFO [00004417] Port=0 WR @02=67F9F88F072B9E0478
4416.50ns INFO [00004418] * RD COMPARE * port=1 adr=04 act=A08D15A10221EA5192 exp=A08D15A10221EA5192
4416.50ns INFO [00004418] Port=0 WR @04=40DD9BBCD7B68EE4EF
4417.50ns INFO [00004419] Port=0 RD @05
4418.50ns INFO [00004420] Port=0 RD @03
4418.50ns INFO [00004420] Port=1 RD @06
4419.50ns INFO [00004421] * RD COMPARE * port=0 adr=05 act=9B4C9C4EAC295CA32C exp=9B4C9C4EAC295CA32C
4419.50ns INFO [00004421] Port=0 RD @07
4420.50ns INFO [00004422] * RD COMPARE * port=0 adr=03 act=50E643694AD69A51D6 exp=50E643694AD69A51D6
4420.50ns INFO [00004422] * RD COMPARE * port=1 adr=06 act=E3B83D3B26854218FC exp=E3B83D3B26854218FC
4420.50ns INFO [00004422] Port=0 RD @04
4421.50ns INFO [00004423] * RD COMPARE * port=0 adr=07 act=EEF913579458E4AC19 exp=EEF913579458E4AC19
4421.50ns INFO [00004423] Port=0 WR @07=63700B2A30929F5ECD
4421.50ns INFO [00004423] Port=1 RD @02
4422.50ns INFO [00004424] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4422.50ns INFO [00004424] Port=0 WR @07=81FCBAC83F6C0429E7
4423.50ns INFO [00004425] * RD COMPARE * port=1 adr=02 act=67F9F88F072B9E0478 exp=67F9F88F072B9E0478
4425.50ns INFO [00004427] Port=0 WR @02=F00DE1071EEFA65517
4425.50ns INFO [00004427] Port=0 RD @07
4425.50ns INFO [00004427] Port=1 RD @04
4426.50ns INFO [00004428] Port=1 RD @04
4427.50ns INFO [00004429] * RD COMPARE * port=0 adr=07 act=81FCBAC83F6C0429E7 exp=81FCBAC83F6C0429E7
4427.50ns INFO [00004429] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4427.50ns INFO [00004429] Port=0 WR @07=DDD96BB1D502EB1D4B
4427.50ns INFO [00004429] Port=1 RD @00
4428.50ns INFO [00004430] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4428.50ns INFO [00004430] Port=0 RD @01
4428.50ns INFO [00004430] Port=1 RD @07
4429.50ns INFO [00004431] * RD COMPARE * port=1 adr=00 act=D893AC497E0182B8DC exp=D893AC497E0182B8DC
4429.50ns INFO [00004431] Port=0 WR @05=C93A646139109C2679
4429.50ns INFO [00004431] Port=0 RD @07
4430.50ns INFO [00004432] * RD COMPARE * port=0 adr=01 act=4A82FB5D19EEEFE5E2 exp=4A82FB5D19EEEFE5E2
4430.50ns INFO [00004432] * RD COMPARE * port=1 adr=07 act=DDD96BB1D502EB1D4B exp=DDD96BB1D502EB1D4B
4430.50ns INFO [00004432] Port=0 WR @02=2F6EF9322D166314AB
4430.50ns INFO [00004432] Port=0 RD @04
4430.50ns INFO [00004432] Port=1 RD @04
4431.50ns INFO [00004433] * RD COMPARE * port=0 adr=07 act=DDD96BB1D502EB1D4B exp=DDD96BB1D502EB1D4B
4432.50ns INFO [00004434] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4432.50ns INFO [00004434] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4432.50ns INFO [00004434] Port=0 WR @06=7B0E3C8993AD1023A6
4432.50ns INFO [00004434] Port=1 RD @04
4433.50ns INFO [00004435] Port=1 RD @00
4434.50ns INFO [00004436] * RD COMPARE * port=1 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4435.50ns INFO [00004437] * RD COMPARE * port=1 adr=00 act=D893AC497E0182B8DC exp=D893AC497E0182B8DC
4435.50ns INFO [00004437] Port=0 WR @07=C3923E8359F671224F
4435.50ns INFO [00004437] Port=1 RD @05
4436.50ns INFO [00004438] Port=0 WR @02=0E4402D1052E19BC84
4437.50ns INFO [00004439] * RD COMPARE * port=1 adr=05 act=C93A646139109C2679 exp=C93A646139109C2679
4437.50ns INFO [00004439] Port=0 WR @01=B5F6D53E57E31A56C0
4437.50ns INFO [00004439] Port=1 RD @02
4438.50ns INFO [00004440] Port=0 WR @00=8F880D503298D5725E
4439.50ns INFO [00004441] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84
4439.50ns INFO [00004441] Port=0 WR @06=41B4EE8527F16D9555
4440.50ns INFO [00004442] Port=0 WR @07=409B065F3BD37725AA
4440.50ns INFO [00004442] Port=0 RD @01
4441.50ns INFO [00004443] Port=0 WR @00=8DBF7D6D3C70E56DC5
4442.50ns INFO [00004444] * RD COMPARE * port=0 adr=01 act=B5F6D53E57E31A56C0 exp=B5F6D53E57E31A56C0
4442.50ns INFO [00004444] Port=0 WR @03=15A2EA328DB3B6D2E8
4442.50ns INFO [00004444] Port=0 RD @02
4443.50ns INFO [00004445] Port=0 WR @06=0C5C1838B4F1613AF8
4443.50ns INFO [00004445] Port=1 RD @03
4444.50ns INFO [00004446] * RD COMPARE * port=0 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84
4445.50ns INFO [00004447] * RD COMPARE * port=1 adr=03 act=15A2EA328DB3B6D2E8 exp=15A2EA328DB3B6D2E8
4445.50ns INFO [00004447] Port=0 WR @00=D86BB435103EBBA9DA
4448.50ns INFO [00004450] Port=1 RD @02
4450.50ns INFO [00004452] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84
4451.50ns INFO [00004453] Port=0 WR @03=B15F66DDA22B86D05C
4451.50ns INFO [00004453] Port=0 RD @04
4452.50ns INFO [00004454] Port=0 RD @00
4453.50ns INFO [00004455] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4454.50ns INFO [00004456] * RD COMPARE * port=0 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA
4454.50ns INFO [00004456] Port=1 RD @01
4456.50ns INFO [00004458] * RD COMPARE * port=1 adr=01 act=B5F6D53E57E31A56C0 exp=B5F6D53E57E31A56C0
4456.50ns INFO [00004458] Port=0 WR @01=6DDD7FBB2F66389667
4457.50ns INFO [00004459] Port=0 RD @01
4457.50ns INFO [00004459] Port=1 RD @06
4458.50ns INFO [00004460] Port=1 RD @01
4459.50ns INFO [00004461] * RD COMPARE * port=0 adr=01 act=6DDD7FBB2F66389667 exp=6DDD7FBB2F66389667
4459.50ns INFO [00004461] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8
4460.50ns INFO [00004462] * RD COMPARE * port=1 adr=01 act=6DDD7FBB2F66389667 exp=6DDD7FBB2F66389667
4460.50ns INFO [00004462] Port=1 RD @02
4461.50ns INFO [00004463] Port=0 WR @01=3ED7C410FF91EE0797
4462.50ns INFO [00004464] * RD COMPARE * port=1 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84
4462.50ns INFO [00004464] Port=0 RD @05
4462.50ns INFO [00004464] Port=1 RD @00
4463.50ns INFO [00004465] Port=0 RD @02
4464.50ns INFO [00004466] * RD COMPARE * port=0 adr=05 act=C93A646139109C2679 exp=C93A646139109C2679
4464.50ns INFO [00004466] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA
4464.50ns INFO [00004466] Port=0 WR @03=7937D065B08613600E
4464.50ns INFO [00004466] Port=1 RD @06
4465.50ns INFO [00004467] * RD COMPARE * port=0 adr=02 act=0E4402D1052E19BC84 exp=0E4402D1052E19BC84
4465.50ns INFO [00004467] Port=0 WR @07=174EE5A24FD6E34195
4465.50ns INFO [00004467] Port=0 RD @06
4466.50ns INFO [00004468] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8
4467.50ns INFO [00004469] * RD COMPARE * port=0 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8
4468.50ns INFO [00004470] Port=0 RD @06
4470.50ns INFO [00004472] * RD COMPARE * port=0 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8
4470.50ns INFO [00004472] Port=0 WR @07=B2AE64894BBDAEE907
4472.50ns INFO [00004474] Port=0 WR @07=88A7D27712E8BB7B07
4472.50ns INFO [00004474] Port=0 RD @01
4473.50ns INFO [00004475] Port=1 RD @07
4474.50ns INFO [00004476] * RD COMPARE * port=0 adr=01 act=3ED7C410FF91EE0797 exp=3ED7C410FF91EE0797
4475.50ns INFO [00004477] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07
4475.50ns INFO [00004477] Port=0 WR @03=90013E1B8A438B1D7F
4475.50ns INFO [00004477] Port=0 RD @04
4477.50ns INFO [00004479] * RD COMPARE * port=0 adr=04 act=40DD9BBCD7B68EE4EF exp=40DD9BBCD7B68EE4EF
4478.50ns INFO [00004480] Port=0 WR @05=93E1C75A17341A8776
4479.50ns INFO [00004481] Port=0 WR @04=4D623287A896B39CA5
4479.50ns INFO [00004481] Port=0 RD @05
4479.50ns INFO [00004481] Port=1 RD @05
4480.50ns INFO [00004482] Port=0 RD @05
4481.50ns INFO [00004483] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776
4481.50ns INFO [00004483] * RD COMPARE * port=1 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776
4481.50ns INFO [00004483] Port=1 RD @03
4482.50ns INFO [00004484] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776
4482.50ns INFO [00004484] Port=0 WR @03=AA15C48DD19B3D4567
4482.50ns INFO [00004484] Port=0 RD @05
4483.50ns INFO [00004485] * RD COMPARE * port=1 adr=03 act=90013E1B8A438B1D7F exp=90013E1B8A438B1D7F
4483.50ns INFO [00004485] Port=1 RD @03
4484.50ns INFO [00004486] * RD COMPARE * port=0 adr=05 act=93E1C75A17341A8776 exp=93E1C75A17341A8776
4484.50ns INFO [00004486] Port=0 WR @05=7901D1FB0EF37258A3
4484.50ns INFO [00004486] Port=1 RD @00
4485.50ns INFO [00004487] * RD COMPARE * port=1 adr=03 act=AA15C48DD19B3D4567 exp=AA15C48DD19B3D4567
4485.50ns INFO [00004487] Port=1 RD @07
4486.50ns INFO [00004488] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA
4486.50ns INFO [00004488] Port=0 WR @04=C68DCF56D98D2F47C6
4486.50ns INFO [00004488] Port=1 RD @06
4487.50ns INFO [00004489] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07
4487.50ns INFO [00004489] Port=0 WR @06=4BD4C32A94A407D3B6
4487.50ns INFO [00004489] Port=0 RD @00
4488.50ns INFO [00004490] * RD COMPARE * port=1 adr=06 act=0C5C1838B4F1613AF8 exp=0C5C1838B4F1613AF8
4489.50ns INFO [00004491] * RD COMPARE * port=0 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA
4489.50ns INFO [00004491] Port=0 WR @04=16473D87D7F028F9DB
4489.50ns INFO [00004491] Port=0 RD @06
4491.50ns INFO [00004493] * RD COMPARE * port=0 adr=06 act=4BD4C32A94A407D3B6 exp=4BD4C32A94A407D3B6
4492.50ns INFO [00004494] Port=0 WR @05=279C0AE9C9A0C1F499
4492.50ns INFO [00004494] Port=1 RD @07
4493.50ns INFO [00004495] Port=0 RD @07
4494.50ns INFO [00004496] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07
4494.50ns INFO [00004496] Port=0 WR @03=C983448DAA0D8F5EC4
4495.50ns INFO [00004497] * RD COMPARE * port=0 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07
4495.50ns INFO [00004497] Port=1 RD @04
4496.50ns INFO [00004498] Port=0 WR @03=69CF75AE9BAD522FDB
4496.50ns INFO [00004498] Port=1 RD @01
4497.50ns INFO [00004499] * RD COMPARE * port=1 adr=04 act=16473D87D7F028F9DB exp=16473D87D7F028F9DB
4497.50ns INFO [00004499] Port=0 WR @06=CF926909496D810268
4497.50ns INFO [00004499] Port=0 RD @05
4498.00ns INFO [00004500] [00004500] ...tick...
4498.50ns INFO [00004500] * RD COMPARE * port=1 adr=01 act=3ED7C410FF91EE0797 exp=3ED7C410FF91EE0797
4498.50ns INFO [00004500] Port=0 WR @01=DB23468DD9F06E8E9E
4498.50ns INFO [00004500] Port=0 RD @06
4498.50ns INFO [00004500] Port=1 RD @07
4499.50ns INFO [00004501] * RD COMPARE * port=0 adr=05 act=279C0AE9C9A0C1F499 exp=279C0AE9C9A0C1F499
4499.50ns INFO [00004501] Port=0 WR @07=D92651C3F123AE4FEA
4499.50ns INFO [00004501] Port=0 RD @04
4499.50ns INFO [00004501] Port=1 RD @03
4500.50ns INFO [00004502] * RD COMPARE * port=0 adr=06 act=CF926909496D810268 exp=CF926909496D810268
4500.50ns INFO [00004502] * RD COMPARE * port=1 adr=07 act=88A7D27712E8BB7B07 exp=88A7D27712E8BB7B07
4501.50ns INFO [00004503] * RD COMPARE * port=0 adr=04 act=16473D87D7F028F9DB exp=16473D87D7F028F9DB
4501.50ns INFO [00004503] * RD COMPARE * port=1 adr=03 act=69CF75AE9BAD522FDB exp=69CF75AE9BAD522FDB
4501.50ns INFO [00004503] Port=0 RD @05
4502.50ns INFO [00004504] Port=0 WR @02=1520393FC0B93E154D
4502.50ns INFO [00004504] Port=0 RD @01
4503.50ns INFO [00004505] * RD COMPARE * port=0 adr=05 act=279C0AE9C9A0C1F499 exp=279C0AE9C9A0C1F499
4503.50ns INFO [00004505] Port=0 RD @02
4503.50ns INFO [00004505] Port=1 RD @02
4504.50ns INFO [00004506] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E
4504.50ns INFO [00004506] Port=1 RD @02
4505.50ns INFO [00004507] * RD COMPARE * port=0 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D
4505.50ns INFO [00004507] * RD COMPARE * port=1 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D
4505.50ns INFO [00004507] Port=0 WR @04=E17D62E1BB375DE3A8
4506.50ns INFO [00004508] * RD COMPARE * port=1 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D
4506.50ns INFO [00004508] Port=0 WR @03=3478135077E0E8BFCC
4506.50ns INFO [00004508] Port=1 RD @07
4507.50ns INFO [00004509] Port=1 RD @03
4508.50ns INFO [00004510] * RD COMPARE * port=1 adr=07 act=D92651C3F123AE4FEA exp=D92651C3F123AE4FEA
4508.50ns INFO [00004510] Port=0 WR @07=B5EA2D05A649D28C89
4508.50ns INFO [00004510] Port=1 RD @06
4509.50ns INFO [00004511] * RD COMPARE * port=1 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC
4509.50ns INFO [00004511] Port=0 RD @02
4510.50ns INFO [00004512] * RD COMPARE * port=1 adr=06 act=CF926909496D810268 exp=CF926909496D810268
4510.50ns INFO [00004512] Port=1 RD @06
4511.50ns INFO [00004513] * RD COMPARE * port=0 adr=02 act=1520393FC0B93E154D exp=1520393FC0B93E154D
4511.50ns INFO [00004513] Port=0 WR @05=F9CE9A592F19D58AAE
4512.50ns INFO [00004514] * RD COMPARE * port=1 adr=06 act=CF926909496D810268 exp=CF926909496D810268
4512.50ns INFO [00004514] Port=0 WR @02=4120BD7CF874CC714D
4512.50ns INFO [00004514] Port=1 RD @04
4513.50ns INFO [00004515] Port=0 WR @02=FAAEDC4EDAA9429E07
4513.50ns INFO [00004515] Port=0 RD @03
4513.50ns INFO [00004515] Port=1 RD @00
4514.50ns INFO [00004516] * RD COMPARE * port=1 adr=04 act=E17D62E1BB375DE3A8 exp=E17D62E1BB375DE3A8
4514.50ns INFO [00004516] Port=1 RD @01
4515.50ns INFO [00004517] * RD COMPARE * port=0 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC
4515.50ns INFO [00004517] * RD COMPARE * port=1 adr=00 act=D86BB435103EBBA9DA exp=D86BB435103EBBA9DA
4516.50ns INFO [00004518] * RD COMPARE * port=1 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E
4516.50ns INFO [00004518] Port=0 WR @00=5748FBD13F1CDD6DCB
4516.50ns INFO [00004518] Port=0 RD @01
4517.50ns INFO [00004519] Port=0 RD @06
4517.50ns INFO [00004519] Port=1 RD @02
4518.50ns INFO [00004520] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E
4519.50ns INFO [00004521] * RD COMPARE * port=0 adr=06 act=CF926909496D810268 exp=CF926909496D810268
4519.50ns INFO [00004521] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07
4519.50ns INFO [00004521] Port=0 WR @04=4C7BE829DB658E0063
4519.50ns INFO [00004521] Port=0 RD @05
4519.50ns INFO [00004521] Port=1 RD @03
4520.50ns INFO [00004522] Port=0 WR @06=5825EDBAF2D738AEB6
4520.50ns INFO [00004522] Port=0 RD @01
4521.50ns INFO [00004523] * RD COMPARE * port=0 adr=05 act=F9CE9A592F19D58AAE exp=F9CE9A592F19D58AAE
4521.50ns INFO [00004523] * RD COMPARE * port=1 adr=03 act=3478135077E0E8BFCC exp=3478135077E0E8BFCC
4522.50ns INFO [00004524] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E
4522.50ns INFO [00004524] Port=0 RD @01
4522.50ns INFO [00004524] Port=1 RD @04
4523.50ns INFO [00004525] Port=0 WR @05=35B76AF410805BA1F6
4523.50ns INFO [00004525] Port=1 RD @02
4524.50ns INFO [00004526] * RD COMPARE * port=0 adr=01 act=DB23468DD9F06E8E9E exp=DB23468DD9F06E8E9E
4524.50ns INFO [00004526] * RD COMPARE * port=1 adr=04 act=4C7BE829DB658E0063 exp=4C7BE829DB658E0063
4524.50ns INFO [00004526] Port=1 RD @04
4525.50ns INFO [00004527] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07
4525.50ns INFO [00004527] Port=0 RD @00
4525.50ns INFO [00004527] Port=1 RD @07
4526.50ns INFO [00004528] * RD COMPARE * port=1 adr=04 act=4C7BE829DB658E0063 exp=4C7BE829DB658E0063
4526.50ns INFO [00004528] Port=0 WR @03=89608208B665FA69E2
4526.50ns INFO [00004528] Port=1 RD @02
4527.50ns INFO [00004529] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB
4527.50ns INFO [00004529] * RD COMPARE * port=1 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89
4527.50ns INFO [00004529] Port=0 WR @05=3A5F4815566085717D
4527.50ns INFO [00004529] Port=0 RD @00
4527.50ns INFO [00004529] Port=1 RD @06
4528.50ns INFO [00004530] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07
4528.50ns INFO [00004530] Port=0 WR @01=17D8F5E8ED09A8C86B
4529.50ns INFO [00004531] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB
4529.50ns INFO [00004531] * RD COMPARE * port=1 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6
4529.50ns INFO [00004531] Port=0 WR @01=2DAD1E896F19DCE513
4530.50ns INFO [00004532] Port=0 RD @00
4531.50ns INFO [00004533] Port=1 RD @02
4532.50ns INFO [00004534] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB
4532.50ns INFO [00004534] Port=0 WR @03=B2D1262D146A6986D5
4532.50ns INFO [00004534] Port=0 RD @07
4533.50ns INFO [00004535] * RD COMPARE * port=1 adr=02 act=FAAEDC4EDAA9429E07 exp=FAAEDC4EDAA9429E07
4533.50ns INFO [00004535] Port=0 RD @07
4534.50ns INFO [00004536] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89
4534.50ns INFO [00004536] Port=0 RD @01
4535.50ns INFO [00004537] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89
4535.50ns INFO [00004537] Port=0 RD @06
4535.50ns INFO [00004537] Port=1 RD @01
4536.50ns INFO [00004538] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513
4536.50ns INFO [00004538] Port=0 WR @04=D774066D32C8941734
4536.50ns INFO [00004538] Port=0 RD @06
4537.50ns INFO [00004539] * RD COMPARE * port=0 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6
4537.50ns INFO [00004539] * RD COMPARE * port=1 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513
4537.50ns INFO [00004539] Port=0 RD @00
4538.50ns INFO [00004540] * RD COMPARE * port=0 adr=06 act=5825EDBAF2D738AEB6 exp=5825EDBAF2D738AEB6
4538.50ns INFO [00004540] Port=0 WR @02=E2E3DA33CAA37CFFBD
4538.50ns INFO [00004540] Port=0 RD @00
4538.50ns INFO [00004540] Port=1 RD @03
4539.50ns INFO [00004541] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB
4539.50ns INFO [00004541] Port=0 WR @00=E402A411F98CA5A646
4540.50ns INFO [00004542] * RD COMPARE * port=0 adr=00 act=5748FBD13F1CDD6DCB exp=5748FBD13F1CDD6DCB
4540.50ns INFO [00004542] * RD COMPARE * port=1 adr=03 act=B2D1262D146A6986D5 exp=B2D1262D146A6986D5
4540.50ns INFO [00004542] Port=0 WR @05=8363A5B1C8046E520E
4541.50ns INFO [00004543] Port=0 WR @05=65DDF74F4E467EA01F
4543.50ns INFO [00004545] Port=0 WR @06=2CF97612D7DA40C378
4543.50ns INFO [00004545] Port=1 RD @02
4544.50ns INFO [00004546] Port=0 RD @06
4545.50ns INFO [00004547] * RD COMPARE * port=1 adr=02 act=E2E3DA33CAA37CFFBD exp=E2E3DA33CAA37CFFBD
4545.50ns INFO [00004547] Port=0 WR @05=0226597CC392F30C68
4545.50ns INFO [00004547] Port=0 RD @02
4545.50ns INFO [00004547] Port=1 RD @07
4546.50ns INFO [00004548] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378
4546.50ns INFO [00004548] Port=0 WR @03=9B4ADDC1F6C1B438C9
4546.50ns INFO [00004548] Port=0 RD @07
4547.50ns INFO [00004549] * RD COMPARE * port=0 adr=02 act=E2E3DA33CAA37CFFBD exp=E2E3DA33CAA37CFFBD
4547.50ns INFO [00004549] * RD COMPARE * port=1 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89
4547.50ns INFO [00004549] Port=0 RD @06
4548.50ns INFO [00004550] * RD COMPARE * port=0 adr=07 act=B5EA2D05A649D28C89 exp=B5EA2D05A649D28C89
4548.50ns INFO [00004550] Port=0 WR @07=7CCAAF11EABE978C2D
4548.50ns INFO [00004550] Port=0 RD @01
4549.50ns INFO [00004551] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378
4549.50ns INFO [00004551] Port=1 RD @00
4550.50ns INFO [00004552] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513
4550.50ns INFO [00004552] Port=0 WR @02=062682586035A22919
4551.50ns INFO [00004553] * RD COMPARE * port=1 adr=00 act=E402A411F98CA5A646 exp=E402A411F98CA5A646
4551.50ns INFO [00004553] Port=0 RD @06
4551.50ns INFO [00004553] Port=1 RD @00
4553.50ns INFO [00004555] * RD COMPARE * port=0 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378
4553.50ns INFO [00004555] * RD COMPARE * port=1 adr=00 act=E402A411F98CA5A646 exp=E402A411F98CA5A646
4554.50ns INFO [00004556] Port=0 RD @02
4555.50ns INFO [00004557] Port=0 WR @04=DD4007CC91856274F0
4555.50ns INFO [00004557] Port=0 RD @07
4556.50ns INFO [00004558] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4557.50ns INFO [00004559] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D
4557.50ns INFO [00004559] Port=0 RD @02
4558.50ns INFO [00004560] Port=1 RD @06
4559.50ns INFO [00004561] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4559.50ns INFO [00004561] Port=0 WR @03=12C63770C42A33C706
4559.50ns INFO [00004561] Port=0 RD @04
4559.50ns INFO [00004561] Port=1 RD @02
4560.50ns INFO [00004562] * RD COMPARE * port=1 adr=06 act=2CF97612D7DA40C378 exp=2CF97612D7DA40C378
4561.50ns INFO [00004563] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0
4561.50ns INFO [00004563] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4561.50ns INFO [00004563] Port=0 WR @06=A8A97A9567FEE364B4
4562.50ns INFO [00004564] Port=1 RD @02
4563.50ns INFO [00004565] Port=1 RD @02
4564.50ns INFO [00004566] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4564.50ns INFO [00004566] Port=0 RD @07
4565.50ns INFO [00004567] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4565.50ns INFO [00004567] Port=0 WR @00=80BA87F4E5D8C5046A
4566.50ns INFO [00004568] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D
4566.50ns INFO [00004568] Port=0 RD @07
4566.50ns INFO [00004568] Port=1 RD @00
4567.50ns INFO [00004569] Port=0 RD @03
4568.50ns INFO [00004570] * RD COMPARE * port=0 adr=07 act=7CCAAF11EABE978C2D exp=7CCAAF11EABE978C2D
4568.50ns INFO [00004570] * RD COMPARE * port=1 adr=00 act=80BA87F4E5D8C5046A exp=80BA87F4E5D8C5046A
4568.50ns INFO [00004570] Port=1 RD @06
4569.50ns INFO [00004571] * RD COMPARE * port=0 adr=03 act=12C63770C42A33C706 exp=12C63770C42A33C706
4569.50ns INFO [00004571] Port=0 WR @07=4FB43BB558974215FD
4569.50ns INFO [00004571] Port=0 RD @02
4570.50ns INFO [00004572] * RD COMPARE * port=1 adr=06 act=A8A97A9567FEE364B4 exp=A8A97A9567FEE364B4
4570.50ns INFO [00004572] Port=0 WR @06=5DF60D059D1558AF63
4570.50ns INFO [00004572] Port=0 RD @04
4570.50ns INFO [00004572] Port=1 RD @07
4571.50ns INFO [00004573] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4571.50ns INFO [00004573] Port=0 WR @03=EC4CE0F1C3AAC94BD2
4571.50ns INFO [00004573] Port=1 RD @06
4572.50ns INFO [00004574] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0
4572.50ns INFO [00004574] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4572.50ns INFO [00004574] Port=0 RD @05
4572.50ns INFO [00004574] Port=1 RD @03
4573.50ns INFO [00004575] * RD COMPARE * port=1 adr=06 act=5DF60D059D1558AF63 exp=5DF60D059D1558AF63
4574.50ns INFO [00004576] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68
4574.50ns INFO [00004576] * RD COMPARE * port=1 adr=03 act=EC4CE0F1C3AAC94BD2 exp=EC4CE0F1C3AAC94BD2
4574.50ns INFO [00004576] Port=1 RD @02
4575.50ns INFO [00004577] Port=0 WR @06=52C518BD5B9F581FA7
4575.50ns INFO [00004577] Port=0 RD @07
4576.50ns INFO [00004578] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4577.50ns INFO [00004579] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4577.50ns INFO [00004579] Port=0 RD @01
4579.50ns INFO [00004581] * RD COMPARE * port=0 adr=01 act=2DAD1E896F19DCE513 exp=2DAD1E896F19DCE513
4579.50ns INFO [00004581] Port=0 WR @01=7260EA41B2DD465E57
4579.50ns INFO [00004581] Port=0 RD @04
4580.50ns INFO [00004582] Port=0 WR @03=DCB1A335CDF45AAE2E
4580.50ns INFO [00004582] Port=0 RD @05
4580.50ns INFO [00004582] Port=1 RD @02
4581.50ns INFO [00004583] * RD COMPARE * port=0 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0
4581.50ns INFO [00004583] Port=0 WR @01=F88998D45091E84D2B
4582.50ns INFO [00004584] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68
4582.50ns INFO [00004584] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4582.50ns INFO [00004584] Port=1 RD @03
4583.50ns INFO [00004585] Port=0 WR @03=B47CB0F344AA05B18B
4584.50ns INFO [00004586] * RD COMPARE * port=1 adr=03 act=DCB1A335CDF45AAE2E exp=DCB1A335CDF45AAE2E
4584.50ns INFO [00004586] Port=1 RD @00
4585.50ns INFO [00004587] Port=1 RD @02
4586.50ns INFO [00004588] * RD COMPARE * port=1 adr=00 act=80BA87F4E5D8C5046A exp=80BA87F4E5D8C5046A
4586.50ns INFO [00004588] Port=1 RD @06
4587.50ns INFO [00004589] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4587.50ns INFO [00004589] Port=1 RD @06
4588.50ns INFO [00004590] * RD COMPARE * port=1 adr=06 act=52C518BD5B9F581FA7 exp=52C518BD5B9F581FA7
4589.50ns INFO [00004591] * RD COMPARE * port=1 adr=06 act=52C518BD5B9F581FA7 exp=52C518BD5B9F581FA7
4589.50ns INFO [00004591] Port=0 WR @06=80D29D596C97EB8D92
4589.50ns INFO [00004591] Port=0 RD @03
4590.50ns INFO [00004592] Port=0 RD @02
4591.50ns INFO [00004593] * RD COMPARE * port=0 adr=03 act=B47CB0F344AA05B18B exp=B47CB0F344AA05B18B
4591.50ns INFO [00004593] Port=0 WR @00=A8EF18D54F686AAEB8
4591.50ns INFO [00004593] Port=0 RD @02
4592.50ns INFO [00004594] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4592.50ns INFO [00004594] Port=0 WR @00=B348A1BC3A740764DC
4592.50ns INFO [00004594] Port=0 RD @02
4593.50ns INFO [00004595] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4593.50ns INFO [00004595] Port=0 RD @05
4594.50ns INFO [00004596] * RD COMPARE * port=0 adr=02 act=062682586035A22919 exp=062682586035A22919
4595.50ns INFO [00004597] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68
4595.50ns INFO [00004597] Port=1 RD @05
4596.50ns INFO [00004598] Port=0 RD @01
4596.50ns INFO [00004598] Port=1 RD @02
4597.50ns INFO [00004599] * RD COMPARE * port=1 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68
4598.00ns INFO [00004600] [00004600] ...tick...
4598.50ns INFO [00004600] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B
4598.50ns INFO [00004600] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4598.50ns INFO [00004600] Port=1 RD @02
4599.50ns INFO [00004601] Port=0 WR @02=9D7058DC3B5EA9F1F2
4599.50ns INFO [00004601] Port=0 RD @05
4600.50ns INFO [00004602] * RD COMPARE * port=1 adr=02 act=062682586035A22919 exp=062682586035A22919
4601.50ns INFO [00004603] * RD COMPARE * port=0 adr=05 act=0226597CC392F30C68 exp=0226597CC392F30C68
4601.50ns INFO [00004603] Port=0 WR @06=170918B0AD1ACDF5EA
4602.50ns INFO [00004604] Port=1 RD @06
4604.50ns INFO [00004606] * RD COMPARE * port=1 adr=06 act=170918B0AD1ACDF5EA exp=170918B0AD1ACDF5EA
4604.50ns INFO [00004606] Port=0 RD @02
4604.50ns INFO [00004606] Port=1 RD @02
4605.50ns INFO [00004607] Port=0 RD @01
4605.50ns INFO [00004607] Port=1 RD @06
4606.50ns INFO [00004608] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4606.50ns INFO [00004608] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4606.50ns INFO [00004608] Port=0 WR @06=00F46A038A027E76D7
4607.50ns INFO [00004609] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B
4607.50ns INFO [00004609] * RD COMPARE * port=1 adr=06 act=170918B0AD1ACDF5EA exp=170918B0AD1ACDF5EA
4607.50ns INFO [00004609] Port=0 WR @03=5C4A4CC61E8EFCD15F
4607.50ns INFO [00004609] Port=0 RD @01
4607.50ns INFO [00004609] Port=1 RD @07
4608.50ns INFO [00004610] Port=0 WR @05=FCBB9970936B3DF390
4608.50ns INFO [00004610] Port=0 RD @00
4608.50ns INFO [00004610] Port=1 RD @04
4609.50ns INFO [00004611] * RD COMPARE * port=0 adr=01 act=F88998D45091E84D2B exp=F88998D45091E84D2B
4609.50ns INFO [00004611] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4609.50ns INFO [00004611] Port=0 WR @01=561DD3D20A3E724BC7
4609.50ns INFO [00004611] Port=0 RD @06
4610.50ns INFO [00004612] * RD COMPARE * port=0 adr=00 act=B348A1BC3A740764DC exp=B348A1BC3A740764DC
4610.50ns INFO [00004612] * RD COMPARE * port=1 adr=04 act=DD4007CC91856274F0 exp=DD4007CC91856274F0
4610.50ns INFO [00004612] Port=0 RD @07
4610.50ns INFO [00004612] Port=1 RD @05
4611.50ns INFO [00004613] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4612.50ns INFO [00004614] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4612.50ns INFO [00004614] * RD COMPARE * port=1 adr=05 act=FCBB9970936B3DF390 exp=FCBB9970936B3DF390
4612.50ns INFO [00004614] Port=0 WR @00=0F6D71BB99EBB4B89B
4612.50ns INFO [00004614] Port=1 RD @06
4613.50ns INFO [00004615] Port=1 RD @06
4614.50ns INFO [00004616] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4614.50ns INFO [00004616] Port=1 RD @06
4615.50ns INFO [00004617] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4615.50ns INFO [00004617] Port=0 RD @06
4616.50ns INFO [00004618] * RD COMPARE * port=1 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4616.50ns INFO [00004618] Port=0 WR @04=F7ED04AD2981D33ECD
4616.50ns INFO [00004618] Port=0 RD @06
4617.50ns INFO [00004619] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4617.50ns INFO [00004619] Port=0 WR @06=41F538353E511DE72A
4617.50ns INFO [00004619] Port=1 RD @00
4618.50ns INFO [00004620] * RD COMPARE * port=0 adr=06 act=00F46A038A027E76D7 exp=00F46A038A027E76D7
4618.50ns INFO [00004620] Port=1 RD @01
4619.50ns INFO [00004621] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B
4619.50ns INFO [00004621] Port=0 WR @01=BA9C09E94E51296078
4620.50ns INFO [00004622] * RD COMPARE * port=1 adr=01 act=561DD3D20A3E724BC7 exp=561DD3D20A3E724BC7
4620.50ns INFO [00004622] Port=0 WR @05=8A19C11F80848A7602
4620.50ns INFO [00004622] Port=0 RD @07
4621.50ns INFO [00004623] Port=1 RD @02
4622.50ns INFO [00004624] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4622.50ns INFO [00004624] Port=0 WR @03=E23FC064CEF0F950C9
4622.50ns INFO [00004624] Port=0 RD @04
4623.50ns INFO [00004625] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4623.50ns INFO [00004625] Port=0 WR @04=F220BF3C6F6B615673
4624.50ns INFO [00004626] * RD COMPARE * port=0 adr=04 act=F7ED04AD2981D33ECD exp=F7ED04AD2981D33ECD
4624.50ns INFO [00004626] Port=0 WR @05=29B8441A4A8074A756
4624.50ns INFO [00004626] Port=1 RD @02
4625.50ns INFO [00004627] Port=1 RD @05
4626.50ns INFO [00004628] * RD COMPARE * port=1 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4626.50ns INFO [00004628] Port=0 WR @05=293E0690D3E676C4B8
4626.50ns INFO [00004628] Port=1 RD @06
4627.50ns INFO [00004629] * RD COMPARE * port=1 adr=05 act=29B8441A4A8074A756 exp=29B8441A4A8074A756
4627.50ns INFO [00004629] Port=0 RD @02
4628.50ns INFO [00004630] * RD COMPARE * port=1 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A
4628.50ns INFO [00004630] Port=0 RD @06
4628.50ns INFO [00004630] Port=1 RD @07
4629.50ns INFO [00004631] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4629.50ns INFO [00004631] Port=0 RD @06
4630.50ns INFO [00004632] * RD COMPARE * port=0 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A
4630.50ns INFO [00004632] * RD COMPARE * port=1 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4630.50ns INFO [00004632] Port=0 RD @01
4631.50ns INFO [00004633] * RD COMPARE * port=0 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A
4631.50ns INFO [00004633] Port=0 RD @07
4632.50ns INFO [00004634] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4633.50ns INFO [00004635] * RD COMPARE * port=0 adr=07 act=4FB43BB558974215FD exp=4FB43BB558974215FD
4633.50ns INFO [00004635] Port=0 RD @03
4633.50ns INFO [00004635] Port=1 RD @06
4635.50ns INFO [00004637] * RD COMPARE * port=0 adr=03 act=E23FC064CEF0F950C9 exp=E23FC064CEF0F950C9
4635.50ns INFO [00004637] * RD COMPARE * port=1 adr=06 act=41F538353E511DE72A exp=41F538353E511DE72A
4635.50ns INFO [00004637] Port=0 WR @03=D2DE1FF7A04B4FA5C8
4635.50ns INFO [00004637] Port=0 RD @02
4636.50ns INFO [00004638] Port=0 WR @06=BF64D9B00D97C0D8B6
4636.50ns INFO [00004638] Port=0 RD @03
4636.50ns INFO [00004638] Port=1 RD @01
4637.50ns INFO [00004639] * RD COMPARE * port=0 adr=02 act=9D7058DC3B5EA9F1F2 exp=9D7058DC3B5EA9F1F2
4637.50ns INFO [00004639] Port=0 RD @04
4638.50ns INFO [00004640] * RD COMPARE * port=0 adr=03 act=D2DE1FF7A04B4FA5C8 exp=D2DE1FF7A04B4FA5C8
4638.50ns INFO [00004640] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4638.50ns INFO [00004640] Port=1 RD @05
4639.50ns INFO [00004641] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673
4639.50ns INFO [00004641] Port=0 WR @07=462E7CA392DAC033E2
4639.50ns INFO [00004641] Port=1 RD @01
4640.50ns INFO [00004642] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4640.50ns INFO [00004642] Port=0 WR @03=16E0280090B482C3D2
4640.50ns INFO [00004642] Port=1 RD @01
4641.50ns INFO [00004643] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4641.50ns INFO [00004643] Port=0 WR @02=46489E22E4839F95BA
4641.50ns INFO [00004643] Port=1 RD @00
4642.50ns INFO [00004644] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4642.50ns INFO [00004644] Port=1 RD @07
4643.50ns INFO [00004645] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B
4643.50ns INFO [00004645] Port=0 RD @02
4643.50ns INFO [00004645] Port=1 RD @03
4644.50ns INFO [00004646] * RD COMPARE * port=1 adr=07 act=462E7CA392DAC033E2 exp=462E7CA392DAC033E2
4644.50ns INFO [00004646] Port=0 RD @04
4644.50ns INFO [00004646] Port=1 RD @06
4645.50ns INFO [00004647] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4645.50ns INFO [00004647] * RD COMPARE * port=1 adr=03 act=16E0280090B482C3D2 exp=16E0280090B482C3D2
4646.50ns INFO [00004648] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673
4646.50ns INFO [00004648] * RD COMPARE * port=1 adr=06 act=BF64D9B00D97C0D8B6 exp=BF64D9B00D97C0D8B6
4646.50ns INFO [00004648] Port=1 RD @03
4647.50ns INFO [00004649] Port=0 RD @02
4648.50ns INFO [00004650] * RD COMPARE * port=1 adr=03 act=16E0280090B482C3D2 exp=16E0280090B482C3D2
4649.50ns INFO [00004651] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4649.50ns INFO [00004651] Port=0 RD @05
4649.50ns INFO [00004651] Port=1 RD @02
4651.50ns INFO [00004653] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4651.50ns INFO [00004653] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4651.50ns INFO [00004653] Port=0 WR @07=1237F7D7565AEFE90E
4651.50ns INFO [00004653] Port=1 RD @00
4652.50ns INFO [00004654] Port=1 RD @04
4653.50ns INFO [00004655] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B
4654.50ns INFO [00004656] * RD COMPARE * port=1 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673
4654.50ns INFO [00004656] Port=0 RD @07
4654.50ns INFO [00004656] Port=1 RD @07
4655.50ns INFO [00004657] Port=1 RD @00
4656.50ns INFO [00004658] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E
4656.50ns INFO [00004658] * RD COMPARE * port=1 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E
4656.50ns INFO [00004658] Port=0 RD @04
4657.50ns INFO [00004659] * RD COMPARE * port=1 adr=00 act=0F6D71BB99EBB4B89B exp=0F6D71BB99EBB4B89B
4657.50ns INFO [00004659] Port=1 RD @01
4658.50ns INFO [00004660] * RD COMPARE * port=0 adr=04 act=F220BF3C6F6B615673 exp=F220BF3C6F6B615673
4658.50ns INFO [00004660] Port=1 RD @01
4659.50ns INFO [00004661] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4660.50ns INFO [00004662] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4660.50ns INFO [00004662] Port=0 WR @04=82891D1BCEB4587874
4660.50ns INFO [00004662] Port=1 RD @01
4661.50ns INFO [00004663] Port=0 WR @03=BAC5153442FEABA778
4661.50ns INFO [00004663] Port=0 RD @07
4662.50ns INFO [00004664] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4662.50ns INFO [00004664] Port=0 RD @01
4662.50ns INFO [00004664] Port=1 RD @05
4663.50ns INFO [00004665] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E
4663.50ns INFO [00004665] Port=0 RD @04
4664.50ns INFO [00004666] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4664.50ns INFO [00004666] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4664.50ns INFO [00004666] Port=0 WR @06=185EC0C7CFABC7486E
4664.50ns INFO [00004666] Port=1 RD @01
4665.50ns INFO [00004667] * RD COMPARE * port=0 adr=04 act=82891D1BCEB4587874 exp=82891D1BCEB4587874
4665.50ns INFO [00004667] Port=1 RD @05
4666.50ns INFO [00004668] * RD COMPARE * port=1 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4666.50ns INFO [00004668] Port=0 WR @03=9FB29CA521F220B832
4666.50ns INFO [00004668] Port=0 RD @07
4666.50ns INFO [00004668] Port=1 RD @02
4667.50ns INFO [00004669] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4667.50ns INFO [00004669] Port=0 RD @05
4668.50ns INFO [00004670] * RD COMPARE * port=0 adr=07 act=1237F7D7565AEFE90E exp=1237F7D7565AEFE90E
4668.50ns INFO [00004670] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4668.50ns INFO [00004670] Port=0 WR @00=72986D6FE1314172C7
4668.50ns INFO [00004670] Port=0 RD @03
4669.50ns INFO [00004671] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4669.50ns INFO [00004671] Port=0 WR @03=BE3DAF7BE4918C5862
4669.50ns INFO [00004671] Port=0 RD @02
4669.50ns INFO [00004671] Port=1 RD @02
4670.50ns INFO [00004672] * RD COMPARE * port=0 adr=03 act=9FB29CA521F220B832 exp=9FB29CA521F220B832
4671.50ns INFO [00004673] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4671.50ns INFO [00004673] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4671.50ns INFO [00004673] Port=0 WR @04=066DDF460AE120CABC
4672.50ns INFO [00004674] Port=0 WR @00=77E58D3CFB7BF46516
4672.50ns INFO [00004674] Port=1 RD @02
4673.50ns INFO [00004675] Port=0 WR @06=16CC831818EDC61CAB
4673.50ns INFO [00004675] Port=1 RD @03
4674.50ns INFO [00004676] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4674.50ns INFO [00004676] Port=0 WR @06=2678A56FE3B2DBC5F6
4674.50ns INFO [00004676] Port=1 RD @03
4675.50ns INFO [00004677] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862
4676.50ns INFO [00004678] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862
4676.50ns INFO [00004678] Port=0 RD @01
4677.50ns INFO [00004679] Port=0 WR @06=C2013F86C59626FE75
4677.50ns INFO [00004679] Port=0 RD @04
4677.50ns INFO [00004679] Port=1 RD @00
4678.50ns INFO [00004680] * RD COMPARE * port=0 adr=01 act=BA9C09E94E51296078 exp=BA9C09E94E51296078
4678.50ns INFO [00004680] Port=1 RD @03
4679.50ns INFO [00004681] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC
4679.50ns INFO [00004681] * RD COMPARE * port=1 adr=00 act=77E58D3CFB7BF46516 exp=77E58D3CFB7BF46516
4680.50ns INFO [00004682] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862
4680.50ns INFO [00004682] Port=0 WR @01=D949E48B1114B8D38A
4680.50ns INFO [00004682] Port=1 RD @02
4681.50ns INFO [00004683] Port=0 WR @06=3CC05187D0A6BD92E7
4681.50ns INFO [00004683] Port=0 RD @04
4682.50ns INFO [00004684] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4683.50ns INFO [00004685] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC
4683.50ns INFO [00004685] Port=1 RD @00
4685.50ns INFO [00004687] * RD COMPARE * port=1 adr=00 act=77E58D3CFB7BF46516 exp=77E58D3CFB7BF46516
4686.50ns INFO [00004688] Port=0 WR @07=43B4FF8FCA880E65B9
4686.50ns INFO [00004688] Port=0 RD @04
4686.50ns INFO [00004688] Port=1 RD @02
4687.50ns INFO [00004689] Port=0 WR @00=B72E138AA9B22EA6E1
4687.50ns INFO [00004689] Port=0 RD @02
4687.50ns INFO [00004689] Port=1 RD @04
4688.50ns INFO [00004690] * RD COMPARE * port=0 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC
4688.50ns INFO [00004690] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4688.50ns INFO [00004690] Port=0 WR @00=87603CC02983982F09
4688.50ns INFO [00004690] Port=1 RD @05
4689.50ns INFO [00004691] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4689.50ns INFO [00004691] * RD COMPARE * port=1 adr=04 act=066DDF460AE120CABC exp=066DDF460AE120CABC
4690.50ns INFO [00004692] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4690.50ns INFO [00004692] Port=0 WR @01=83F54BC608FD81507F
4690.50ns INFO [00004692] Port=0 RD @07
4691.50ns INFO [00004693] Port=0 WR @07=20D9F3F6B7E161364C
4691.50ns INFO [00004693] Port=0 RD @01
4692.50ns INFO [00004694] * RD COMPARE * port=0 adr=07 act=43B4FF8FCA880E65B9 exp=43B4FF8FCA880E65B9
4692.50ns INFO [00004694] Port=0 WR @06=13148CE7228AF12432
4693.50ns INFO [00004695] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F
4695.50ns INFO [00004697] Port=0 RD @01
4695.50ns INFO [00004697] Port=1 RD @07
4697.50ns INFO [00004699] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F
4697.50ns INFO [00004699] * RD COMPARE * port=1 adr=07 act=20D9F3F6B7E161364C exp=20D9F3F6B7E161364C
4697.50ns INFO [00004699] Port=0 WR @00=F9622A816089A60769
4697.50ns INFO [00004699] Port=1 RD @06
4698.00ns INFO [00004700] [00004700] ...tick...
4698.50ns INFO [00004700] Port=0 WR @04=C4A30540CB73A0C67D
4698.50ns INFO [00004700] Port=0 RD @01
4699.50ns INFO [00004701] * RD COMPARE * port=1 adr=06 act=13148CE7228AF12432 exp=13148CE7228AF12432
4699.50ns INFO [00004701] Port=0 RD @04
4699.50ns INFO [00004701] Port=1 RD @01
4700.50ns INFO [00004702] * RD COMPARE * port=0 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F
4700.50ns INFO [00004702] Port=0 RD @04
4701.50ns INFO [00004703] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4701.50ns INFO [00004703] * RD COMPARE * port=1 adr=01 act=83F54BC608FD81507F exp=83F54BC608FD81507F
4701.50ns INFO [00004703] Port=0 WR @06=A10CECDDC8B7E26873
4701.50ns INFO [00004703] Port=0 RD @00
4702.50ns INFO [00004704] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4702.50ns INFO [00004704] Port=0 WR @01=D281D03F370198025A
4702.50ns INFO [00004704] Port=0 RD @04
4702.50ns INFO [00004704] Port=1 RD @05
4703.50ns INFO [00004705] * RD COMPARE * port=0 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769
4704.50ns INFO [00004706] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4704.50ns INFO [00004706] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4704.50ns INFO [00004706] Port=0 RD @02
4704.50ns INFO [00004706] Port=1 RD @04
4705.50ns INFO [00004707] Port=0 RD @03
4706.50ns INFO [00004708] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4706.50ns INFO [00004708] * RD COMPARE * port=1 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4707.50ns INFO [00004709] * RD COMPARE * port=0 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862
4707.50ns INFO [00004709] Port=1 RD @03
4708.50ns INFO [00004710] Port=1 RD @01
4709.50ns INFO [00004711] * RD COMPARE * port=1 adr=03 act=BE3DAF7BE4918C5862 exp=BE3DAF7BE4918C5862
4709.50ns INFO [00004711] Port=0 WR @03=A084A8EC4035911867
4709.50ns INFO [00004711] Port=1 RD @00
4710.50ns INFO [00004712] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A
4711.50ns INFO [00004713] * RD COMPARE * port=1 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769
4711.50ns INFO [00004713] Port=0 RD @04
4711.50ns INFO [00004713] Port=1 RD @01
4712.50ns INFO [00004714] Port=1 RD @01
4713.50ns INFO [00004715] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4713.50ns INFO [00004715] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A
4713.50ns INFO [00004715] Port=0 RD @04
4713.50ns INFO [00004715] Port=1 RD @06
4714.50ns INFO [00004716] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A
4715.50ns INFO [00004717] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4715.50ns INFO [00004717] * RD COMPARE * port=1 adr=06 act=A10CECDDC8B7E26873 exp=A10CECDDC8B7E26873
4715.50ns INFO [00004717] Port=0 RD @03
4715.50ns INFO [00004717] Port=1 RD @01
4716.50ns INFO [00004718] Port=0 WR @03=08ACAFE118A72341F6
4717.50ns INFO [00004719] * RD COMPARE * port=0 adr=03 act=A084A8EC4035911867 exp=A084A8EC4035911867
4717.50ns INFO [00004719] * RD COMPARE * port=1 adr=01 act=D281D03F370198025A exp=D281D03F370198025A
4717.50ns INFO [00004719] Port=0 WR @01=01F2A96ADC1C19E6CD
4717.50ns INFO [00004719] Port=0 RD @06
4718.50ns INFO [00004720] Port=0 RD @01
4719.50ns INFO [00004721] * RD COMPARE * port=0 adr=06 act=A10CECDDC8B7E26873 exp=A10CECDDC8B7E26873
4720.50ns INFO [00004722] * RD COMPARE * port=0 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD
4720.50ns INFO [00004722] Port=1 RD @02
4722.50ns INFO [00004724] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4722.50ns INFO [00004724] Port=0 WR @07=81C7384CAB55A5C1D4
4722.50ns INFO [00004724] Port=0 RD @00
4722.50ns INFO [00004724] Port=1 RD @05
4723.50ns INFO [00004725] Port=0 RD @04
4723.50ns INFO [00004725] Port=1 RD @07
4724.50ns INFO [00004726] * RD COMPARE * port=0 adr=00 act=F9622A816089A60769 exp=F9622A816089A60769
4724.50ns INFO [00004726] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4725.50ns INFO [00004727] * RD COMPARE * port=0 adr=04 act=C4A30540CB73A0C67D exp=C4A30540CB73A0C67D
4725.50ns INFO [00004727] * RD COMPARE * port=1 adr=07 act=81C7384CAB55A5C1D4 exp=81C7384CAB55A5C1D4
4725.50ns INFO [00004727] Port=0 RD @02
4726.50ns INFO [00004728] Port=1 RD @03
4727.50ns INFO [00004729] * RD COMPARE * port=0 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4727.50ns INFO [00004729] Port=0 WR @04=9331A99899803FB2B3
4727.50ns INFO [00004729] Port=0 RD @05
4728.50ns INFO [00004730] * RD COMPARE * port=1 adr=03 act=08ACAFE118A72341F6 exp=08ACAFE118A72341F6
4728.50ns INFO [00004730] Port=0 WR @03=2E060FA3F58E218155
4728.50ns INFO [00004730] Port=1 RD @04
4729.50ns INFO [00004731] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4729.50ns INFO [00004731] Port=1 RD @05
4730.50ns INFO [00004732] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4730.50ns INFO [00004732] Port=1 RD @01
4731.50ns INFO [00004733] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4732.50ns INFO [00004734] * RD COMPARE * port=1 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD
4732.50ns INFO [00004734] Port=0 WR @00=24D9A2228DCF3B0630
4732.50ns INFO [00004734] Port=1 RD @02
4734.50ns INFO [00004736] * RD COMPARE * port=1 adr=02 act=46489E22E4839F95BA exp=46489E22E4839F95BA
4735.50ns INFO [00004737] Port=0 RD @03
4736.50ns INFO [00004738] Port=1 RD @03
4737.50ns INFO [00004739] * RD COMPARE * port=0 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155
4737.50ns INFO [00004739] Port=0 WR @07=397F0E4D2D1ED36A27
4738.50ns INFO [00004740] * RD COMPARE * port=1 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155
4740.50ns INFO [00004742] Port=0 RD @00
4740.50ns INFO [00004742] Port=1 RD @00
4741.50ns INFO [00004743] Port=0 WR @00=2E59DD81807471B850
4741.50ns INFO [00004743] Port=1 RD @07
4742.50ns INFO [00004744] * RD COMPARE * port=0 adr=00 act=24D9A2228DCF3B0630 exp=24D9A2228DCF3B0630
4742.50ns INFO [00004744] * RD COMPARE * port=1 adr=00 act=24D9A2228DCF3B0630 exp=24D9A2228DCF3B0630
4742.50ns INFO [00004744] Port=1 RD @01
4743.50ns INFO [00004745] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27
4743.50ns INFO [00004745] Port=1 RD @07
4744.50ns INFO [00004746] * RD COMPARE * port=1 adr=01 act=01F2A96ADC1C19E6CD exp=01F2A96ADC1C19E6CD
4744.50ns INFO [00004746] Port=0 WR @06=C5180DD5A1D1222AD3
4745.50ns INFO [00004747] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27
4745.50ns INFO [00004747] Port=0 WR @01=F2AA5D0339B8C5C158
4745.50ns INFO [00004747] Port=0 RD @06
4745.50ns INFO [00004747] Port=1 RD @06
4746.50ns INFO [00004748] Port=1 RD @04
4747.50ns INFO [00004749] * RD COMPARE * port=0 adr=06 act=C5180DD5A1D1222AD3 exp=C5180DD5A1D1222AD3
4747.50ns INFO [00004749] * RD COMPARE * port=1 adr=06 act=C5180DD5A1D1222AD3 exp=C5180DD5A1D1222AD3
4747.50ns INFO [00004749] Port=0 WR @02=EA3F0BB03FDC4960B3
4748.50ns INFO [00004750] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4748.50ns INFO [00004750] Port=1 RD @01
4749.50ns INFO [00004751] Port=1 RD @02
4750.50ns INFO [00004752] * RD COMPARE * port=1 adr=01 act=F2AA5D0339B8C5C158 exp=F2AA5D0339B8C5C158
4751.50ns INFO [00004753] * RD COMPARE * port=1 adr=02 act=EA3F0BB03FDC4960B3 exp=EA3F0BB03FDC4960B3
4751.50ns INFO [00004753] Port=0 WR @00=1BBCE74C52C138D635
4751.50ns INFO [00004753] Port=1 RD @03
4752.50ns INFO [00004754] Port=0 WR @03=0FD2BBE9C60B973DEC
4752.50ns INFO [00004754] Port=1 RD @07
4753.50ns INFO [00004755] * RD COMPARE * port=1 adr=03 act=2E060FA3F58E218155 exp=2E060FA3F58E218155
4753.50ns INFO [00004755] Port=1 RD @02
4754.50ns INFO [00004756] * RD COMPARE * port=1 adr=07 act=397F0E4D2D1ED36A27 exp=397F0E4D2D1ED36A27
4754.50ns INFO [00004756] Port=0 WR @07=934F851D1C3ECBF050
4754.50ns INFO [00004756] Port=0 RD @01
4755.50ns INFO [00004757] * RD COMPARE * port=1 adr=02 act=EA3F0BB03FDC4960B3 exp=EA3F0BB03FDC4960B3
4755.50ns INFO [00004757] Port=0 WR @01=BC52E75593D265505B
4756.50ns INFO [00004758] * RD COMPARE * port=0 adr=01 act=F2AA5D0339B8C5C158 exp=F2AA5D0339B8C5C158
4756.50ns INFO [00004758] Port=0 WR @01=029D1C2159D8AF9D86
4757.50ns INFO [00004759] Port=0 WR @00=64D943D9BF1BCF3FA0
4757.50ns INFO [00004759] Port=1 RD @04
4758.50ns INFO [00004760] Port=0 RD @07
4759.50ns INFO [00004761] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4760.50ns INFO [00004762] * RD COMPARE * port=0 adr=07 act=934F851D1C3ECBF050 exp=934F851D1C3ECBF050
4760.50ns INFO [00004762] Port=0 WR @06=2F7AC1C4E48E9BF705
4761.50ns INFO [00004763] Port=0 WR @00=8BFA00FCDB850F062B
4762.50ns INFO [00004764] Port=0 RD @05
4762.50ns INFO [00004764] Port=1 RD @01
4763.50ns INFO [00004765] Port=0 RD @04
4764.50ns INFO [00004766] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4764.50ns INFO [00004766] * RD COMPARE * port=1 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86
4764.50ns INFO [00004766] Port=0 RD @03
4765.50ns INFO [00004767] * RD COMPARE * port=0 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4765.50ns INFO [00004767] Port=0 RD @06
4766.50ns INFO [00004768] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4766.50ns INFO [00004768] Port=0 WR @07=278CA088DA26FC4531
4766.50ns INFO [00004768] Port=1 RD @04
4767.50ns INFO [00004769] * RD COMPARE * port=0 adr=06 act=2F7AC1C4E48E9BF705 exp=2F7AC1C4E48E9BF705
4767.50ns INFO [00004769] Port=0 RD @00
4768.50ns INFO [00004770] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4768.50ns INFO [00004770] Port=1 RD @03
4769.50ns INFO [00004771] * RD COMPARE * port=0 adr=00 act=8BFA00FCDB850F062B exp=8BFA00FCDB850F062B
4769.50ns INFO [00004771] Port=0 RD @05
4769.50ns INFO [00004771] Port=1 RD @04
4770.50ns INFO [00004772] * RD COMPARE * port=1 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4771.50ns INFO [00004773] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4771.50ns INFO [00004773] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4771.50ns INFO [00004773] Port=1 RD @06
4773.50ns INFO [00004775] * RD COMPARE * port=1 adr=06 act=2F7AC1C4E48E9BF705 exp=2F7AC1C4E48E9BF705
4774.50ns INFO [00004776] Port=0 RD @04
4776.50ns INFO [00004778] * RD COMPARE * port=0 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4777.50ns INFO [00004779] Port=0 RD @01
4777.50ns INFO [00004779] Port=1 RD @04
4778.50ns INFO [00004780] Port=0 WR @06=E198D08893DB707760
4778.50ns INFO [00004780] Port=1 RD @01
4779.50ns INFO [00004781] * RD COMPARE * port=0 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86
4779.50ns INFO [00004781] * RD COMPARE * port=1 adr=04 act=9331A99899803FB2B3 exp=9331A99899803FB2B3
4779.50ns INFO [00004781] Port=0 RD @03
4779.50ns INFO [00004781] Port=1 RD @00
4780.50ns INFO [00004782] * RD COMPARE * port=1 adr=01 act=029D1C2159D8AF9D86 exp=029D1C2159D8AF9D86
4780.50ns INFO [00004782] Port=0 WR @01=9948D84CB466B833F6
4781.50ns INFO [00004783] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4781.50ns INFO [00004783] * RD COMPARE * port=1 adr=00 act=8BFA00FCDB850F062B exp=8BFA00FCDB850F062B
4781.50ns INFO [00004783] Port=0 RD @06
4783.50ns INFO [00004785] * RD COMPARE * port=0 adr=06 act=E198D08893DB707760 exp=E198D08893DB707760
4785.50ns INFO [00004787] Port=0 WR @02=FD7E9926ECF33FAC0A
4785.50ns INFO [00004787] Port=1 RD @05
4786.50ns INFO [00004788] Port=0 RD @07
4786.50ns INFO [00004788] Port=1 RD @05
4787.50ns INFO [00004789] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4787.50ns INFO [00004789] Port=1 RD @05
4788.50ns INFO [00004790] * RD COMPARE * port=0 adr=07 act=278CA088DA26FC4531 exp=278CA088DA26FC4531
4788.50ns INFO [00004790] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4789.50ns INFO [00004791] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4792.50ns INFO [00004794] Port=0 WR @04=50FB1489828F07C2A9
4793.50ns INFO [00004795] Port=1 RD @05
4794.50ns INFO [00004796] Port=1 RD @06
4795.50ns INFO [00004797] * RD COMPARE * port=1 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4795.50ns INFO [00004797] Port=0 RD @02
4795.50ns INFO [00004797] Port=1 RD @03
4796.50ns INFO [00004798] * RD COMPARE * port=1 adr=06 act=E198D08893DB707760 exp=E198D08893DB707760
4797.50ns INFO [00004799] * RD COMPARE * port=0 adr=02 act=FD7E9926ECF33FAC0A exp=FD7E9926ECF33FAC0A
4797.50ns INFO [00004799] * RD COMPARE * port=1 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4797.50ns INFO [00004799] Port=0 RD @01
4798.00ns INFO [00004800] [00004800] ...tick...
4798.50ns INFO [00004800] Port=0 WR @00=ED63AE97031D652B06
4798.50ns INFO [00004800] Port=0 RD @01
4799.50ns INFO [00004801] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4799.50ns INFO [00004801] Port=0 WR @06=025775943474A36574
4799.50ns INFO [00004801] Port=0 RD @05
4799.50ns INFO [00004801] Port=1 RD @01
4800.50ns INFO [00004802] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4800.50ns INFO [00004802] Port=0 WR @07=376BD59875F573BE29
4801.50ns INFO [00004803] * RD COMPARE * port=0 adr=05 act=293E0690D3E676C4B8 exp=293E0690D3E676C4B8
4801.50ns INFO [00004803] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4801.50ns INFO [00004803] Port=1 RD @02
4803.50ns INFO [00004805] * RD COMPARE * port=1 adr=02 act=FD7E9926ECF33FAC0A exp=FD7E9926ECF33FAC0A
4803.50ns INFO [00004805] Port=1 RD @04
4804.50ns INFO [00004806] Port=0 RD @01
4805.50ns INFO [00004807] * RD COMPARE * port=1 adr=04 act=50FB1489828F07C2A9 exp=50FB1489828F07C2A9
4805.50ns INFO [00004807] Port=0 WR @06=8B17589F95804E9718
4806.50ns INFO [00004808] * RD COMPARE * port=0 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4806.50ns INFO [00004808] Port=0 RD @03
4806.50ns INFO [00004808] Port=1 RD @00
4807.50ns INFO [00004809] Port=0 WR @04=E36EB652B70A9F2724
4808.50ns INFO [00004810] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4808.50ns INFO [00004810] * RD COMPARE * port=1 adr=00 act=ED63AE97031D652B06 exp=ED63AE97031D652B06
4808.50ns INFO [00004810] Port=0 WR @07=85D7964A615D8D38C6
4809.50ns INFO [00004811] Port=0 WR @00=F38D3AE63D604D4095
4810.50ns INFO [00004812] Port=1 RD @06
4812.50ns INFO [00004814] * RD COMPARE * port=1 adr=06 act=8B17589F95804E9718 exp=8B17589F95804E9718
4812.50ns INFO [00004814] Port=1 RD @04
4813.50ns INFO [00004815] Port=0 WR @04=3FA8E00EC0FDFBF928
4814.50ns INFO [00004816] * RD COMPARE * port=1 adr=04 act=E36EB652B70A9F2724 exp=E36EB652B70A9F2724
4814.50ns INFO [00004816] Port=1 RD @01
4815.50ns INFO [00004817] Port=0 WR @02=3B4B73775562ABC90E
4815.50ns INFO [00004817] Port=0 RD @00
4816.50ns INFO [00004818] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4816.50ns INFO [00004818] Port=1 RD @02
4817.50ns INFO [00004819] * RD COMPARE * port=0 adr=00 act=F38D3AE63D604D4095 exp=F38D3AE63D604D4095
4817.50ns INFO [00004819] Port=0 WR @05=FABEDFBCDD2142B55B
4818.50ns INFO [00004820] * RD COMPARE * port=1 adr=02 act=3B4B73775562ABC90E exp=3B4B73775562ABC90E
4818.50ns INFO [00004820] Port=0 WR @05=5B2840DE969A2233AA
4818.50ns INFO [00004820] Port=0 RD @00
4819.50ns INFO [00004821] Port=1 RD @05
4820.50ns INFO [00004822] * RD COMPARE * port=0 adr=00 act=F38D3AE63D604D4095 exp=F38D3AE63D604D4095
4820.50ns INFO [00004822] Port=0 WR @00=634F6EA01968876136
4820.50ns INFO [00004822] Port=0 RD @03
4821.50ns INFO [00004823] * RD COMPARE * port=1 adr=05 act=5B2840DE969A2233AA exp=5B2840DE969A2233AA
4821.50ns INFO [00004823] Port=0 WR @05=9A3A43F56DC6111A91
4821.50ns INFO [00004823] Port=0 RD @04
4822.50ns INFO [00004824] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4822.50ns INFO [00004824] Port=0 WR @07=C63B283B1F59CE919F
4822.50ns INFO [00004824] Port=1 RD @01
4823.50ns INFO [00004825] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4823.50ns INFO [00004825] Port=0 WR @06=9616A0F8B159A98A75
4823.50ns INFO [00004825] Port=0 RD @05
4823.50ns INFO [00004825] Port=1 RD @02
4824.50ns INFO [00004826] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4824.50ns INFO [00004826] Port=1 RD @06
4825.50ns INFO [00004827] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91
4825.50ns INFO [00004827] * RD COMPARE * port=1 adr=02 act=3B4B73775562ABC90E exp=3B4B73775562ABC90E
4826.50ns INFO [00004828] * RD COMPARE * port=1 adr=06 act=9616A0F8B159A98A75 exp=9616A0F8B159A98A75
4826.50ns INFO [00004828] Port=0 WR @06=83C022F6158182F816
4827.50ns INFO [00004829] Port=0 RD @00
4827.50ns INFO [00004829] Port=1 RD @04
4829.50ns INFO [00004831] * RD COMPARE * port=0 adr=00 act=634F6EA01968876136 exp=634F6EA01968876136
4829.50ns INFO [00004831] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4829.50ns INFO [00004831] Port=0 RD @04
4831.50ns INFO [00004833] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4831.50ns INFO [00004833] Port=0 WR @02=C8E3F3D8250514EF80
4831.50ns INFO [00004833] Port=0 RD @03
4831.50ns INFO [00004833] Port=1 RD @06
4832.50ns INFO [00004834] Port=0 RD @00
4833.50ns INFO [00004835] * RD COMPARE * port=0 adr=03 act=0FD2BBE9C60B973DEC exp=0FD2BBE9C60B973DEC
4833.50ns INFO [00004835] * RD COMPARE * port=1 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816
4833.50ns INFO [00004835] Port=0 WR @03=273DFFF6867A3614D4
4833.50ns INFO [00004835] Port=0 RD @04
4834.50ns INFO [00004836] * RD COMPARE * port=0 adr=00 act=634F6EA01968876136 exp=634F6EA01968876136
4834.50ns INFO [00004836] Port=0 RD @05
4835.50ns INFO [00004837] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4835.50ns INFO [00004837] Port=0 WR @00=59D7941C426508FA0B
4835.50ns INFO [00004837] Port=0 RD @05
4836.50ns INFO [00004838] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91
4836.50ns INFO [00004838] Port=0 WR @00=FE3BCFCE390E6582AA
4837.50ns INFO [00004839] * RD COMPARE * port=0 adr=05 act=9A3A43F56DC6111A91 exp=9A3A43F56DC6111A91
4837.50ns INFO [00004839] Port=0 WR @05=68D0D846A1C024D779
4837.50ns INFO [00004839] Port=1 RD @04
4838.50ns INFO [00004840] Port=0 WR @03=28694DFCE13BD39DB4
4838.50ns INFO [00004840] Port=1 RD @02
4839.50ns INFO [00004841] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4839.50ns INFO [00004841] Port=0 WR @02=7FE3360BF8AB2D1B84
4839.50ns INFO [00004841] Port=0 RD @00
4839.50ns INFO [00004841] Port=1 RD @01
4840.50ns INFO [00004842] * RD COMPARE * port=1 adr=02 act=C8E3F3D8250514EF80 exp=C8E3F3D8250514EF80
4840.50ns INFO [00004842] Port=0 RD @00
4841.50ns INFO [00004843] * RD COMPARE * port=0 adr=00 act=FE3BCFCE390E6582AA exp=FE3BCFCE390E6582AA
4841.50ns INFO [00004843] * RD COMPARE * port=1 adr=01 act=9948D84CB466B833F6 exp=9948D84CB466B833F6
4841.50ns INFO [00004843] Port=0 WR @02=12AB31709CC344C79F
4841.50ns INFO [00004843] Port=0 RD @03
4842.50ns INFO [00004844] * RD COMPARE * port=0 adr=00 act=FE3BCFCE390E6582AA exp=FE3BCFCE390E6582AA
4842.50ns INFO [00004844] Port=0 RD @04
4843.50ns INFO [00004845] * RD COMPARE * port=0 adr=03 act=28694DFCE13BD39DB4 exp=28694DFCE13BD39DB4
4843.50ns INFO [00004845] Port=0 RD @04
4843.50ns INFO [00004845] Port=1 RD @02
4844.50ns INFO [00004846] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4844.50ns INFO [00004846] Port=1 RD @04
4845.50ns INFO [00004847] * RD COMPARE * port=0 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4845.50ns INFO [00004847] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4846.50ns INFO [00004848] * RD COMPARE * port=1 adr=04 act=3FA8E00EC0FDFBF928 exp=3FA8E00EC0FDFBF928
4846.50ns INFO [00004848] Port=0 WR @04=716DCACDB933F36840
4846.50ns INFO [00004848] Port=0 RD @05
4846.50ns INFO [00004848] Port=1 RD @02
4847.50ns INFO [00004849] Port=0 WR @00=2D6DC47DEFA7E56A1C
4847.50ns INFO [00004849] Port=1 RD @07
4848.50ns INFO [00004850] * RD COMPARE * port=0 adr=05 act=68D0D846A1C024D779 exp=68D0D846A1C024D779
4848.50ns INFO [00004850] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4848.50ns INFO [00004850] Port=0 RD @06
4849.50ns INFO [00004851] * RD COMPARE * port=1 adr=07 act=C63B283B1F59CE919F exp=C63B283B1F59CE919F
4850.50ns INFO [00004852] * RD COMPARE * port=0 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816
4850.50ns INFO [00004852] Port=0 WR @05=338A70CDC1F465317A
4851.50ns INFO [00004853] Port=1 RD @06
4852.50ns INFO [00004854] Port=0 WR @03=003861218849345BC9
4852.50ns INFO [00004854] Port=0 RD @06
4853.50ns INFO [00004855] * RD COMPARE * port=1 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816
4853.50ns INFO [00004855] Port=1 RD @03
4854.50ns INFO [00004856] * RD COMPARE * port=0 adr=06 act=83C022F6158182F816 exp=83C022F6158182F816
4854.50ns INFO [00004856] Port=1 RD @04
4855.50ns INFO [00004857] * RD COMPARE * port=1 adr=03 act=003861218849345BC9 exp=003861218849345BC9
4855.50ns INFO [00004857] Port=1 RD @03
4856.50ns INFO [00004858] * RD COMPARE * port=1 adr=04 act=716DCACDB933F36840 exp=716DCACDB933F36840
4857.50ns INFO [00004859] * RD COMPARE * port=1 adr=03 act=003861218849345BC9 exp=003861218849345BC9
4857.50ns INFO [00004859] Port=0 RD @02
4859.50ns INFO [00004861] * RD COMPARE * port=0 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4859.50ns INFO [00004861] Port=0 RD @07
4860.50ns INFO [00004862] Port=0 RD @05
4861.50ns INFO [00004863] * RD COMPARE * port=0 adr=07 act=C63B283B1F59CE919F exp=C63B283B1F59CE919F
4862.50ns INFO [00004864] * RD COMPARE * port=0 adr=05 act=338A70CDC1F465317A exp=338A70CDC1F465317A
4862.50ns INFO [00004864] Port=0 WR @05=6BBDE9968A3886CDDA
4862.50ns INFO [00004864] Port=1 RD @02
4864.50ns INFO [00004866] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4864.50ns INFO [00004866] Port=0 RD @03
4864.50ns INFO [00004866] Port=1 RD @00
4865.50ns INFO [00004867] Port=0 WR @06=36D2838AB304048DFD
4866.50ns INFO [00004868] * RD COMPARE * port=0 adr=03 act=003861218849345BC9 exp=003861218849345BC9
4866.50ns INFO [00004868] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C
4866.50ns INFO [00004868] Port=0 WR @07=33B25415BE594442A3
4866.50ns INFO [00004868] Port=1 RD @02
4868.50ns INFO [00004870] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4868.50ns INFO [00004870] Port=1 RD @00
4869.50ns INFO [00004871] Port=0 WR @03=4B14C038EC9A5B8BD2
4869.50ns INFO [00004871] Port=0 RD @00
4869.50ns INFO [00004871] Port=1 RD @05
4870.50ns INFO [00004872] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C
4870.50ns INFO [00004872] Port=1 RD @06
4871.50ns INFO [00004873] * RD COMPARE * port=0 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C
4871.50ns INFO [00004873] * RD COMPARE * port=1 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA
4871.50ns INFO [00004873] Port=1 RD @05
4872.50ns INFO [00004874] * RD COMPARE * port=1 adr=06 act=36D2838AB304048DFD exp=36D2838AB304048DFD
4872.50ns INFO [00004874] Port=0 RD @03
4872.50ns INFO [00004874] Port=1 RD @02
4873.50ns INFO [00004875] * RD COMPARE * port=1 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA
4873.50ns INFO [00004875] Port=0 WR @04=DFD322EB2CEFEBB599
4873.50ns INFO [00004875] Port=0 RD @05
4874.50ns INFO [00004876] * RD COMPARE * port=0 adr=03 act=4B14C038EC9A5B8BD2 exp=4B14C038EC9A5B8BD2
4874.50ns INFO [00004876] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4875.50ns INFO [00004877] * RD COMPARE * port=0 adr=05 act=6BBDE9968A3886CDDA exp=6BBDE9968A3886CDDA
4875.50ns INFO [00004877] Port=0 RD @07
4875.50ns INFO [00004877] Port=1 RD @00
4877.50ns INFO [00004879] * RD COMPARE * port=0 adr=07 act=33B25415BE594442A3 exp=33B25415BE594442A3
4877.50ns INFO [00004879] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C
4877.50ns INFO [00004879] Port=1 RD @00
4878.50ns INFO [00004880] Port=0 WR @03=D352B08AFEA2B33268
4879.50ns INFO [00004881] * RD COMPARE * port=1 adr=00 act=2D6DC47DEFA7E56A1C exp=2D6DC47DEFA7E56A1C
4879.50ns INFO [00004881] Port=0 WR @03=9AC4F4ECBBCEE65ED3
4880.50ns INFO [00004882] Port=0 WR @00=4036FBB1048C636799
4880.50ns INFO [00004882] Port=0 RD @03
4881.50ns INFO [00004883] Port=0 WR @05=E97DC62343B42DAAAE
4882.50ns INFO [00004884] * RD COMPARE * port=0 adr=03 act=9AC4F4ECBBCEE65ED3 exp=9AC4F4ECBBCEE65ED3
4882.50ns INFO [00004884] Port=0 WR @06=8EA4D1497CD7A39D48
4883.50ns INFO [00004885] Port=0 WR @04=005F8E3E50A94D8331
4885.50ns INFO [00004887] Port=1 RD @06
4887.50ns INFO [00004889] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4889.50ns INFO [00004891] Port=0 WR @07=6DC38DC24902A81DFD
4890.50ns INFO [00004892] Port=0 RD @06
4891.50ns INFO [00004893] Port=0 RD @07
4891.50ns INFO [00004893] Port=1 RD @06
4892.50ns INFO [00004894] * RD COMPARE * port=0 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4892.50ns INFO [00004894] Port=0 WR @01=DFC3E111497D9A9844
4892.50ns INFO [00004894] Port=0 RD @07
4892.50ns INFO [00004894] Port=1 RD @07
4893.50ns INFO [00004895] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD
4893.50ns INFO [00004895] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4893.50ns INFO [00004895] Port=1 RD @02
4894.50ns INFO [00004896] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD
4894.50ns INFO [00004896] * RD COMPARE * port=1 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD
4894.50ns INFO [00004896] Port=0 RD @07
4895.50ns INFO [00004897] * RD COMPARE * port=1 adr=02 act=12AB31709CC344C79F exp=12AB31709CC344C79F
4895.50ns INFO [00004897] Port=1 RD @01
4896.50ns INFO [00004898] * RD COMPARE * port=0 adr=07 act=6DC38DC24902A81DFD exp=6DC38DC24902A81DFD
4897.50ns INFO [00004899] * RD COMPARE * port=1 adr=01 act=DFC3E111497D9A9844 exp=DFC3E111497D9A9844
4897.50ns INFO [00004899] Port=0 WR @01=5B65EAE4F66EFC71FC
4897.50ns INFO [00004899] Port=1 RD @00
4898.00ns INFO [00004900] [00004900] ...tick...
4898.50ns INFO [00004900] Port=0 WR @03=43B053B790688A63FC
4898.50ns INFO [00004900] Port=1 RD @06
4899.50ns INFO [00004901] * RD COMPARE * port=1 adr=00 act=4036FBB1048C636799 exp=4036FBB1048C636799
4899.50ns INFO [00004901] Port=0 WR @00=C5926CD961BBF40F78
4900.50ns INFO [00004902] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4901.50ns INFO [00004903] Port=0 WR @07=6E479C21618C758278
4902.50ns INFO [00004904] Port=0 WR @02=9370DFDD1FE9CE22A4
4902.50ns INFO [00004904] Port=0 RD @07
4903.50ns INFO [00004905] Port=0 WR @04=C27525E04B8599BA44
4904.50ns INFO [00004906] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4905.50ns INFO [00004907] Port=0 RD @01
4906.50ns INFO [00004908] Port=0 WR @02=5548AC18441A19E65C
4906.50ns INFO [00004908] Port=1 RD @07
4907.50ns INFO [00004909] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC
4907.50ns INFO [00004909] Port=0 WR @02=52CAC25B5FE1C95FDA
4907.50ns INFO [00004909] Port=0 RD @01
4907.50ns INFO [00004909] Port=1 RD @06
4908.50ns INFO [00004910] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4908.50ns INFO [00004910] Port=0 RD @07
4909.50ns INFO [00004911] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC
4909.50ns INFO [00004911] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4910.50ns INFO [00004912] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4911.50ns INFO [00004913] Port=0 WR @00=F10BE6707BFE804B62
4913.50ns INFO [00004915] Port=0 RD @01
4914.50ns INFO [00004916] Port=0 WR @00=ECAEDF7AE02E4D82FC
4914.50ns INFO [00004916] Port=0 RD @03
4915.50ns INFO [00004917] * RD COMPARE * port=0 adr=01 act=5B65EAE4F66EFC71FC exp=5B65EAE4F66EFC71FC
4915.50ns INFO [00004917] Port=0 RD @05
4916.50ns INFO [00004918] * RD COMPARE * port=0 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC
4916.50ns INFO [00004918] Port=0 WR @00=B42211F26A95543E0B
4917.50ns INFO [00004919] * RD COMPARE * port=0 adr=05 act=E97DC62343B42DAAAE exp=E97DC62343B42DAAAE
4917.50ns INFO [00004919] Port=0 RD @07
4919.50ns INFO [00004921] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4919.50ns INFO [00004921] Port=0 WR @01=772F05370C2F23AEBC
4919.50ns INFO [00004921] Port=0 RD @07
4919.50ns INFO [00004921] Port=1 RD @07
4920.50ns INFO [00004922] Port=0 WR @01=452FCBC851C0919FDF
4920.50ns INFO [00004922] Port=1 RD @07
4921.50ns INFO [00004923] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4921.50ns INFO [00004923] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4922.50ns INFO [00004924] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4922.50ns INFO [00004924] Port=0 WR @02=9CDE98C344BC0ADCD3
4922.50ns INFO [00004924] Port=0 RD @04
4922.50ns INFO [00004924] Port=1 RD @07
4923.50ns INFO [00004925] Port=0 WR @05=C1902487BE181CBB5A
4923.50ns INFO [00004925] Port=0 RD @03
4923.50ns INFO [00004925] Port=1 RD @06
4924.50ns INFO [00004926] * RD COMPARE * port=0 adr=04 act=C27525E04B8599BA44 exp=C27525E04B8599BA44
4924.50ns INFO [00004926] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4924.50ns INFO [00004926] Port=0 RD @00
4924.50ns INFO [00004926] Port=1 RD @05
4925.50ns INFO [00004927] * RD COMPARE * port=0 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC
4925.50ns INFO [00004927] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4925.50ns INFO [00004927] Port=0 RD @00
4926.50ns INFO [00004928] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B
4926.50ns INFO [00004928] * RD COMPARE * port=1 adr=05 act=C1902487BE181CBB5A exp=C1902487BE181CBB5A
4926.50ns INFO [00004928] Port=0 WR @04=1A05BDF6EEC3B537B8
4926.50ns INFO [00004928] Port=0 RD @00
4926.50ns INFO [00004928] Port=1 RD @06
4927.50ns INFO [00004929] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B
4927.50ns INFO [00004929] Port=0 WR @06=83172055BFBC289BDA
4928.50ns INFO [00004930] * RD COMPARE * port=0 adr=00 act=B42211F26A95543E0B exp=B42211F26A95543E0B
4928.50ns INFO [00004930] * RD COMPARE * port=1 adr=06 act=8EA4D1497CD7A39D48 exp=8EA4D1497CD7A39D48
4928.50ns INFO [00004930] Port=0 RD @07
4928.50ns INFO [00004930] Port=1 RD @02
4929.50ns INFO [00004931] Port=0 WR @01=3178933DDE7645B73D
4929.50ns INFO [00004931] Port=1 RD @03
4930.50ns INFO [00004932] * RD COMPARE * port=0 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4930.50ns INFO [00004932] * RD COMPARE * port=1 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3
4930.50ns INFO [00004932] Port=1 RD @04
4931.50ns INFO [00004933] * RD COMPARE * port=1 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC
4931.50ns INFO [00004933] Port=0 RD @04
4931.50ns INFO [00004933] Port=1 RD @03
4932.50ns INFO [00004934] * RD COMPARE * port=1 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8
4932.50ns INFO [00004934] Port=0 WR @05=CEC88829F7AA30FA4F
4932.50ns INFO [00004934] Port=1 RD @01
4933.50ns INFO [00004935] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8
4933.50ns INFO [00004935] * RD COMPARE * port=1 adr=03 act=43B053B790688A63FC exp=43B053B790688A63FC
4933.50ns INFO [00004935] Port=0 RD @06
4934.50ns INFO [00004936] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D
4935.50ns INFO [00004937] * RD COMPARE * port=0 adr=06 act=83172055BFBC289BDA exp=83172055BFBC289BDA
4935.50ns INFO [00004937] Port=0 WR @00=8B5DD4B0075D02BC56
4935.50ns INFO [00004937] Port=0 RD @05
4935.50ns INFO [00004937] Port=1 RD @02
4936.50ns INFO [00004938] Port=0 RD @00
4937.50ns INFO [00004939] * RD COMPARE * port=0 adr=05 act=CEC88829F7AA30FA4F exp=CEC88829F7AA30FA4F
4937.50ns INFO [00004939] * RD COMPARE * port=1 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3
4937.50ns INFO [00004939] Port=1 RD @01
4938.50ns INFO [00004940] * RD COMPARE * port=0 adr=00 act=8B5DD4B0075D02BC56 exp=8B5DD4B0075D02BC56
4939.50ns INFO [00004941] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D
4939.50ns INFO [00004941] Port=0 WR @05=1AAB40844A3B38D18E
4939.50ns INFO [00004941] Port=0 RD @02
4939.50ns INFO [00004941] Port=1 RD @07
4940.50ns INFO [00004942] Port=0 WR @00=64EC8AEE8935397C28
4940.50ns INFO [00004942] Port=0 RD @02
4940.50ns INFO [00004942] Port=1 RD @01
4941.50ns INFO [00004943] * RD COMPARE * port=0 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3
4941.50ns INFO [00004943] * RD COMPARE * port=1 adr=07 act=6E479C21618C758278 exp=6E479C21618C758278
4941.50ns INFO [00004943] Port=0 WR @07=AEF15E2123C0D0C68C
4941.50ns INFO [00004943] Port=1 RD @01
4942.50ns INFO [00004944] * RD COMPARE * port=0 adr=02 act=9CDE98C344BC0ADCD3 exp=9CDE98C344BC0ADCD3
4942.50ns INFO [00004944] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D
4942.50ns INFO [00004944] Port=0 WR @07=E267727F5832C46F5A
4943.50ns INFO [00004945] * RD COMPARE * port=1 adr=01 act=3178933DDE7645B73D exp=3178933DDE7645B73D
4943.50ns INFO [00004945] Port=1 RD @07
4945.50ns INFO [00004947] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4945.50ns INFO [00004947] Port=0 WR @06=3D0058EF5CFAA22AFF
4945.50ns INFO [00004947] Port=0 RD @04
4946.50ns INFO [00004948] Port=0 RD @00
4946.50ns INFO [00004948] Port=1 RD @07
4947.50ns INFO [00004949] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8
4947.50ns INFO [00004949] Port=0 WR @03=D37D0ECE4DE2C541EF
4947.50ns INFO [00004949] Port=0 RD @07
4948.50ns INFO [00004950] * RD COMPARE * port=0 adr=00 act=64EC8AEE8935397C28 exp=64EC8AEE8935397C28
4948.50ns INFO [00004950] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4948.50ns INFO [00004950] Port=0 RD @04
4949.50ns INFO [00004951] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4949.50ns INFO [00004951] Port=0 WR @02=8B4D9C2EEBD7B9A6A1
4949.50ns INFO [00004951] Port=1 RD @07
4950.50ns INFO [00004952] * RD COMPARE * port=0 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8
4950.50ns INFO [00004952] Port=0 WR @03=0E6D4763CD373CA4EB
4951.50ns INFO [00004953] * RD COMPARE * port=1 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4951.50ns INFO [00004953] Port=0 WR @03=95663A7578E5F6982E
4952.50ns INFO [00004954] Port=0 RD @07
4953.50ns INFO [00004955] Port=1 RD @06
4954.50ns INFO [00004956] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4954.50ns INFO [00004956] Port=0 RD @03
4955.50ns INFO [00004957] * RD COMPARE * port=1 adr=06 act=3D0058EF5CFAA22AFF exp=3D0058EF5CFAA22AFF
4955.50ns INFO [00004957] Port=0 WR @00=6E437EC9DC179E3C67
4955.50ns INFO [00004957] Port=0 RD @07
4955.50ns INFO [00004957] Port=1 RD @06
4956.50ns INFO [00004958] * RD COMPARE * port=0 adr=03 act=95663A7578E5F6982E exp=95663A7578E5F6982E
4956.50ns INFO [00004958] Port=0 RD @00
4956.50ns INFO [00004958] Port=1 RD @02
4957.50ns INFO [00004959] * RD COMPARE * port=0 adr=07 act=E267727F5832C46F5A exp=E267727F5832C46F5A
4957.50ns INFO [00004959] * RD COMPARE * port=1 adr=06 act=3D0058EF5CFAA22AFF exp=3D0058EF5CFAA22AFF
4957.50ns INFO [00004959] Port=1 RD @04
4958.50ns INFO [00004960] * RD COMPARE * port=0 adr=00 act=6E437EC9DC179E3C67 exp=6E437EC9DC179E3C67
4958.50ns INFO [00004960] * RD COMPARE * port=1 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1
4958.50ns INFO [00004960] Port=0 WR @04=826F93468DE06BEAC3
4958.50ns INFO [00004960] Port=0 RD @03
4958.50ns INFO [00004960] Port=1 RD @02
4959.50ns INFO [00004961] * RD COMPARE * port=1 adr=04 act=1A05BDF6EEC3B537B8 exp=1A05BDF6EEC3B537B8
4959.50ns INFO [00004961] Port=0 WR @06=01AE5796F168C205B8
4960.50ns INFO [00004962] * RD COMPARE * port=0 adr=03 act=95663A7578E5F6982E exp=95663A7578E5F6982E
4960.50ns INFO [00004962] * RD COMPARE * port=1 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1
4961.50ns INFO [00004963] Port=0 WR @03=2588E40D6626797069
4962.50ns INFO [00004964] Port=0 RD @03
4963.50ns INFO [00004965] Port=0 RD @00
4964.50ns INFO [00004966] * RD COMPARE * port=0 adr=03 act=2588E40D6626797069 exp=2588E40D6626797069
4965.50ns INFO [00004967] * RD COMPARE * port=0 adr=00 act=6E437EC9DC179E3C67 exp=6E437EC9DC179E3C67
4965.50ns INFO [00004967] Port=0 WR @07=4562A83D8202BB15FE
4966.50ns INFO [00004968] Port=0 WR @03=93A311751EB4EB0782
4966.50ns INFO [00004968] Port=0 RD @02
4967.50ns INFO [00004969] Port=0 WR @05=4C76F88AA8CB0733E6
4968.50ns INFO [00004970] * RD COMPARE * port=0 adr=02 act=8B4D9C2EEBD7B9A6A1 exp=8B4D9C2EEBD7B9A6A1
4968.50ns INFO [00004970] Port=1 RD @07
4970.50ns INFO [00004972] * RD COMPARE * port=1 adr=07 act=4562A83D8202BB15FE exp=4562A83D8202BB15FE
4970.50ns INFO [00004972] Port=0 WR @06=C1DB1A0BA2A018FFD2
4970.50ns INFO [00004972] Port=1 RD @05
4972.50ns INFO [00004974] * RD COMPARE * port=1 adr=05 act=4C76F88AA8CB0733E6 exp=4C76F88AA8CB0733E6
4972.50ns INFO [00004974] Port=0 WR @00=E4BFD7669EFE30A1C2
4974.50ns INFO [00004976] Port=0 WR @05=E298D79F037A03C51C
4974.50ns INFO [00004976] Port=0 RD @00
4976.50ns INFO [00004978] * RD COMPARE * port=0 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2
4976.50ns INFO [00004978] Port=0 RD @05
4977.50ns INFO [00004979] Port=1 RD @05
4978.50ns INFO [00004980] * RD COMPARE * port=0 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C
4978.50ns INFO [00004980] Port=1 RD @04
4979.50ns INFO [00004981] * RD COMPARE * port=1 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C
4979.50ns INFO [00004981] Port=0 WR @01=BF1579CD045CE12628
4979.50ns INFO [00004981] Port=1 RD @03
4980.50ns INFO [00004982] * RD COMPARE * port=1 adr=04 act=826F93468DE06BEAC3 exp=826F93468DE06BEAC3
4980.50ns INFO [00004982] Port=0 RD @07
4980.50ns INFO [00004982] Port=1 RD @04
4981.50ns INFO [00004983] * RD COMPARE * port=1 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782
4981.50ns INFO [00004983] Port=0 WR @07=3213A4DFB873C03001
4981.50ns INFO [00004983] Port=1 RD @06
4982.50ns INFO [00004984] * RD COMPARE * port=0 adr=07 act=4562A83D8202BB15FE exp=4562A83D8202BB15FE
4982.50ns INFO [00004984] * RD COMPARE * port=1 adr=04 act=826F93468DE06BEAC3 exp=826F93468DE06BEAC3
4982.50ns INFO [00004984] Port=1 RD @05
4983.50ns INFO [00004985] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2
4983.50ns INFO [00004985] Port=0 RD @03
4984.50ns INFO [00004986] * RD COMPARE * port=1 adr=05 act=E298D79F037A03C51C exp=E298D79F037A03C51C
4984.50ns INFO [00004986] Port=1 RD @00
4985.50ns INFO [00004987] * RD COMPARE * port=0 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782
4985.50ns INFO [00004987] Port=0 WR @02=7FEEC5CF631EA10B65
4985.50ns INFO [00004987] Port=0 RD @03
4985.50ns INFO [00004987] Port=1 RD @06
4986.50ns INFO [00004988] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2
4986.50ns INFO [00004988] Port=0 WR @03=4EA581CA1B543FCFFF
4986.50ns INFO [00004988] Port=0 RD @06
4987.50ns INFO [00004989] * RD COMPARE * port=0 adr=03 act=93A311751EB4EB0782 exp=93A311751EB4EB0782
4987.50ns INFO [00004989] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2
4988.50ns INFO [00004990] * RD COMPARE * port=0 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2
4988.50ns INFO [00004990] Port=0 WR @04=942706190F3B64055E
4988.50ns INFO [00004990] Port=0 RD @02
4988.50ns INFO [00004990] Port=1 RD @06
4989.50ns INFO [00004991] Port=0 WR @05=9DD14833AD1C503CB2
4990.50ns INFO [00004992] * RD COMPARE * port=0 adr=02 act=7FEEC5CF631EA10B65 exp=7FEEC5CF631EA10B65
4990.50ns INFO [00004992] * RD COMPARE * port=1 adr=06 act=C1DB1A0BA2A018FFD2 exp=C1DB1A0BA2A018FFD2
4990.50ns INFO [00004992] Port=0 WR @02=8EE5ED4E20B90A767A
4990.50ns INFO [00004992] Port=1 RD @00
4991.50ns INFO [00004993] Port=0 RD @04
4992.50ns INFO [00004994] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2
4992.50ns INFO [00004994] Port=0 WR @06=BDA2A3FA9921E3E617
4992.50ns INFO [00004994] Port=1 RD @07
4993.50ns INFO [00004995] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
4993.50ns INFO [00004995] Port=1 RD @07
4994.50ns INFO [00004996] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001
4994.50ns INFO [00004996] Port=0 RD @04
4995.50ns INFO [00004997] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001
4995.50ns INFO [00004997] Port=1 RD @00
4996.50ns INFO [00004998] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
4996.50ns INFO [00004998] Port=0 RD @02
4996.50ns INFO [00004998] Port=1 RD @07
4997.50ns INFO [00004999] * RD COMPARE * port=1 adr=00 act=E4BFD7669EFE30A1C2 exp=E4BFD7669EFE30A1C2
4997.50ns INFO [00004999] Port=1 RD @05
4998.00ns INFO [00005000] [00005000] ...tick...
4998.50ns INFO [00005000] * RD COMPARE * port=0 adr=02 act=8EE5ED4E20B90A767A exp=8EE5ED4E20B90A767A
4998.50ns INFO [00005000] * RD COMPARE * port=1 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001
4998.50ns INFO [00005000] Port=0 WR @00=FC24715D052A0C608F
4999.50ns INFO [00005001] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2
5000.50ns INFO [00005002] Port=0 WR @02=4BBC474B64D60A395D
5000.50ns INFO [00005002] Port=1 RD @05
5002.50ns INFO [00005004] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2
5002.50ns INFO [00005004] Port=0 RD @07
5004.50ns INFO [00005006] * RD COMPARE * port=0 adr=07 act=3213A4DFB873C03001 exp=3213A4DFB873C03001
5005.50ns INFO [00005007] Port=0 WR @07=CD727DFD0613E6B115
5006.50ns INFO [00005008] Port=0 WR @02=A3A7AE5A02FB469DE2
5008.50ns INFO [00005010] Port=0 RD @00
5008.50ns INFO [00005010] Port=1 RD @04
5009.50ns INFO [00005011] Port=0 WR @00=C46B6F04FC9C13393B
5009.50ns INFO [00005011] Port=0 RD @03
5010.50ns INFO [00005012] * RD COMPARE * port=0 adr=00 act=FC24715D052A0C608F exp=FC24715D052A0C608F
5010.50ns INFO [00005012] * RD COMPARE * port=1 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
5010.50ns INFO [00005012] Port=0 WR @00=EBE2F8876D854977C0
5011.50ns INFO [00005013] * RD COMPARE * port=0 adr=03 act=4EA581CA1B543FCFFF exp=4EA581CA1B543FCFFF
5012.50ns INFO [00005014] Port=0 WR @00=4A950B3544ECCEF1F5
5012.50ns INFO [00005014] Port=0 RD @02
5013.50ns INFO [00005015] Port=1 RD @04
5014.50ns INFO [00005016] * RD COMPARE * port=0 adr=02 act=A3A7AE5A02FB469DE2 exp=A3A7AE5A02FB469DE2
5014.50ns INFO [00005016] Port=1 RD @03
5015.50ns INFO [00005017] * RD COMPARE * port=1 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
5015.50ns INFO [00005017] Port=0 WR @03=5F39894DCE05515B70
5015.50ns INFO [00005017] Port=1 RD @02
5016.50ns INFO [00005018] * RD COMPARE * port=1 adr=03 act=4EA581CA1B543FCFFF exp=4EA581CA1B543FCFFF
5016.50ns INFO [00005018] Port=0 WR @06=957A0DD9A24A75462B
5016.50ns INFO [00005018] Port=1 RD @05
5017.50ns INFO [00005019] * RD COMPARE * port=1 adr=02 act=A3A7AE5A02FB469DE2 exp=A3A7AE5A02FB469DE2
5017.50ns INFO [00005019] Port=0 RD @03
5018.50ns INFO [00005020] * RD COMPARE * port=1 adr=05 act=9DD14833AD1C503CB2 exp=9DD14833AD1C503CB2
5019.50ns INFO [00005021] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70
5021.50ns INFO [00005023] Port=0 WR @06=69E3D2080BA3774174
5021.50ns INFO [00005023] Port=0 RD @01
5021.50ns INFO [00005023] Port=1 RD @03
5022.50ns INFO [00005024] Port=0 WR @05=3B85E14CDFB850C029
5022.50ns INFO [00005024] Port=0 RD @01
5023.50ns INFO [00005025] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5023.50ns INFO [00005025] * RD COMPARE * port=1 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70
5024.50ns INFO [00005026] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5024.50ns INFO [00005026] Port=0 RD @04
5026.50ns INFO [00005028] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
5027.50ns INFO [00005029] Port=1 RD @05
5028.50ns INFO [00005030] Port=0 RD @01
5028.50ns INFO [00005030] Port=1 RD @03
5029.50ns INFO [00005031] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029
5029.50ns INFO [00005031] Port=0 WR @02=8403887D2B7AD95993
5029.50ns INFO [00005031] Port=0 RD @03
5030.50ns INFO [00005032] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5030.50ns INFO [00005032] * RD COMPARE * port=1 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70
5030.50ns INFO [00005032] Port=0 RD @04
5030.50ns INFO [00005032] Port=1 RD @01
5031.50ns INFO [00005033] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70
5032.50ns INFO [00005034] * RD COMPARE * port=0 adr=04 act=942706190F3B64055E exp=942706190F3B64055E
5032.50ns INFO [00005034] * RD COMPARE * port=1 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5032.50ns INFO [00005034] Port=0 WR @06=967926EA2CCF68A49B
5032.50ns INFO [00005034] Port=0 RD @03
5034.50ns INFO [00005036] * RD COMPARE * port=0 adr=03 act=5F39894DCE05515B70 exp=5F39894DCE05515B70
5034.50ns INFO [00005036] Port=0 WR @03=08A0BACE88F43F7231
5036.50ns INFO [00005038] Port=0 WR @00=3377533E994970318C
5037.50ns INFO [00005039] Port=0 WR @04=E0F05E252E57BF2971
5037.50ns INFO [00005039] Port=1 RD @06
5038.50ns INFO [00005040] Port=1 RD @07
5039.50ns INFO [00005041] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B
5040.50ns INFO [00005042] * RD COMPARE * port=1 adr=07 act=CD727DFD0613E6B115 exp=CD727DFD0613E6B115
5040.50ns INFO [00005042] Port=0 RD @03
5041.50ns INFO [00005043] Port=0 RD @02
5041.50ns INFO [00005043] Port=1 RD @02
5042.50ns INFO [00005044] * RD COMPARE * port=0 adr=03 act=08A0BACE88F43F7231 exp=08A0BACE88F43F7231
5042.50ns INFO [00005044] Port=1 RD @05
5043.50ns INFO [00005045] * RD COMPARE * port=0 adr=02 act=8403887D2B7AD95993 exp=8403887D2B7AD95993
5043.50ns INFO [00005045] * RD COMPARE * port=1 adr=02 act=8403887D2B7AD95993 exp=8403887D2B7AD95993
5043.50ns INFO [00005045] Port=0 RD @00
5043.50ns INFO [00005045] Port=1 RD @00
5044.50ns INFO [00005046] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029
5044.50ns INFO [00005046] Port=0 WR @04=37A259BB66B5C8CD3B
5044.50ns INFO [00005046] Port=0 RD @07
5044.50ns INFO [00005046] Port=1 RD @05
5045.50ns INFO [00005047] * RD COMPARE * port=0 adr=00 act=3377533E994970318C exp=3377533E994970318C
5045.50ns INFO [00005047] * RD COMPARE * port=1 adr=00 act=3377533E994970318C exp=3377533E994970318C
5045.50ns INFO [00005047] Port=0 RD @01
5046.50ns INFO [00005048] * RD COMPARE * port=0 adr=07 act=CD727DFD0613E6B115 exp=CD727DFD0613E6B115
5046.50ns INFO [00005048] * RD COMPARE * port=1 adr=05 act=3B85E14CDFB850C029 exp=3B85E14CDFB850C029
5047.50ns INFO [00005049] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5048.50ns INFO [00005050] Port=0 WR @05=44F8BBBDE63B90FD13
5049.50ns INFO [00005051] Port=0 RD @05
5050.50ns INFO [00005052] Port=0 RD @03
5051.50ns INFO [00005053] * RD COMPARE * port=0 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13
5051.50ns INFO [00005053] Port=0 RD @05
5052.50ns INFO [00005054] * RD COMPARE * port=0 adr=03 act=08A0BACE88F43F7231 exp=08A0BACE88F43F7231
5053.50ns INFO [00005055] * RD COMPARE * port=0 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13
5053.50ns INFO [00005055] Port=0 RD @04
5054.50ns INFO [00005056] Port=0 WR @07=8BC964C228596E3669
5055.50ns INFO [00005057] * RD COMPARE * port=0 adr=04 act=37A259BB66B5C8CD3B exp=37A259BB66B5C8CD3B
5055.50ns INFO [00005057] Port=0 WR @03=DB610EABE06770874F
5055.50ns INFO [00005057] Port=1 RD @05
5057.50ns INFO [00005059] * RD COMPARE * port=1 adr=05 act=44F8BBBDE63B90FD13 exp=44F8BBBDE63B90FD13
5057.50ns INFO [00005059] Port=0 WR @03=35A5AC867D787D4B98
5058.50ns INFO [00005060] Port=0 WR @02=77E5E9D8ED2EE65774
5058.50ns INFO [00005060] Port=1 RD @01
5059.50ns INFO [00005061] Port=0 WR @00=9540C88381BDA00953
5060.50ns INFO [00005062] * RD COMPARE * port=1 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5060.50ns INFO [00005062] Port=0 RD @03
5061.50ns INFO [00005063] Port=0 WR @07=28CE9497EEB5C30CDA
5062.50ns INFO [00005064] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5062.50ns INFO [00005064] Port=0 WR @04=924232C3A1F1EF60A9
5062.50ns INFO [00005064] Port=0 RD @01
5062.50ns INFO [00005064] Port=1 RD @00
5063.50ns INFO [00005065] Port=0 RD @03
5064.50ns INFO [00005066] * RD COMPARE * port=0 adr=01 act=BF1579CD045CE12628 exp=BF1579CD045CE12628
5064.50ns INFO [00005066] * RD COMPARE * port=1 adr=00 act=9540C88381BDA00953 exp=9540C88381BDA00953
5064.50ns INFO [00005066] Port=0 RD @07
5064.50ns INFO [00005066] Port=1 RD @06
5065.50ns INFO [00005067] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5066.50ns INFO [00005068] * RD COMPARE * port=0 adr=07 act=28CE9497EEB5C30CDA exp=28CE9497EEB5C30CDA
5066.50ns INFO [00005068] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B
5066.50ns INFO [00005068] Port=0 WR @05=52A48BB06B6AF556A8
5066.50ns INFO [00005068] Port=1 RD @04
5067.50ns INFO [00005069] Port=0 WR @00=8031252E3B9F517831
5067.50ns INFO [00005069] Port=0 RD @04
5068.50ns INFO [00005070] * RD COMPARE * port=1 adr=04 act=924232C3A1F1EF60A9 exp=924232C3A1F1EF60A9
5069.50ns INFO [00005071] * RD COMPARE * port=0 adr=04 act=924232C3A1F1EF60A9 exp=924232C3A1F1EF60A9
5070.50ns INFO [00005072] Port=0 RD @06
5070.50ns INFO [00005072] Port=1 RD @05
5071.50ns INFO [00005073] Port=1 RD @05
5072.50ns INFO [00005074] * RD COMPARE * port=0 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B
5072.50ns INFO [00005074] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5073.50ns INFO [00005075] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5073.50ns INFO [00005075] Port=0 RD @06
5073.50ns INFO [00005075] Port=1 RD @06
5074.50ns INFO [00005076] Port=0 RD @02
5075.50ns INFO [00005077] * RD COMPARE * port=0 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B
5075.50ns INFO [00005077] * RD COMPARE * port=1 adr=06 act=967926EA2CCF68A49B exp=967926EA2CCF68A49B
5075.50ns INFO [00005077] Port=0 WR @07=21E52611D70236C8C8
5075.50ns INFO [00005077] Port=1 RD @02
5076.50ns INFO [00005078] * RD COMPARE * port=0 adr=02 act=77E5E9D8ED2EE65774 exp=77E5E9D8ED2EE65774
5076.50ns INFO [00005078] Port=0 WR @04=C6202D6A9ABA4D822D
5076.50ns INFO [00005078] Port=1 RD @00
5077.50ns INFO [00005079] * RD COMPARE * port=1 adr=02 act=77E5E9D8ED2EE65774 exp=77E5E9D8ED2EE65774
5077.50ns INFO [00005079] Port=0 WR @04=2913DBCAB08DA15196
5077.50ns INFO [00005079] Port=0 RD @07
5078.50ns INFO [00005080] * RD COMPARE * port=1 adr=00 act=8031252E3B9F517831 exp=8031252E3B9F517831
5078.50ns INFO [00005080] Port=0 WR @04=83A13CF02B3A76C2D6
5079.50ns INFO [00005081] * RD COMPARE * port=0 adr=07 act=21E52611D70236C8C8 exp=21E52611D70236C8C8
5080.50ns INFO [00005082] Port=0 WR @04=D362CC9B57E805E121
5083.50ns INFO [00005085] Port=1 RD @03
5084.50ns INFO [00005086] Port=0 RD @05
5085.50ns INFO [00005087] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5085.50ns INFO [00005087] Port=0 WR @01=755F706FA29E4A3C7D
5085.50ns INFO [00005087] Port=0 RD @03
5086.50ns INFO [00005088] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5087.50ns INFO [00005089] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5088.50ns INFO [00005090] Port=0 WR @00=53B7F39ED6EEB788B5
5088.50ns INFO [00005090] Port=0 RD @01
5089.50ns INFO [00005091] Port=0 RD @04
5090.50ns INFO [00005092] * RD COMPARE * port=0 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D
5090.50ns INFO [00005092] Port=0 WR @02=8CDE02022778732237
5090.50ns INFO [00005092] Port=0 RD @00
5090.50ns INFO [00005092] Port=1 RD @03
5091.50ns INFO [00005093] * RD COMPARE * port=0 adr=04 act=D362CC9B57E805E121 exp=D362CC9B57E805E121
5091.50ns INFO [00005093] Port=0 WR @04=5E95EFE1E1C3CF0D82
5091.50ns INFO [00005093] Port=0 RD @05
5092.50ns INFO [00005094] * RD COMPARE * port=0 adr=00 act=53B7F39ED6EEB788B5 exp=53B7F39ED6EEB788B5
5092.50ns INFO [00005094] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5092.50ns INFO [00005094] Port=0 WR @00=E9CA0EF8D66326FC76
5093.50ns INFO [00005095] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5093.50ns INFO [00005095] Port=0 RD @04
5093.50ns INFO [00005095] Port=1 RD @04
5095.50ns INFO [00005097] * RD COMPARE * port=0 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82
5095.50ns INFO [00005097] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82
5095.50ns INFO [00005097] Port=0 WR @07=5C6F5C822A9F7EE288
5095.50ns INFO [00005097] Port=0 RD @05
5095.50ns INFO [00005097] Port=1 RD @05
5096.50ns INFO [00005098] Port=0 RD @01
5097.50ns INFO [00005099] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5097.50ns INFO [00005099] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5097.50ns INFO [00005099] Port=1 RD @02
5098.00ns INFO [00005100] [00005100] ...tick...
5098.50ns INFO [00005100] * RD COMPARE * port=0 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D
5099.50ns INFO [00005101] * RD COMPARE * port=1 adr=02 act=8CDE02022778732237 exp=8CDE02022778732237
5100.50ns INFO [00005102] Port=0 WR @07=76BB457C3665B438E2
5101.50ns INFO [00005103] Port=0 WR @06=9D7B6E4CAB50450084
5102.50ns INFO [00005104] Port=0 WR @06=D9D7C9A03065176CC4
5102.50ns INFO [00005104] Port=0 RD @05
5102.50ns INFO [00005104] Port=1 RD @04
5103.50ns INFO [00005105] Port=0 RD @06
5104.50ns INFO [00005106] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5104.50ns INFO [00005106] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82
5104.50ns INFO [00005106] Port=0 RD @05
5104.50ns INFO [00005106] Port=1 RD @06
5105.50ns INFO [00005107] * RD COMPARE * port=0 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4
5105.50ns INFO [00005107] Port=0 WR @02=959353C312B604FCEF
5105.50ns INFO [00005107] Port=0 RD @00
5106.50ns INFO [00005108] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5106.50ns INFO [00005108] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4
5106.50ns INFO [00005108] Port=0 RD @03
5106.50ns INFO [00005108] Port=1 RD @06
5107.50ns INFO [00005109] * RD COMPARE * port=0 adr=00 act=E9CA0EF8D66326FC76 exp=E9CA0EF8D66326FC76
5107.50ns INFO [00005109] Port=1 RD @04
5108.50ns INFO [00005110] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5108.50ns INFO [00005110] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4
5108.50ns INFO [00005110] Port=1 RD @07
5109.50ns INFO [00005111] * RD COMPARE * port=1 adr=04 act=5E95EFE1E1C3CF0D82 exp=5E95EFE1E1C3CF0D82
5109.50ns INFO [00005111] Port=1 RD @06
5110.50ns INFO [00005112] * RD COMPARE * port=1 adr=07 act=76BB457C3665B438E2 exp=76BB457C3665B438E2
5111.50ns INFO [00005113] * RD COMPARE * port=1 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4
5111.50ns INFO [00005113] Port=0 RD @06
5111.50ns INFO [00005113] Port=1 RD @03
5112.50ns INFO [00005114] Port=0 RD @02
5112.50ns INFO [00005114] Port=1 RD @03
5113.50ns INFO [00005115] * RD COMPARE * port=0 adr=06 act=D9D7C9A03065176CC4 exp=D9D7C9A03065176CC4
5113.50ns INFO [00005115] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5113.50ns INFO [00005115] Port=0 RD @03
5114.50ns INFO [00005116] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5114.50ns INFO [00005116] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5115.50ns INFO [00005117] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5115.50ns INFO [00005117] Port=1 RD @02
5116.50ns INFO [00005118] Port=0 WR @06=FBC90C14143E97A09A
5116.50ns INFO [00005118] Port=0 RD @03
5117.50ns INFO [00005119] * RD COMPARE * port=1 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5117.50ns INFO [00005119] Port=0 RD @02
5118.50ns INFO [00005120] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5118.50ns INFO [00005120] Port=0 RD @03
5119.50ns INFO [00005121] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5120.50ns INFO [00005122] * RD COMPARE * port=0 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5120.50ns INFO [00005122] Port=0 WR @04=78DE17F40409C9075D
5121.50ns INFO [00005123] Port=0 WR @06=C43C2E89B136908D2B
5122.50ns INFO [00005124] Port=0 WR @04=7216DEF5B0F3147325
5122.50ns INFO [00005124] Port=1 RD @02
5123.50ns INFO [00005125] Port=0 RD @06
5124.50ns INFO [00005126] * RD COMPARE * port=1 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5124.50ns INFO [00005126] Port=0 WR @04=320C4B6E72DC1D8BCB
5124.50ns INFO [00005126] Port=0 RD @00
5124.50ns INFO [00005126] Port=1 RD @07
5125.50ns INFO [00005127] * RD COMPARE * port=0 adr=06 act=C43C2E89B136908D2B exp=C43C2E89B136908D2B
5125.50ns INFO [00005127] Port=0 WR @00=11649E34C97077F8A2
5125.50ns INFO [00005127] Port=0 RD @02
5126.50ns INFO [00005128] * RD COMPARE * port=0 adr=00 act=E9CA0EF8D66326FC76 exp=E9CA0EF8D66326FC76
5126.50ns INFO [00005128] * RD COMPARE * port=1 adr=07 act=76BB457C3665B438E2 exp=76BB457C3665B438E2
5126.50ns INFO [00005128] Port=0 WR @06=432744BC04E20DCD2C
5126.50ns INFO [00005128] Port=0 RD @02
5126.50ns INFO [00005128] Port=1 RD @03
5127.50ns INFO [00005129] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5127.50ns INFO [00005129] Port=0 RD @05
5128.50ns INFO [00005130] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5128.50ns INFO [00005130] * RD COMPARE * port=1 adr=03 act=35A5AC867D787D4B98 exp=35A5AC867D787D4B98
5128.50ns INFO [00005130] Port=0 WR @03=463EDAEF08A3D5DC99
5128.50ns INFO [00005130] Port=1 RD @04
5129.50ns INFO [00005131] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5129.50ns INFO [00005131] Port=0 WR @07=9A138A92233ABA5D3F
5130.50ns INFO [00005132] * RD COMPARE * port=1 adr=04 act=320C4B6E72DC1D8BCB exp=320C4B6E72DC1D8BCB
5130.50ns INFO [00005132] Port=0 RD @03
5131.50ns INFO [00005133] Port=0 RD @05
5132.50ns INFO [00005134] * RD COMPARE * port=0 adr=03 act=463EDAEF08A3D5DC99 exp=463EDAEF08A3D5DC99
5132.50ns INFO [00005134] Port=0 WR @04=F1702EF51783EC1A56
5132.50ns INFO [00005134] Port=1 RD @05
5133.50ns INFO [00005135] * RD COMPARE * port=0 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5133.50ns INFO [00005135] Port=0 RD @04
5134.50ns INFO [00005136] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5134.50ns INFO [00005136] Port=0 WR @00=D349B76ACD9FA718BC
5134.50ns INFO [00005136] Port=1 RD @03
5135.50ns INFO [00005137] * RD COMPARE * port=0 adr=04 act=F1702EF51783EC1A56 exp=F1702EF51783EC1A56
5135.50ns INFO [00005137] Port=0 RD @00
5135.50ns INFO [00005137] Port=1 RD @01
5136.50ns INFO [00005138] * RD COMPARE * port=1 adr=03 act=463EDAEF08A3D5DC99 exp=463EDAEF08A3D5DC99
5136.50ns INFO [00005138] Port=0 WR @01=CCFB13CFE8E0F8BF01
5137.50ns INFO [00005139] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC
5137.50ns INFO [00005139] * RD COMPARE * port=1 adr=01 act=755F706FA29E4A3C7D exp=755F706FA29E4A3C7D
5138.50ns INFO [00005140] Port=0 WR @07=13444EA7781767D7EA
5138.50ns INFO [00005140] Port=0 RD @04
5139.50ns INFO [00005141] Port=0 RD @06
5139.50ns INFO [00005141] Port=1 RD @06
5140.50ns INFO [00005142] * RD COMPARE * port=0 adr=04 act=F1702EF51783EC1A56 exp=F1702EF51783EC1A56
5141.50ns INFO [00005143] * RD COMPARE * port=0 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C
5141.50ns INFO [00005143] * RD COMPARE * port=1 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C
5141.50ns INFO [00005143] Port=0 RD @02
5142.50ns INFO [00005144] Port=0 RD @02
5143.50ns INFO [00005145] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5143.50ns INFO [00005145] Port=0 WR @04=5D67B87FB5B2FDF32C
5143.50ns INFO [00005145] Port=0 RD @02
5143.50ns INFO [00005145] Port=1 RD @01
5144.50ns INFO [00005146] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5144.50ns INFO [00005146] Port=0 WR @03=52A676757AB993E6F9
5145.50ns INFO [00005147] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5145.50ns INFO [00005147] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5145.50ns INFO [00005147] Port=0 WR @04=B43E6AAFF08BB9AE6F
5145.50ns INFO [00005147] Port=0 RD @07
5147.50ns INFO [00005149] * RD COMPARE * port=0 adr=07 act=13444EA7781767D7EA exp=13444EA7781767D7EA
5147.50ns INFO [00005149] Port=0 RD @06
5148.50ns INFO [00005150] Port=0 RD @01
5149.50ns INFO [00005151] * RD COMPARE * port=0 adr=06 act=432744BC04E20DCD2C exp=432744BC04E20DCD2C
5149.50ns INFO [00005151] Port=0 RD @02
5149.50ns INFO [00005151] Port=1 RD @00
5150.50ns INFO [00005152] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5150.50ns INFO [00005152] Port=0 WR @07=32BB31125374576315
5150.50ns INFO [00005152] Port=0 RD @00
5150.50ns INFO [00005152] Port=1 RD @03
5151.50ns INFO [00005153] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5151.50ns INFO [00005153] * RD COMPARE * port=1 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC
5151.50ns INFO [00005153] Port=0 RD @02
5152.50ns INFO [00005154] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC
5152.50ns INFO [00005154] * RD COMPARE * port=1 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9
5152.50ns INFO [00005154] Port=0 WR @06=2119CC2B5B4089393D
5152.50ns INFO [00005154] Port=0 RD @03
5153.50ns INFO [00005155] * RD COMPARE * port=0 adr=02 act=959353C312B604FCEF exp=959353C312B604FCEF
5153.50ns INFO [00005155] Port=0 WR @02=A4B7BE1FFA6F503E4C
5153.50ns INFO [00005155] Port=0 RD @03
5154.50ns INFO [00005156] * RD COMPARE * port=0 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9
5154.50ns INFO [00005156] Port=1 RD @01
5155.50ns INFO [00005157] * RD COMPARE * port=0 adr=03 act=52A676757AB993E6F9 exp=52A676757AB993E6F9
5155.50ns INFO [00005157] Port=0 WR @03=235A425B1B1473F7FA
5155.50ns INFO [00005157] Port=0 RD @00
5156.50ns INFO [00005158] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5157.50ns INFO [00005159] * RD COMPARE * port=0 adr=00 act=D349B76ACD9FA718BC exp=D349B76ACD9FA718BC
5157.50ns INFO [00005159] Port=0 RD @04
5158.50ns INFO [00005160] Port=0 WR @07=8405ED7F37D96A7A03
5158.50ns INFO [00005160] Port=1 RD @03
5159.50ns INFO [00005161] * RD COMPARE * port=0 adr=04 act=B43E6AAFF08BB9AE6F exp=B43E6AAFF08BB9AE6F
5160.50ns INFO [00005162] * RD COMPARE * port=1 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA
5162.50ns INFO [00005164] Port=0 WR @06=FAE54FBCA51950D101
5162.50ns INFO [00005164] Port=0 RD @07
5162.50ns INFO [00005164] Port=1 RD @02
5164.50ns INFO [00005166] * RD COMPARE * port=0 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03
5164.50ns INFO [00005166] * RD COMPARE * port=1 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5164.50ns INFO [00005166] Port=1 RD @07
5165.50ns INFO [00005167] Port=1 RD @05
5166.50ns INFO [00005168] * RD COMPARE * port=1 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03
5166.50ns INFO [00005168] Port=0 RD @03
5167.50ns INFO [00005169] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5167.50ns INFO [00005169] Port=0 WR @06=C8F0146C2B6B1DF772
5167.50ns INFO [00005169] Port=1 RD @03
5168.50ns INFO [00005170] * RD COMPARE * port=0 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA
5169.50ns INFO [00005171] * RD COMPARE * port=1 adr=03 act=235A425B1B1473F7FA exp=235A425B1B1473F7FA
5169.50ns INFO [00005171] Port=0 WR @03=CD2CF953F9B8BA48AD
5170.50ns INFO [00005172] Port=0 WR @06=C336A6B67285D85F59
5170.50ns INFO [00005172] Port=0 RD @03
5172.50ns INFO [00005174] * RD COMPARE * port=0 adr=03 act=CD2CF953F9B8BA48AD exp=CD2CF953F9B8BA48AD
5172.50ns INFO [00005174] Port=0 WR @04=DEE2A6F8BC719D8725
5172.50ns INFO [00005174] Port=1 RD @02
5173.50ns INFO [00005175] Port=0 WR @03=8A8403ABDFB95D23E0
5173.50ns INFO [00005175] Port=0 RD @01
5174.50ns INFO [00005176] * RD COMPARE * port=1 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5174.50ns INFO [00005176] Port=0 RD @01
5175.50ns INFO [00005177] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5175.50ns INFO [00005177] Port=0 RD @01
5175.50ns INFO [00005177] Port=1 RD @01
5176.50ns INFO [00005178] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5177.50ns INFO [00005179] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5177.50ns INFO [00005179] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5177.50ns INFO [00005179] Port=0 WR @04=A6C53D8D7B16A481EB
5177.50ns INFO [00005179] Port=1 RD @05
5179.50ns INFO [00005181] * RD COMPARE * port=1 adr=05 act=52A48BB06B6AF556A8 exp=52A48BB06B6AF556A8
5179.50ns INFO [00005181] Port=0 WR @00=2046051027167E723D
5180.50ns INFO [00005182] Port=0 RD @04
5182.50ns INFO [00005184] * RD COMPARE * port=0 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB
5182.50ns INFO [00005184] Port=0 WR @05=89BE57104DBA6C881B
5182.50ns INFO [00005184] Port=0 RD @06
5183.50ns INFO [00005185] Port=0 RD @04
5183.50ns INFO [00005185] Port=1 RD @03
5184.50ns INFO [00005186] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5184.50ns INFO [00005186] Port=0 RD @01
5184.50ns INFO [00005186] Port=1 RD @04
5185.50ns INFO [00005187] * RD COMPARE * port=0 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB
5185.50ns INFO [00005187] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5185.50ns INFO [00005187] Port=0 RD @06
5186.50ns INFO [00005188] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5186.50ns INFO [00005188] * RD COMPARE * port=1 adr=04 act=A6C53D8D7B16A481EB exp=A6C53D8D7B16A481EB
5187.50ns INFO [00005189] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5188.50ns INFO [00005190] Port=0 RD @06
5188.50ns INFO [00005190] Port=1 RD @07
5189.50ns INFO [00005191] Port=0 RD @06
5190.50ns INFO [00005192] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5190.50ns INFO [00005192] * RD COMPARE * port=1 adr=07 act=8405ED7F37D96A7A03 exp=8405ED7F37D96A7A03
5190.50ns INFO [00005192] Port=0 RD @03
5190.50ns INFO [00005192] Port=1 RD @00
5191.50ns INFO [00005193] * RD COMPARE * port=0 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5192.50ns INFO [00005194] * RD COMPARE * port=0 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5192.50ns INFO [00005194] * RD COMPARE * port=1 adr=00 act=2046051027167E723D exp=2046051027167E723D
5192.50ns INFO [00005194] Port=0 WR @07=9CA5B2FCC556289726
5193.50ns INFO [00005195] Port=0 RD @02
5194.50ns INFO [00005196] Port=1 RD @03
5195.50ns INFO [00005197] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5195.50ns INFO [00005197] Port=0 WR @04=EC6D544815E2E428E1
5195.50ns INFO [00005197] Port=1 RD @01
5196.50ns INFO [00005198] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5196.50ns INFO [00005198] Port=0 RD @02
5196.50ns INFO [00005198] Port=1 RD @00
5197.50ns INFO [00005199] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5197.50ns INFO [00005199] Port=1 RD @01
5198.00ns INFO [00005200] [00005200] ...tick...
5198.50ns INFO [00005200] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5198.50ns INFO [00005200] * RD COMPARE * port=1 adr=00 act=2046051027167E723D exp=2046051027167E723D
5198.50ns INFO [00005200] Port=1 RD @03
5199.50ns INFO [00005201] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5199.50ns INFO [00005201] Port=0 RD @05
5200.50ns INFO [00005202] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5200.50ns INFO [00005202] Port=0 RD @01
5201.50ns INFO [00005203] * RD COMPARE * port=0 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B
5201.50ns INFO [00005203] Port=0 WR @00=6807F45290ACBADAA8
5201.50ns INFO [00005203] Port=1 RD @01
5202.50ns INFO [00005204] * RD COMPARE * port=0 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5203.50ns INFO [00005205] * RD COMPARE * port=1 adr=01 act=CCFB13CFE8E0F8BF01 exp=CCFB13CFE8E0F8BF01
5203.50ns INFO [00005205] Port=0 WR @01=49584A1C72B2565986
5203.50ns INFO [00005205] Port=0 RD @05
5203.50ns INFO [00005205] Port=1 RD @04
5204.50ns INFO [00005206] Port=0 WR @00=67C4B81A2896C40AD1
5204.50ns INFO [00005206] Port=0 RD @04
5205.50ns INFO [00005207] * RD COMPARE * port=0 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B
5205.50ns INFO [00005207] * RD COMPARE * port=1 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1
5205.50ns INFO [00005207] Port=1 RD @03
5206.50ns INFO [00005208] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1
5206.50ns INFO [00005208] Port=1 RD @06
5207.50ns INFO [00005209] * RD COMPARE * port=1 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5208.50ns INFO [00005210] * RD COMPARE * port=1 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5208.50ns INFO [00005210] Port=0 RD @03
5209.50ns INFO [00005211] Port=0 RD @01
5210.50ns INFO [00005212] * RD COMPARE * port=0 adr=03 act=8A8403ABDFB95D23E0 exp=8A8403ABDFB95D23E0
5210.50ns INFO [00005212] Port=0 RD @01
5211.50ns INFO [00005213] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986
5211.50ns INFO [00005213] Port=0 RD @07
5212.50ns INFO [00005214] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986
5212.50ns INFO [00005214] Port=1 RD @04
5213.50ns INFO [00005215] * RD COMPARE * port=0 adr=07 act=9CA5B2FCC556289726 exp=9CA5B2FCC556289726
5213.50ns INFO [00005215] Port=0 RD @01
5214.50ns INFO [00005216] * RD COMPARE * port=1 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1
5214.50ns INFO [00005216] Port=0 WR @01=64EAB13C7223D01758
5214.50ns INFO [00005216] Port=0 RD @04
5215.50ns INFO [00005217] * RD COMPARE * port=0 adr=01 act=49584A1C72B2565986 exp=49584A1C72B2565986
5215.50ns INFO [00005217] Port=0 RD @01
5216.50ns INFO [00005218] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1
5216.50ns INFO [00005218] Port=0 RD @04
5217.50ns INFO [00005219] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758
5217.50ns INFO [00005219] Port=0 WR @04=E6DDDBC5B16BAE2C9E
5217.50ns INFO [00005219] Port=1 RD @05
5218.50ns INFO [00005220] * RD COMPARE * port=0 adr=04 act=EC6D544815E2E428E1 exp=EC6D544815E2E428E1
5218.50ns INFO [00005220] Port=0 WR @04=A13EF3F211386B9D91
5219.50ns INFO [00005221] * RD COMPARE * port=1 adr=05 act=89BE57104DBA6C881B exp=89BE57104DBA6C881B
5219.50ns INFO [00005221] Port=1 RD @04
5220.50ns INFO [00005222] Port=0 WR @00=ADF4D355E0E0C8C0FF
5220.50ns INFO [00005222] Port=0 RD @01
5220.50ns INFO [00005222] Port=1 RD @06
5221.50ns INFO [00005223] * RD COMPARE * port=1 adr=04 act=A13EF3F211386B9D91 exp=A13EF3F211386B9D91
5221.50ns INFO [00005223] Port=0 WR @03=74D4D0BB43E18C87A9
5221.50ns INFO [00005223] Port=0 RD @02
5222.50ns INFO [00005224] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758
5222.50ns INFO [00005224] * RD COMPARE * port=1 adr=06 act=C336A6B67285D85F59 exp=C336A6B67285D85F59
5222.50ns INFO [00005224] Port=0 WR @05=95BEC0CCB2FD7E318D
5223.50ns INFO [00005225] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5224.50ns INFO [00005226] Port=0 WR @06=058424890D01C40FCB
5225.50ns INFO [00005227] Port=1 RD @00
5226.50ns INFO [00005228] Port=0 WR @07=54247550941B68E230
5226.50ns INFO [00005228] Port=0 RD @00
5226.50ns INFO [00005228] Port=1 RD @01
5227.50ns INFO [00005229] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5227.50ns INFO [00005229] Port=0 WR @04=A20754690821E1084A
5227.50ns INFO [00005229] Port=0 RD @07
5227.50ns INFO [00005229] Port=1 RD @06
5228.50ns INFO [00005230] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5228.50ns INFO [00005230] * RD COMPARE * port=1 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758
5228.50ns INFO [00005230] Port=0 WR @03=351B7163FA9C8CB91C
5229.50ns INFO [00005231] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5229.50ns INFO [00005231] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5229.50ns INFO [00005231] Port=0 RD @06
5231.50ns INFO [00005233] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5231.50ns INFO [00005233] Port=1 RD @04
5232.50ns INFO [00005234] Port=0 WR @03=151262AD8F3A915AAE
5233.50ns INFO [00005235] * RD COMPARE * port=1 adr=04 act=A20754690821E1084A exp=A20754690821E1084A
5233.50ns INFO [00005235] Port=0 RD @02
5234.50ns INFO [00005236] Port=0 RD @01
5234.50ns INFO [00005236] Port=1 RD @01
5235.50ns INFO [00005237] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5235.50ns INFO [00005237] Port=1 RD @04
5236.50ns INFO [00005238] * RD COMPARE * port=0 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758
5236.50ns INFO [00005238] * RD COMPARE * port=1 adr=01 act=64EAB13C7223D01758 exp=64EAB13C7223D01758
5236.50ns INFO [00005238] Port=0 WR @03=5A1E9304FFA4B05F28
5236.50ns INFO [00005238] Port=0 RD @05
5236.50ns INFO [00005238] Port=1 RD @07
5237.50ns INFO [00005239] * RD COMPARE * port=1 adr=04 act=A20754690821E1084A exp=A20754690821E1084A
5237.50ns INFO [00005239] Port=1 RD @07
5238.50ns INFO [00005240] * RD COMPARE * port=0 adr=05 act=95BEC0CCB2FD7E318D exp=95BEC0CCB2FD7E318D
5238.50ns INFO [00005240] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5238.50ns INFO [00005240] Port=1 RD @05
5239.50ns INFO [00005241] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5240.50ns INFO [00005242] * RD COMPARE * port=1 adr=05 act=95BEC0CCB2FD7E318D exp=95BEC0CCB2FD7E318D
5242.50ns INFO [00005244] Port=1 RD @03
5243.50ns INFO [00005245] Port=0 WR @03=09F088075EF0CA25C3
5243.50ns INFO [00005245] Port=0 RD @04
5243.50ns INFO [00005245] Port=1 RD @00
5244.50ns INFO [00005246] * RD COMPARE * port=1 adr=03 act=5A1E9304FFA4B05F28 exp=5A1E9304FFA4B05F28
5244.50ns INFO [00005246] Port=0 RD @02
5245.50ns INFO [00005247] * RD COMPARE * port=0 adr=04 act=A20754690821E1084A exp=A20754690821E1084A
5245.50ns INFO [00005247] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5245.50ns INFO [00005247] Port=0 WR @01=40DAF5FA479B764919
5245.50ns INFO [00005247] Port=1 RD @07
5246.50ns INFO [00005248] * RD COMPARE * port=0 adr=02 act=A4B7BE1FFA6F503E4C exp=A4B7BE1FFA6F503E4C
5247.50ns INFO [00005249] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5248.50ns INFO [00005250] Port=0 RD @07
5248.50ns INFO [00005250] Port=1 RD @06
5249.50ns INFO [00005251] Port=0 RD @00
5250.50ns INFO [00005252] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5250.50ns INFO [00005252] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5250.50ns INFO [00005252] Port=0 WR @03=CBFDDDE5AA821DE889
5251.50ns INFO [00005253] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5251.50ns INFO [00005253] Port=0 WR @03=226B55B004956732E5
5252.50ns INFO [00005254] Port=0 WR @05=224CFF8A673203BD4C
5252.50ns INFO [00005254] Port=1 RD @00
5253.50ns INFO [00005255] Port=0 WR @04=11E469DA83D1D7BB89
5253.50ns INFO [00005255] Port=0 RD @05
5253.50ns INFO [00005255] Port=1 RD @06
5254.50ns INFO [00005256] * RD COMPARE * port=1 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5254.50ns INFO [00005256] Port=0 RD @04
5254.50ns INFO [00005256] Port=1 RD @05
5255.50ns INFO [00005257] * RD COMPARE * port=0 adr=05 act=224CFF8A673203BD4C exp=224CFF8A673203BD4C
5255.50ns INFO [00005257] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5255.50ns INFO [00005257] Port=0 RD @06
5256.50ns INFO [00005258] * RD COMPARE * port=0 adr=04 act=11E469DA83D1D7BB89 exp=11E469DA83D1D7BB89
5256.50ns INFO [00005258] * RD COMPARE * port=1 adr=05 act=224CFF8A673203BD4C exp=224CFF8A673203BD4C
5256.50ns INFO [00005258] Port=1 RD @07
5257.50ns INFO [00005259] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5257.50ns INFO [00005259] Port=0 WR @05=C24DC218404B3D4DD4
5257.50ns INFO [00005259] Port=0 RD @01
5257.50ns INFO [00005259] Port=1 RD @06
5258.50ns INFO [00005260] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5258.50ns INFO [00005260] Port=0 RD @00
5259.50ns INFO [00005261] * RD COMPARE * port=0 adr=01 act=40DAF5FA479B764919 exp=40DAF5FA479B764919
5259.50ns INFO [00005261] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5259.50ns INFO [00005261] Port=0 WR @02=A397D04AE06BB9621C
5259.50ns INFO [00005261] Port=1 RD @06
5260.50ns INFO [00005262] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5260.50ns INFO [00005262] Port=0 WR @03=E067F267C4D8CA7C16
5261.50ns INFO [00005263] * RD COMPARE * port=1 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5261.50ns INFO [00005263] Port=0 WR @01=662DB210D8871D47B0
5261.50ns INFO [00005263] Port=0 RD @06
5262.50ns INFO [00005264] Port=0 RD @02
5262.50ns INFO [00005264] Port=1 RD @04
5263.50ns INFO [00005265] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5263.50ns INFO [00005265] Port=0 RD @03
5263.50ns INFO [00005265] Port=1 RD @01
5264.50ns INFO [00005266] * RD COMPARE * port=0 adr=02 act=A397D04AE06BB9621C exp=A397D04AE06BB9621C
5264.50ns INFO [00005266] * RD COMPARE * port=1 adr=04 act=11E469DA83D1D7BB89 exp=11E469DA83D1D7BB89
5265.50ns INFO [00005267] * RD COMPARE * port=0 adr=03 act=E067F267C4D8CA7C16 exp=E067F267C4D8CA7C16
5265.50ns INFO [00005267] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0
5265.50ns INFO [00005267] Port=0 WR @02=8D26D3A18638E1D186
5265.50ns INFO [00005267] Port=0 RD @07
5265.50ns INFO [00005267] Port=1 RD @05
5266.50ns INFO [00005268] Port=0 WR @05=18FF9B7913C1DBBC0B
5266.50ns INFO [00005268] Port=0 RD @07
5266.50ns INFO [00005268] Port=1 RD @07
5267.50ns INFO [00005269] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5267.50ns INFO [00005269] * RD COMPARE * port=1 adr=05 act=C24DC218404B3D4DD4 exp=C24DC218404B3D4DD4
5267.50ns INFO [00005269] Port=0 WR @04=97C51CE00F1347E19A
5267.50ns INFO [00005269] Port=1 RD @05
5268.50ns INFO [00005270] * RD COMPARE * port=0 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5268.50ns INFO [00005270] * RD COMPARE * port=1 adr=07 act=54247550941B68E230 exp=54247550941B68E230
5269.50ns INFO [00005271] * RD COMPARE * port=1 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B
5270.50ns INFO [00005272] Port=0 RD @05
5271.50ns INFO [00005273] Port=0 RD @00
5272.50ns INFO [00005274] * RD COMPARE * port=0 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B
5273.50ns INFO [00005275] * RD COMPARE * port=0 adr=00 act=ADF4D355E0E0C8C0FF exp=ADF4D355E0E0C8C0FF
5274.50ns INFO [00005276] Port=0 RD @06
5274.50ns INFO [00005276] Port=1 RD @01
5275.50ns INFO [00005277] Port=0 WR @07=10F224C80E08D93684
5275.50ns INFO [00005277] Port=0 RD @05
5275.50ns INFO [00005277] Port=1 RD @01
5276.50ns INFO [00005278] * RD COMPARE * port=0 adr=06 act=058424890D01C40FCB exp=058424890D01C40FCB
5276.50ns INFO [00005278] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0
5276.50ns INFO [00005278] Port=1 RD @02
5277.50ns INFO [00005279] * RD COMPARE * port=0 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B
5277.50ns INFO [00005279] * RD COMPARE * port=1 adr=01 act=662DB210D8871D47B0 exp=662DB210D8871D47B0
5277.50ns INFO [00005279] Port=0 WR @00=C531BE357D268D2E2B
5277.50ns INFO [00005279] Port=1 RD @05
5278.50ns INFO [00005280] * RD COMPARE * port=1 adr=02 act=8D26D3A18638E1D186 exp=8D26D3A18638E1D186
5278.50ns INFO [00005280] Port=0 WR @05=559E63DA11300ED47A
5279.50ns INFO [00005281] * RD COMPARE * port=1 adr=05 act=18FF9B7913C1DBBC0B exp=18FF9B7913C1DBBC0B
5280.50ns INFO [00005282] Port=1 RD @03
5281.50ns INFO [00005283] Port=0 WR @01=81B011B7B3D971A85A
5282.50ns INFO [00005284] * RD COMPARE * port=1 adr=03 act=E067F267C4D8CA7C16 exp=E067F267C4D8CA7C16
5282.50ns INFO [00005284] Port=0 WR @02=A6F680486C9BE018F7
5282.50ns INFO [00005284] Port=1 RD @05
5283.50ns INFO [00005285] Port=0 WR @00=EB232890E0EEF05F0A
5283.50ns INFO [00005285] Port=0 RD @05
5284.50ns INFO [00005286] * RD COMPARE * port=1 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A
5285.50ns INFO [00005287] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A
5285.50ns INFO [00005287] Port=0 WR @06=637B64B088B441661E
5286.50ns INFO [00005288] Port=0 WR @03=44B2384E3B8D492731
5287.50ns INFO [00005289] Port=0 WR @02=F9A9D4478C94B122B8
5289.50ns INFO [00005291] Port=0 WR @03=871173A4D1D812EB78
5289.50ns INFO [00005291] Port=1 RD @01
5290.50ns INFO [00005292] Port=0 RD @03
5291.50ns INFO [00005293] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A
5292.50ns INFO [00005294] * RD COMPARE * port=0 adr=03 act=871173A4D1D812EB78 exp=871173A4D1D812EB78
5292.50ns INFO [00005294] Port=0 WR @07=0320D3C61DCD0F5155
5292.50ns INFO [00005294] Port=1 RD @04
5293.50ns INFO [00005295] Port=0 WR @04=0167042BA201C4F9B0
5293.50ns INFO [00005295] Port=0 RD @07
5293.50ns INFO [00005295] Port=1 RD @01
5294.50ns INFO [00005296] * RD COMPARE * port=1 adr=04 act=97C51CE00F1347E19A exp=97C51CE00F1347E19A
5295.50ns INFO [00005297] * RD COMPARE * port=0 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155
5295.50ns INFO [00005297] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A
5296.50ns INFO [00005298] Port=1 RD @00
5297.50ns INFO [00005299] Port=0 WR @03=091579F718D735CB64
5297.50ns INFO [00005299] Port=1 RD @02
5298.00ns INFO [00005300] [00005300] ...tick...
5298.50ns INFO [00005300] * RD COMPARE * port=1 adr=00 act=EB232890E0EEF05F0A exp=EB232890E0EEF05F0A
5298.50ns INFO [00005300] Port=0 WR @00=B8FDF29B0EBA285309
5299.50ns INFO [00005301] * RD COMPARE * port=1 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8
5299.50ns INFO [00005301] Port=0 WR @06=5E04E9E85429D1D242
5299.50ns INFO [00005301] Port=0 RD @02
5299.50ns INFO [00005301] Port=1 RD @05
5300.50ns INFO [00005302] Port=0 WR @00=5F3235AEE1ACDE3C7D
5300.50ns INFO [00005302] Port=1 RD @02
5301.50ns INFO [00005303] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8
5301.50ns INFO [00005303] * RD COMPARE * port=1 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A
5301.50ns INFO [00005303] Port=0 WR @03=7ECDC47AB03CA58902
5302.50ns INFO [00005304] * RD COMPARE * port=1 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8
5302.50ns INFO [00005304] Port=0 RD @02
5302.50ns INFO [00005304] Port=1 RD @04
5303.50ns INFO [00005305] Port=0 RD @03
5304.50ns INFO [00005306] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8
5304.50ns INFO [00005306] * RD COMPARE * port=1 adr=04 act=0167042BA201C4F9B0 exp=0167042BA201C4F9B0
5304.50ns INFO [00005306] Port=1 RD @07
5305.50ns INFO [00005307] * RD COMPARE * port=0 adr=03 act=7ECDC47AB03CA58902 exp=7ECDC47AB03CA58902
5305.50ns INFO [00005307] Port=0 WR @03=C521A146F6B336ACE5
5305.50ns INFO [00005307] Port=0 RD @07
5305.50ns INFO [00005307] Port=1 RD @01
5306.50ns INFO [00005308] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155
5306.50ns INFO [00005308] Port=0 WR @01=ABFD19DE961CD3E4DD
5306.50ns INFO [00005308] Port=0 RD @00
5306.50ns INFO [00005308] Port=1 RD @06
5307.50ns INFO [00005309] * RD COMPARE * port=0 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155
5307.50ns INFO [00005309] * RD COMPARE * port=1 adr=01 act=81B011B7B3D971A85A exp=81B011B7B3D971A85A
5308.50ns INFO [00005310] * RD COMPARE * port=0 adr=00 act=5F3235AEE1ACDE3C7D exp=5F3235AEE1ACDE3C7D
5308.50ns INFO [00005310] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242
5308.50ns INFO [00005310] Port=0 RD @01
5308.50ns INFO [00005310] Port=1 RD @06
5309.50ns INFO [00005311] Port=0 RD @02
5309.50ns INFO [00005311] Port=1 RD @06
5310.50ns INFO [00005312] * RD COMPARE * port=0 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5310.50ns INFO [00005312] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242
5310.50ns INFO [00005312] Port=1 RD @01
5311.50ns INFO [00005313] * RD COMPARE * port=0 adr=02 act=F9A9D4478C94B122B8 exp=F9A9D4478C94B122B8
5311.50ns INFO [00005313] * RD COMPARE * port=1 adr=06 act=5E04E9E85429D1D242 exp=5E04E9E85429D1D242
5312.50ns INFO [00005314] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5313.50ns INFO [00005315] Port=0 WR @04=1167C25C8CC30BC9AC
5313.50ns INFO [00005315] Port=0 RD @01
5314.50ns INFO [00005316] Port=0 WR @06=725982ED4ED0B4C769
5315.50ns INFO [00005317] * RD COMPARE * port=0 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5316.50ns INFO [00005318] Port=0 WR @00=83A48C57061D697E92
5318.50ns INFO [00005320] Port=0 RD @05
5318.50ns INFO [00005320] Port=1 RD @07
5320.50ns INFO [00005322] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A
5320.50ns INFO [00005322] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155
5321.50ns INFO [00005323] Port=0 WR @00=FF39B75DCFD5D9BBBF
5321.50ns INFO [00005323] Port=1 RD @03
5323.50ns INFO [00005325] * RD COMPARE * port=1 adr=03 act=C521A146F6B336ACE5 exp=C521A146F6B336ACE5
5323.50ns INFO [00005325] Port=0 RD @00
5325.50ns INFO [00005327] * RD COMPARE * port=0 adr=00 act=FF39B75DCFD5D9BBBF exp=FF39B75DCFD5D9BBBF
5325.50ns INFO [00005327] Port=0 RD @04
5326.50ns INFO [00005328] Port=0 WR @06=BFEA59878E880142D1
5327.50ns INFO [00005329] * RD COMPARE * port=0 adr=04 act=1167C25C8CC30BC9AC exp=1167C25C8CC30BC9AC
5327.50ns INFO [00005329] Port=0 WR @06=08D5EAD0883071EC19
5327.50ns INFO [00005329] Port=0 RD @05
5328.50ns INFO [00005330] Port=1 RD @01
5329.50ns INFO [00005331] * RD COMPARE * port=0 adr=05 act=559E63DA11300ED47A exp=559E63DA11300ED47A
5330.50ns INFO [00005332] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5330.50ns INFO [00005332] Port=0 RD @06
5331.50ns INFO [00005333] Port=0 WR @02=03F02703CE7E159CC3
5332.50ns INFO [00005334] * RD COMPARE * port=0 adr=06 act=08D5EAD0883071EC19 exp=08D5EAD0883071EC19
5332.50ns INFO [00005334] Port=0 RD @06
5332.50ns INFO [00005334] Port=1 RD @07
5333.50ns INFO [00005335] Port=0 WR @07=46F641CFC9D27D3E96
5334.50ns INFO [00005336] * RD COMPARE * port=0 adr=06 act=08D5EAD0883071EC19 exp=08D5EAD0883071EC19
5334.50ns INFO [00005336] * RD COMPARE * port=1 adr=07 act=0320D3C61DCD0F5155 exp=0320D3C61DCD0F5155
5336.50ns INFO [00005338] Port=0 RD @04
5337.50ns INFO [00005339] Port=0 RD @07
5337.50ns INFO [00005339] Port=1 RD @01
5338.50ns INFO [00005340] * RD COMPARE * port=0 adr=04 act=1167C25C8CC30BC9AC exp=1167C25C8CC30BC9AC
5339.50ns INFO [00005341] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5339.50ns INFO [00005341] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5339.50ns INFO [00005341] Port=0 WR @04=01493EE410AF10149F
5339.50ns INFO [00005341] Port=1 RD @03
5340.50ns INFO [00005342] Port=0 WR @06=3608CE624D6128FF41
5340.50ns INFO [00005342] Port=1 RD @01
5341.50ns INFO [00005343] * RD COMPARE * port=1 adr=03 act=C521A146F6B336ACE5 exp=C521A146F6B336ACE5
5341.50ns INFO [00005343] Port=0 WR @05=FA033E7117B88D9CF5
5342.50ns INFO [00005344] * RD COMPARE * port=1 adr=01 act=ABFD19DE961CD3E4DD exp=ABFD19DE961CD3E4DD
5342.50ns INFO [00005344] Port=0 RD @02
5344.50ns INFO [00005346] * RD COMPARE * port=0 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3
5345.50ns INFO [00005347] Port=0 RD @07
5346.50ns INFO [00005348] Port=0 WR @06=0F04A0B7CF9C0D66A1
5347.50ns INFO [00005349] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5347.50ns INFO [00005349] Port=1 RD @06
5348.50ns INFO [00005350] Port=0 WR @01=F1D607EB6BAC5B9B3D
5348.50ns INFO [00005350] Port=1 RD @07
5349.50ns INFO [00005351] * RD COMPARE * port=1 adr=06 act=0F04A0B7CF9C0D66A1 exp=0F04A0B7CF9C0D66A1
5349.50ns INFO [00005351] Port=0 RD @05
5349.50ns INFO [00005351] Port=1 RD @02
5350.50ns INFO [00005352] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5350.50ns INFO [00005352] Port=0 WR @03=AA772918741403B99C
5351.50ns INFO [00005353] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5351.50ns INFO [00005353] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3
5352.50ns INFO [00005354] Port=0 WR @00=27D910D417B2B7BB2E
5353.50ns INFO [00005355] Port=0 WR @00=4301BCFBF159E33EDB
5353.50ns INFO [00005355] Port=0 RD @01
5355.50ns INFO [00005357] * RD COMPARE * port=0 adr=01 act=F1D607EB6BAC5B9B3D exp=F1D607EB6BAC5B9B3D
5355.50ns INFO [00005357] Port=0 WR @06=317EFE66942910C448
5356.50ns INFO [00005358] Port=1 RD @06
5358.50ns INFO [00005360] * RD COMPARE * port=1 adr=06 act=317EFE66942910C448 exp=317EFE66942910C448
5358.50ns INFO [00005360] Port=0 RD @05
5358.50ns INFO [00005360] Port=1 RD @02
5359.50ns INFO [00005361] Port=0 WR @04=3A74FC8E61088377B3
5360.50ns INFO [00005362] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5360.50ns INFO [00005362] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3
5360.50ns INFO [00005362] Port=1 RD @02
5361.50ns INFO [00005363] Port=0 RD @05
5362.50ns INFO [00005364] * RD COMPARE * port=1 adr=02 act=03F02703CE7E159CC3 exp=03F02703CE7E159CC3
5362.50ns INFO [00005364] Port=0 WR @02=5FBC00EBEA50066055
5363.50ns INFO [00005365] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5363.50ns INFO [00005365] Port=0 WR @04=1B0494C4F0AD6ECFC6
5365.50ns INFO [00005367] Port=0 WR @03=948F55A29242C6A09E
5365.50ns INFO [00005367] Port=1 RD @01
5366.50ns INFO [00005368] Port=0 WR @00=12E401D0575715372D
5367.50ns INFO [00005369] * RD COMPARE * port=1 adr=01 act=F1D607EB6BAC5B9B3D exp=F1D607EB6BAC5B9B3D
5367.50ns INFO [00005369] Port=1 RD @05
5369.50ns INFO [00005371] * RD COMPARE * port=1 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5371.50ns INFO [00005373] Port=1 RD @07
5372.50ns INFO [00005374] Port=0 RD @07
5373.50ns INFO [00005375] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5373.50ns INFO [00005375] Port=0 WR @01=A805D4819CD919860A
5374.50ns INFO [00005376] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5374.50ns INFO [00005376] Port=1 RD @03
5376.50ns INFO [00005378] * RD COMPARE * port=1 adr=03 act=948F55A29242C6A09E exp=948F55A29242C6A09E
5376.50ns INFO [00005378] Port=0 RD @07
5377.50ns INFO [00005379] Port=0 WR @02=1D624092C18E170FB9
5377.50ns INFO [00005379] Port=0 RD @07
5378.50ns INFO [00005380] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5378.50ns INFO [00005380] Port=0 RD @07
5378.50ns INFO [00005380] Port=1 RD @05
5379.50ns INFO [00005381] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5379.50ns INFO [00005381] Port=0 WR @04=512C669C5952B39A5D
5379.50ns INFO [00005381] Port=0 RD @05
5380.50ns INFO [00005382] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5380.50ns INFO [00005382] * RD COMPARE * port=1 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5380.50ns INFO [00005382] Port=0 WR @03=FD34EAE8B5D0D7F4E6
5381.50ns INFO [00005383] * RD COMPARE * port=0 adr=05 act=FA033E7117B88D9CF5 exp=FA033E7117B88D9CF5
5381.50ns INFO [00005383] Port=0 WR @05=C34AB118E97A39651E
5381.50ns INFO [00005383] Port=0 RD @04
5382.50ns INFO [00005384] Port=0 RD @05
5382.50ns INFO [00005384] Port=1 RD @06
5383.50ns INFO [00005385] * RD COMPARE * port=0 adr=04 act=512C669C5952B39A5D exp=512C669C5952B39A5D
5384.50ns INFO [00005386] * RD COMPARE * port=0 adr=05 act=C34AB118E97A39651E exp=C34AB118E97A39651E
5384.50ns INFO [00005386] * RD COMPARE * port=1 adr=06 act=317EFE66942910C448 exp=317EFE66942910C448
5384.50ns INFO [00005386] Port=0 WR @05=8040D719011A8E0FD6
5385.50ns INFO [00005387] Port=0 WR @01=F3B3C2371D1201D871
5385.50ns INFO [00005387] Port=0 RD @04
5386.50ns INFO [00005388] Port=0 WR @02=797976983B7E550FD5
5386.50ns INFO [00005388] Port=0 RD @00
5387.50ns INFO [00005389] * RD COMPARE * port=0 adr=04 act=512C669C5952B39A5D exp=512C669C5952B39A5D
5388.50ns INFO [00005390] * RD COMPARE * port=0 adr=00 act=12E401D0575715372D exp=12E401D0575715372D
5388.50ns INFO [00005390] Port=0 WR @04=D889CC23D6EC4C0257
5389.50ns INFO [00005391] Port=0 WR @00=084D36615E1765951E
5389.50ns INFO [00005391] Port=0 RD @05
5390.50ns INFO [00005392] Port=0 WR @06=7F538C3C28EFF6C1CD
5390.50ns INFO [00005392] Port=1 RD @04
5391.50ns INFO [00005393] * RD COMPARE * port=0 adr=05 act=8040D719011A8E0FD6 exp=8040D719011A8E0FD6
5391.50ns INFO [00005393] Port=1 RD @02
5392.50ns INFO [00005394] * RD COMPARE * port=1 adr=04 act=D889CC23D6EC4C0257 exp=D889CC23D6EC4C0257
5392.50ns INFO [00005394] Port=0 WR @00=0FD16FA2A18217F58B
5393.50ns INFO [00005395] * RD COMPARE * port=1 adr=02 act=797976983B7E550FD5 exp=797976983B7E550FD5
5395.50ns INFO [00005397] Port=0 WR @05=6E3E5F6A75D6230EFB
5396.50ns INFO [00005398] Port=0 WR @00=5AB8776F7938ED3CA3
5396.50ns INFO [00005398] Port=1 RD @05
5398.00ns INFO [00005400] [00005400] ...tick...
5398.50ns INFO [00005400] * RD COMPARE * port=1 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB
5398.50ns INFO [00005400] Port=0 WR @00=0090D3728134EFE453
5400.50ns INFO [00005402] Port=0 WR @06=4CD7A5637D4FC6FD82
5400.50ns INFO [00005402] Port=0 RD @05
5402.50ns INFO [00005404] * RD COMPARE * port=0 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB
5402.50ns INFO [00005404] Port=0 RD @05
5403.50ns INFO [00005405] Port=0 WR @00=47758447E8BB6F8168
5403.50ns INFO [00005405] Port=0 RD @07
5403.50ns INFO [00005405] Port=1 RD @06
5404.50ns INFO [00005406] * RD COMPARE * port=0 adr=05 act=6E3E5F6A75D6230EFB exp=6E3E5F6A75D6230EFB
5404.50ns INFO [00005406] Port=0 WR @05=459ECA40F0E8B80D4D
5405.50ns INFO [00005407] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5405.50ns INFO [00005407] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82
5405.50ns INFO [00005407] Port=1 RD @00
5407.50ns INFO [00005409] * RD COMPARE * port=1 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168
5407.50ns INFO [00005409] Port=0 RD @05
5407.50ns INFO [00005409] Port=1 RD @06
5409.50ns INFO [00005411] * RD COMPARE * port=0 adr=05 act=459ECA40F0E8B80D4D exp=459ECA40F0E8B80D4D
5409.50ns INFO [00005411] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82
5409.50ns INFO [00005411] Port=0 RD @04
5410.50ns INFO [00005412] Port=0 WR @01=CFD156FC39BAD202EF
5410.50ns INFO [00005412] Port=0 RD @07
5410.50ns INFO [00005412] Port=1 RD @06
5411.50ns INFO [00005413] * RD COMPARE * port=0 adr=04 act=D889CC23D6EC4C0257 exp=D889CC23D6EC4C0257
5411.50ns INFO [00005413] Port=0 WR @05=E84BFB94C5AC6FB6FC
5411.50ns INFO [00005413] Port=0 RD @02
5412.50ns INFO [00005414] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5412.50ns INFO [00005414] * RD COMPARE * port=1 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82
5413.50ns INFO [00005415] * RD COMPARE * port=0 adr=02 act=797976983B7E550FD5 exp=797976983B7E550FD5
5413.50ns INFO [00005415] Port=0 WR @02=BD40CCFD234ECE1BDF
5413.50ns INFO [00005415] Port=0 RD @06
5413.50ns INFO [00005415] Port=1 RD @01
5414.50ns INFO [00005416] Port=0 RD @06
5414.50ns INFO [00005416] Port=1 RD @01
5415.50ns INFO [00005417] * RD COMPARE * port=0 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82
5415.50ns INFO [00005417] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF
5415.50ns INFO [00005417] Port=0 RD @00
5415.50ns INFO [00005417] Port=1 RD @05
5416.50ns INFO [00005418] * RD COMPARE * port=0 adr=06 act=4CD7A5637D4FC6FD82 exp=4CD7A5637D4FC6FD82
5416.50ns INFO [00005418] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF
5416.50ns INFO [00005418] Port=0 WR @06=7B3C39D84E6519CDE1
5416.50ns INFO [00005418] Port=0 RD @01
5417.50ns INFO [00005419] * RD COMPARE * port=0 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168
5417.50ns INFO [00005419] * RD COMPARE * port=1 adr=05 act=E84BFB94C5AC6FB6FC exp=E84BFB94C5AC6FB6FC
5417.50ns INFO [00005419] Port=0 WR @05=1BFBEB35461638D899
5417.50ns INFO [00005419] Port=1 RD @03
5418.50ns INFO [00005420] * RD COMPARE * port=0 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF
5418.50ns INFO [00005420] Port=0 RD @05
5419.50ns INFO [00005421] * RD COMPARE * port=1 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6
5419.50ns INFO [00005421] Port=0 WR @05=1061EA77634401C9A0
5419.50ns INFO [00005421] Port=1 RD @06
5420.50ns INFO [00005422] * RD COMPARE * port=0 adr=05 act=1BFBEB35461638D899 exp=1BFBEB35461638D899
5420.50ns INFO [00005422] Port=1 RD @01
5421.50ns INFO [00005423] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5421.50ns INFO [00005423] Port=0 RD @03
5422.50ns INFO [00005424] * RD COMPARE * port=1 adr=01 act=CFD156FC39BAD202EF exp=CFD156FC39BAD202EF
5422.50ns INFO [00005424] Port=0 RD @05
5423.50ns INFO [00005425] * RD COMPARE * port=0 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6
5423.50ns INFO [00005425] Port=0 WR @04=EFB2A22FD92884E63E
5423.50ns INFO [00005425] Port=0 RD @05
5423.50ns INFO [00005425] Port=1 RD @03
5424.50ns INFO [00005426] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5424.50ns INFO [00005426] Port=0 WR @04=609BAD904FE7874015
5424.50ns INFO [00005426] Port=1 RD @06
5425.50ns INFO [00005427] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5425.50ns INFO [00005427] * RD COMPARE * port=1 adr=03 act=FD34EAE8B5D0D7F4E6 exp=FD34EAE8B5D0D7F4E6
5425.50ns INFO [00005427] Port=0 RD @00
5425.50ns INFO [00005427] Port=1 RD @05
5426.50ns INFO [00005428] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5427.50ns INFO [00005429] * RD COMPARE * port=0 adr=00 act=47758447E8BB6F8168 exp=47758447E8BB6F8168
5427.50ns INFO [00005429] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5427.50ns INFO [00005429] Port=0 WR @04=5ED04899C0336CA1D3
5427.50ns INFO [00005429] Port=0 RD @05
5429.50ns INFO [00005431] * RD COMPARE * port=0 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5431.50ns INFO [00005433] Port=0 RD @04
5432.50ns INFO [00005434] Port=0 WR @03=F1D66AA10D8C5BFA8D
5432.50ns INFO [00005434] Port=0 RD @06
5432.50ns INFO [00005434] Port=1 RD @04
5433.50ns INFO [00005435] * RD COMPARE * port=0 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3
5433.50ns INFO [00005435] Port=0 RD @03
5434.50ns INFO [00005436] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5434.50ns INFO [00005436] * RD COMPARE * port=1 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3
5434.50ns INFO [00005436] Port=0 RD @04
5435.50ns INFO [00005437] * RD COMPARE * port=0 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D
5435.50ns INFO [00005437] Port=1 RD @07
5436.50ns INFO [00005438] * RD COMPARE * port=0 adr=04 act=5ED04899C0336CA1D3 exp=5ED04899C0336CA1D3
5436.50ns INFO [00005438] Port=0 WR @00=F057633CAF71B4EA4E
5436.50ns INFO [00005438] Port=0 RD @07
5436.50ns INFO [00005438] Port=1 RD @03
5437.50ns INFO [00005439] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5437.50ns INFO [00005439] Port=0 RD @06
5437.50ns INFO [00005439] Port=1 RD @05
5438.50ns INFO [00005440] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5438.50ns INFO [00005440] * RD COMPARE * port=1 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D
5438.50ns INFO [00005440] Port=0 WR @01=9FA4564A1838E89316
5439.50ns INFO [00005441] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5439.50ns INFO [00005441] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5439.50ns INFO [00005441] Port=0 WR @04=B202ED86655E10A248
5439.50ns INFO [00005441] Port=0 RD @06
5440.50ns INFO [00005442] Port=1 RD @03
5441.50ns INFO [00005443] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5441.50ns INFO [00005443] Port=0 WR @04=00CC4336CE28A2756B
5441.50ns INFO [00005443] Port=0 RD @01
5441.50ns INFO [00005443] Port=1 RD @05
5442.50ns INFO [00005444] * RD COMPARE * port=1 adr=03 act=F1D66AA10D8C5BFA8D exp=F1D66AA10D8C5BFA8D
5442.50ns INFO [00005444] Port=0 RD @01
5442.50ns INFO [00005444] Port=1 RD @07
5443.50ns INFO [00005445] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5443.50ns INFO [00005445] * RD COMPARE * port=1 adr=05 act=1061EA77634401C9A0 exp=1061EA77634401C9A0
5443.50ns INFO [00005445] Port=1 RD @06
5444.50ns INFO [00005446] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5444.50ns INFO [00005446] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5444.50ns INFO [00005446] Port=0 WR @05=FA273BB5647ED68111
5444.50ns INFO [00005446] Port=0 RD @01
5445.50ns INFO [00005447] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5445.50ns INFO [00005447] Port=1 RD @06
5446.50ns INFO [00005448] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5446.50ns INFO [00005448] Port=0 WR @03=95AB4C8E98A342FB12
5447.50ns INFO [00005449] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5447.50ns INFO [00005449] Port=0 WR @02=6BA1348CE181B11B51
5447.50ns INFO [00005449] Port=0 RD @06
5449.50ns INFO [00005451] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5449.50ns INFO [00005451] Port=0 RD @03
5450.50ns INFO [00005452] Port=0 WR @05=A7DE26AFDC49B5AA46
5450.50ns INFO [00005452] Port=0 RD @02
5450.50ns INFO [00005452] Port=1 RD @06
5451.50ns INFO [00005453] * RD COMPARE * port=0 adr=03 act=95AB4C8E98A342FB12 exp=95AB4C8E98A342FB12
5452.50ns INFO [00005454] * RD COMPARE * port=0 adr=02 act=6BA1348CE181B11B51 exp=6BA1348CE181B11B51
5452.50ns INFO [00005454] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5452.50ns INFO [00005454] Port=0 WR @02=63337623597B04C089
5453.50ns INFO [00005455] Port=0 WR @03=1491E1561C726D67A6
5453.50ns INFO [00005455] Port=0 RD @04
5455.50ns INFO [00005457] * RD COMPARE * port=0 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B
5455.50ns INFO [00005457] Port=0 WR @05=783CE0FC539C074BAD
5456.50ns INFO [00005458] Port=0 RD @06
5456.50ns INFO [00005458] Port=1 RD @04
5457.50ns INFO [00005459] Port=0 WR @02=41AFAB5F7769E7D1F4
5458.50ns INFO [00005460] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5458.50ns INFO [00005460] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B
5458.50ns INFO [00005460] Port=0 WR @05=980A0436D79E553BEF
5458.50ns INFO [00005460] Port=1 RD @07
5460.50ns INFO [00005462] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5461.50ns INFO [00005463] Port=0 WR @03=376354267712E918B2
5461.50ns INFO [00005463] Port=1 RD @05
5463.50ns INFO [00005465] * RD COMPARE * port=1 adr=05 act=980A0436D79E553BEF exp=980A0436D79E553BEF
5463.50ns INFO [00005465] Port=0 WR @00=F8ACF3F285E4031480
5463.50ns INFO [00005465] Port=0 RD @06
5463.50ns INFO [00005465] Port=1 RD @07
5465.50ns INFO [00005467] * RD COMPARE * port=0 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5465.50ns INFO [00005467] * RD COMPARE * port=1 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5465.50ns INFO [00005467] Port=0 RD @05
5466.50ns INFO [00005468] Port=0 RD @02
5466.50ns INFO [00005468] Port=1 RD @06
5467.50ns INFO [00005469] * RD COMPARE * port=0 adr=05 act=980A0436D79E553BEF exp=980A0436D79E553BEF
5468.50ns INFO [00005470] * RD COMPARE * port=0 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4
5468.50ns INFO [00005470] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5468.50ns INFO [00005470] Port=1 RD @03
5470.50ns INFO [00005472] * RD COMPARE * port=1 adr=03 act=376354267712E918B2 exp=376354267712E918B2
5470.50ns INFO [00005472] Port=1 RD @02
5471.50ns INFO [00005473] Port=0 WR @03=4BBFC3B34091C41BA6
5471.50ns INFO [00005473] Port=1 RD @01
5472.50ns INFO [00005474] * RD COMPARE * port=1 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4
5472.50ns INFO [00005474] Port=0 WR @05=CFBBBA90BCDABEB04A
5472.50ns INFO [00005474] Port=1 RD @03
5473.50ns INFO [00005475] * RD COMPARE * port=1 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5473.50ns INFO [00005475] Port=0 RD @07
5474.50ns INFO [00005476] * RD COMPARE * port=1 adr=03 act=4BBFC3B34091C41BA6 exp=4BBFC3B34091C41BA6
5474.50ns INFO [00005476] Port=0 WR @07=D4F3D005CEC253CF81
5475.50ns INFO [00005477] * RD COMPARE * port=0 adr=07 act=46F641CFC9D27D3E96 exp=46F641CFC9D27D3E96
5475.50ns INFO [00005477] Port=1 RD @03
5476.50ns INFO [00005478] Port=0 RD @02
5476.50ns INFO [00005478] Port=1 RD @07
5477.50ns INFO [00005479] * RD COMPARE * port=1 adr=03 act=4BBFC3B34091C41BA6 exp=4BBFC3B34091C41BA6
5477.50ns INFO [00005479] Port=0 RD @07
5477.50ns INFO [00005479] Port=1 RD @06
5478.50ns INFO [00005480] * RD COMPARE * port=0 adr=02 act=41AFAB5F7769E7D1F4 exp=41AFAB5F7769E7D1F4
5478.50ns INFO [00005480] * RD COMPARE * port=1 adr=07 act=D4F3D005CEC253CF81 exp=D4F3D005CEC253CF81
5479.50ns INFO [00005481] * RD COMPARE * port=0 adr=07 act=D4F3D005CEC253CF81 exp=D4F3D005CEC253CF81
5479.50ns INFO [00005481] * RD COMPARE * port=1 adr=06 act=7B3C39D84E6519CDE1 exp=7B3C39D84E6519CDE1
5479.50ns INFO [00005481] Port=0 WR @07=54EBDA31C939BFEBAB
5479.50ns INFO [00005481] Port=1 RD @04
5480.50ns INFO [00005482] Port=0 WR @06=15EDBB85CCECCB444F
5480.50ns INFO [00005482] Port=0 RD @01
5481.50ns INFO [00005483] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B
5481.50ns INFO [00005483] Port=0 RD @00
5481.50ns INFO [00005483] Port=1 RD @00
5482.50ns INFO [00005484] * RD COMPARE * port=0 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5482.50ns INFO [00005484] Port=0 WR @03=764AAA76BFE3C52C01
5483.50ns INFO [00005485] * RD COMPARE * port=0 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480
5483.50ns INFO [00005485] * RD COMPARE * port=1 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480
5483.50ns INFO [00005485] Port=0 WR @06=1EB5EA6E44F39A7DAD
5483.50ns INFO [00005485] Port=0 RD @05
5484.50ns INFO [00005486] Port=0 RD @04
5484.50ns INFO [00005486] Port=1 RD @03
5485.50ns INFO [00005487] * RD COMPARE * port=0 adr=05 act=CFBBBA90BCDABEB04A exp=CFBBBA90BCDABEB04A
5485.50ns INFO [00005487] Port=0 WR @05=781AEF6520FC60BD2E
5486.50ns INFO [00005488] * RD COMPARE * port=0 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B
5486.50ns INFO [00005488] * RD COMPARE * port=1 adr=03 act=764AAA76BFE3C52C01 exp=764AAA76BFE3C52C01
5486.50ns INFO [00005488] Port=1 RD @07
5487.50ns INFO [00005489] Port=1 RD @04
5488.50ns INFO [00005490] * RD COMPARE * port=1 adr=07 act=54EBDA31C939BFEBAB exp=54EBDA31C939BFEBAB
5489.50ns INFO [00005491] * RD COMPARE * port=1 adr=04 act=00CC4336CE28A2756B exp=00CC4336CE28A2756B
5489.50ns INFO [00005491] Port=1 RD @01
5491.50ns INFO [00005493] * RD COMPARE * port=1 adr=01 act=9FA4564A1838E89316 exp=9FA4564A1838E89316
5491.50ns INFO [00005493] Port=0 RD @07
5492.50ns INFO [00005494] Port=0 WR @02=3D006BA3D8C4B95C00
5493.50ns INFO [00005495] * RD COMPARE * port=0 adr=07 act=54EBDA31C939BFEBAB exp=54EBDA31C939BFEBAB
5493.50ns INFO [00005495] Port=0 WR @01=BE1B1EE216B038C00D
5494.50ns INFO [00005496] Port=1 RD @06
5495.50ns INFO [00005497] Port=0 WR @07=84FCA4CA769FC33CD3
5496.50ns INFO [00005498] * RD COMPARE * port=1 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD
5496.50ns INFO [00005498] Port=0 WR @05=33C919F65FDA22B22E
5496.50ns INFO [00005498] Port=0 RD @02
5497.50ns INFO [00005499] Port=0 WR @04=C1177CDC567463F3D3
5497.50ns INFO [00005499] Port=0 RD @06
5497.50ns INFO [00005499] Port=1 RD @03
5498.00ns INFO [00005500] [00005500] ...tick...
5498.50ns INFO [00005500] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5499.50ns INFO [00005501] * RD COMPARE * port=0 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD
5499.50ns INFO [00005501] * RD COMPARE * port=1 adr=03 act=764AAA76BFE3C52C01 exp=764AAA76BFE3C52C01
5499.50ns INFO [00005501] Port=0 RD @06
5499.50ns INFO [00005501] Port=1 RD @05
5500.50ns INFO [00005502] Port=1 RD @00
5501.50ns INFO [00005503] * RD COMPARE * port=0 adr=06 act=1EB5EA6E44F39A7DAD exp=1EB5EA6E44F39A7DAD
5501.50ns INFO [00005503] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E
5502.50ns INFO [00005504] * RD COMPARE * port=1 adr=00 act=F8ACF3F285E4031480 exp=F8ACF3F285E4031480
5502.50ns INFO [00005504] Port=0 WR @07=66DAA6BADE38122E09
5503.50ns INFO [00005505] Port=0 WR @01=CB0597AEF6AA48DC7E
5503.50ns INFO [00005505] Port=1 RD @05
5504.50ns INFO [00005506] Port=0 WR @00=11A9F9F7FF8C631F58
5504.50ns INFO [00005506] Port=1 RD @01
5505.50ns INFO [00005507] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E
5505.50ns INFO [00005507] Port=1 RD @02
5506.50ns INFO [00005508] * RD COMPARE * port=1 adr=01 act=CB0597AEF6AA48DC7E exp=CB0597AEF6AA48DC7E
5506.50ns INFO [00005508] Port=0 WR @06=8543FAE200E59C2E07
5506.50ns INFO [00005508] Port=1 RD @04
5507.50ns INFO [00005509] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5507.50ns INFO [00005509] Port=0 RD @02
5507.50ns INFO [00005509] Port=1 RD @05
5508.50ns INFO [00005510] * RD COMPARE * port=1 adr=04 act=C1177CDC567463F3D3 exp=C1177CDC567463F3D3
5508.50ns INFO [00005510] Port=0 WR @03=F09CF112F2FE84B926
5509.50ns INFO [00005511] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5509.50ns INFO [00005511] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E
5509.50ns INFO [00005511] Port=0 RD @01
5510.50ns INFO [00005512] Port=0 WR @03=48E38B0736AA1EABE8
5511.50ns INFO [00005513] * RD COMPARE * port=0 adr=01 act=CB0597AEF6AA48DC7E exp=CB0597AEF6AA48DC7E
5511.50ns INFO [00005513] Port=0 WR @04=C765672BA974B1C263
5511.50ns INFO [00005513] Port=0 RD @03
5511.50ns INFO [00005513] Port=1 RD @00
5512.50ns INFO [00005514] Port=0 RD @04
5513.50ns INFO [00005515] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5513.50ns INFO [00005515] * RD COMPARE * port=1 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58
5513.50ns INFO [00005515] Port=0 WR @01=200EAB045738A194CE
5513.50ns INFO [00005515] Port=1 RD @05
5514.50ns INFO [00005516] * RD COMPARE * port=0 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5514.50ns INFO [00005516] Port=1 RD @04
5515.50ns INFO [00005517] * RD COMPARE * port=1 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E
5515.50ns INFO [00005517] Port=0 WR @01=9666EA09A3B96EA034
5515.50ns INFO [00005517] Port=0 RD @06
5516.50ns INFO [00005518] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5516.50ns INFO [00005518] Port=0 WR @01=38840D90D57C0A8B6E
5516.50ns INFO [00005518] Port=0 RD @05
5516.50ns INFO [00005518] Port=1 RD @04
5517.50ns INFO [00005519] * RD COMPARE * port=0 adr=06 act=8543FAE200E59C2E07 exp=8543FAE200E59C2E07
5517.50ns INFO [00005519] Port=0 WR @01=F67855BFE10A9D4B51
5518.50ns INFO [00005520] * RD COMPARE * port=0 adr=05 act=33C919F65FDA22B22E exp=33C919F65FDA22B22E
5518.50ns INFO [00005520] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5518.50ns INFO [00005520] Port=0 RD @03
5519.50ns INFO [00005521] Port=0 WR @07=B77B5CE0274133E9C7
5520.50ns INFO [00005522] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5521.50ns INFO [00005523] Port=0 WR @05=6DF31FB768E9751C8B
5521.50ns INFO [00005523] Port=0 RD @00
5521.50ns INFO [00005523] Port=1 RD @00
5522.50ns INFO [00005524] Port=0 WR @01=635695C2FEDA5C7C8F
5522.50ns INFO [00005524] Port=0 RD @05
5522.50ns INFO [00005524] Port=1 RD @03
5523.50ns INFO [00005525] * RD COMPARE * port=0 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58
5523.50ns INFO [00005525] * RD COMPARE * port=1 adr=00 act=11A9F9F7FF8C631F58 exp=11A9F9F7FF8C631F58
5523.50ns INFO [00005525] Port=0 WR @00=972F47FF81CB1C9C25
5523.50ns INFO [00005525] Port=1 RD @04
5524.50ns INFO [00005526] * RD COMPARE * port=0 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B
5524.50ns INFO [00005526] * RD COMPARE * port=1 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5524.50ns INFO [00005526] Port=1 RD @01
5525.50ns INFO [00005527] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5525.50ns INFO [00005527] Port=0 WR @00=61CF4A2DA74BF8F8FB
5525.50ns INFO [00005527] Port=0 RD @04
5525.50ns INFO [00005527] Port=1 RD @02
5526.50ns INFO [00005528] * RD COMPARE * port=1 adr=01 act=635695C2FEDA5C7C8F exp=635695C2FEDA5C7C8F
5526.50ns INFO [00005528] Port=0 WR @01=660EB59AB03A310579
5527.50ns INFO [00005529] * RD COMPARE * port=0 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5527.50ns INFO [00005529] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5528.50ns INFO [00005530] Port=0 RD @06
5528.50ns INFO [00005530] Port=1 RD @04
5529.50ns INFO [00005531] Port=1 RD @07
5530.50ns INFO [00005532] * RD COMPARE * port=0 adr=06 act=8543FAE200E59C2E07 exp=8543FAE200E59C2E07
5530.50ns INFO [00005532] * RD COMPARE * port=1 adr=04 act=C765672BA974B1C263 exp=C765672BA974B1C263
5530.50ns INFO [00005532] Port=0 WR @00=3C507B1E19A6A6691B
5531.50ns INFO [00005533] * RD COMPARE * port=1 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5531.50ns INFO [00005533] Port=0 RD @07
5532.50ns INFO [00005534] Port=0 WR @01=D806939C1A065BE2B5
5532.50ns INFO [00005534] Port=0 RD @07
5533.50ns INFO [00005535] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5534.50ns INFO [00005536] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5534.50ns INFO [00005536] Port=1 RD @00
5536.50ns INFO [00005538] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B
5537.50ns INFO [00005539] Port=0 RD @01
5537.50ns INFO [00005539] Port=1 RD @00
5539.50ns INFO [00005541] * RD COMPARE * port=0 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5
5539.50ns INFO [00005541] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B
5539.50ns INFO [00005541] Port=1 RD @01
5540.50ns INFO [00005542] Port=0 WR @04=CF62D4077C18C64CD9
5541.50ns INFO [00005543] * RD COMPARE * port=1 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5
5541.50ns INFO [00005543] Port=1 RD @05
5542.50ns INFO [00005544] Port=0 RD @03
5542.50ns INFO [00005544] Port=1 RD @04
5543.50ns INFO [00005545] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B
5543.50ns INFO [00005545] Port=0 WR @06=0809EC2B0E86F114CC
5544.50ns INFO [00005546] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5544.50ns INFO [00005546] * RD COMPARE * port=1 adr=04 act=CF62D4077C18C64CD9 exp=CF62D4077C18C64CD9
5544.50ns INFO [00005546] Port=0 RD @03
5545.50ns INFO [00005547] Port=0 WR @06=17A108AD6EB36335C7
5546.50ns INFO [00005548] * RD COMPARE * port=0 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5548.50ns INFO [00005550] Port=0 RD @01
5548.50ns INFO [00005550] Port=1 RD @02
5550.50ns INFO [00005552] * RD COMPARE * port=0 adr=01 act=D806939C1A065BE2B5 exp=D806939C1A065BE2B5
5550.50ns INFO [00005552] * RD COMPARE * port=1 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5550.50ns INFO [00005552] Port=0 RD @00
5550.50ns INFO [00005552] Port=1 RD @05
5551.50ns INFO [00005553] Port=0 RD @07
5551.50ns INFO [00005553] Port=1 RD @03
5552.50ns INFO [00005554] * RD COMPARE * port=0 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B
5552.50ns INFO [00005554] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B
5552.50ns INFO [00005554] Port=0 WR @01=9E26C942D855E365CF
5552.50ns INFO [00005554] Port=0 RD @02
5553.50ns INFO [00005555] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5553.50ns INFO [00005555] * RD COMPARE * port=1 adr=03 act=48E38B0736AA1EABE8 exp=48E38B0736AA1EABE8
5554.50ns INFO [00005556] * RD COMPARE * port=0 adr=02 act=3D006BA3D8C4B95C00 exp=3D006BA3D8C4B95C00
5554.50ns INFO [00005556] Port=0 WR @04=3844E4E70BCAFD7152
5554.50ns INFO [00005556] Port=1 RD @00
5556.50ns INFO [00005558] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B
5556.50ns INFO [00005558] Port=0 RD @07
5557.50ns INFO [00005559] Port=0 WR @01=5DE8CCE6E9AC7BCDC6
5558.50ns INFO [00005560] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5558.50ns INFO [00005560] Port=0 RD @06
5560.50ns INFO [00005562] * RD COMPARE * port=0 adr=06 act=17A108AD6EB36335C7 exp=17A108AD6EB36335C7
5560.50ns INFO [00005562] Port=0 RD @06
5560.50ns INFO [00005562] Port=1 RD @05
5562.50ns INFO [00005564] * RD COMPARE * port=0 adr=06 act=17A108AD6EB36335C7 exp=17A108AD6EB36335C7
5562.50ns INFO [00005564] * RD COMPARE * port=1 adr=05 act=6DF31FB768E9751C8B exp=6DF31FB768E9751C8B
5562.50ns INFO [00005564] Port=0 WR @06=9AE9EF5641E28DD8F8
5562.50ns INFO [00005564] Port=1 RD @01
5563.50ns INFO [00005565] Port=0 WR @04=CD2CB9C52F0B716C0F
5564.50ns INFO [00005566] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5564.50ns INFO [00005566] Port=0 RD @01
5565.50ns INFO [00005567] Port=0 RD @06
5566.50ns INFO [00005568] * RD COMPARE * port=0 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5566.50ns INFO [00005568] Port=0 WR @03=5B63013E27B1DBF796
5566.50ns INFO [00005568] Port=1 RD @00
5567.50ns INFO [00005569] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5568.50ns INFO [00005570] * RD COMPARE * port=1 adr=00 act=3C507B1E19A6A6691B exp=3C507B1E19A6A6691B
5568.50ns INFO [00005570] Port=0 WR @05=BA434A105AB6496C7B
5568.50ns INFO [00005570] Port=1 RD @07
5569.50ns INFO [00005571] Port=0 RD @06
5569.50ns INFO [00005571] Port=1 RD @05
5570.50ns INFO [00005572] * RD COMPARE * port=1 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5570.50ns INFO [00005572] Port=0 RD @07
5571.50ns INFO [00005573] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5571.50ns INFO [00005573] * RD COMPARE * port=1 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B
5572.50ns INFO [00005574] * RD COMPARE * port=0 adr=07 act=B77B5CE0274133E9C7 exp=B77B5CE0274133E9C7
5572.50ns INFO [00005574] Port=0 WR @00=493E95C21F93DFCF39
5574.50ns INFO [00005576] Port=0 RD @05
5576.50ns INFO [00005578] * RD COMPARE * port=0 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B
5576.50ns INFO [00005578] Port=0 WR @07=B4D0FCE69A36EA1A38
5577.50ns INFO [00005579] Port=0 RD @01
5577.50ns INFO [00005579] Port=1 RD @01
5578.50ns INFO [00005580] Port=1 RD @01
5579.50ns INFO [00005581] * RD COMPARE * port=0 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5579.50ns INFO [00005581] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5579.50ns INFO [00005581] Port=0 WR @02=0A3751E73249E3A687
5580.50ns INFO [00005582] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5580.50ns INFO [00005582] Port=0 RD @02
5580.50ns INFO [00005582] Port=1 RD @06
5581.50ns INFO [00005583] Port=0 WR @00=43B4592D277630C2FC
5581.50ns INFO [00005583] Port=0 RD @07
5581.50ns INFO [00005583] Port=1 RD @01
5582.50ns INFO [00005584] * RD COMPARE * port=0 adr=02 act=0A3751E73249E3A687 exp=0A3751E73249E3A687
5582.50ns INFO [00005584] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5583.50ns INFO [00005585] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5583.50ns INFO [00005585] * RD COMPARE * port=1 adr=01 act=5DE8CCE6E9AC7BCDC6 exp=5DE8CCE6E9AC7BCDC6
5585.50ns INFO [00005587] Port=0 WR @03=1F5545DBD6862B6E6E
5587.50ns INFO [00005589] Port=0 RD @05
5588.50ns INFO [00005590] Port=0 RD @02
5589.50ns INFO [00005591] * RD COMPARE * port=0 adr=05 act=BA434A105AB6496C7B exp=BA434A105AB6496C7B
5589.50ns INFO [00005591] Port=0 RD @03
5590.50ns INFO [00005592] * RD COMPARE * port=0 adr=02 act=0A3751E73249E3A687 exp=0A3751E73249E3A687
5591.50ns INFO [00005593] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E
5594.50ns INFO [00005596] Port=0 WR @05=9ABBF86357D9819EFC
5596.50ns INFO [00005598] Port=0 RD @00
5596.50ns INFO [00005598] Port=1 RD @07
5597.50ns INFO [00005599] Port=0 RD @07
5598.00ns INFO [00005600] [00005600] ...tick...
5598.50ns INFO [00005600] * RD COMPARE * port=0 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC
5598.50ns INFO [00005600] * RD COMPARE * port=1 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5598.50ns INFO [00005600] Port=0 RD @03
5598.50ns INFO [00005600] Port=1 RD @03
5599.50ns INFO [00005601] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5599.50ns INFO [00005601] Port=0 WR @04=5A1206BD4E449554AE
5600.50ns INFO [00005602] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E
5600.50ns INFO [00005602] * RD COMPARE * port=1 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E
5600.50ns INFO [00005602] Port=0 WR @02=87A71D66BC6D0225A0
5600.50ns INFO [00005602] Port=0 RD @07
5600.50ns INFO [00005602] Port=1 RD @00
5601.50ns INFO [00005603] Port=1 RD @03
5602.50ns INFO [00005604] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5602.50ns INFO [00005604] * RD COMPARE * port=1 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC
5602.50ns INFO [00005604] Port=0 WR @04=7EA794630B677F3D0A
5603.50ns INFO [00005605] * RD COMPARE * port=1 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E
5603.50ns INFO [00005605] Port=0 RD @04
5604.50ns INFO [00005606] Port=0 WR @01=5CCA1F8EE9E13BCA92
5605.50ns INFO [00005607] * RD COMPARE * port=0 adr=04 act=7EA794630B677F3D0A exp=7EA794630B677F3D0A
5605.50ns INFO [00005607] Port=0 WR @04=8AF6C6B9A279E5A1C6
5605.50ns INFO [00005607] Port=1 RD @00
5606.50ns INFO [00005608] Port=0 WR @05=B8F3EE5BAFEC60A616
5606.50ns INFO [00005608] Port=0 RD @06
5606.50ns INFO [00005608] Port=1 RD @06
5607.50ns INFO [00005609] * RD COMPARE * port=1 adr=00 act=43B4592D277630C2FC exp=43B4592D277630C2FC
5607.50ns INFO [00005609] Port=0 RD @03
5608.50ns INFO [00005610] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5608.50ns INFO [00005610] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5608.50ns INFO [00005610] Port=0 WR @00=F8B72D1C78BC76B5D5
5608.50ns INFO [00005610] Port=1 RD @06
5609.50ns INFO [00005611] * RD COMPARE * port=0 adr=03 act=1F5545DBD6862B6E6E exp=1F5545DBD6862B6E6E
5609.50ns INFO [00005611] Port=0 RD @06
5609.50ns INFO [00005611] Port=1 RD @02
5610.50ns INFO [00005612] * RD COMPARE * port=1 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5610.50ns INFO [00005612] Port=0 RD @07
5610.50ns INFO [00005612] Port=1 RD @07
5611.50ns INFO [00005613] * RD COMPARE * port=0 adr=06 act=9AE9EF5641E28DD8F8 exp=9AE9EF5641E28DD8F8
5611.50ns INFO [00005613] * RD COMPARE * port=1 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0
5611.50ns INFO [00005613] Port=0 WR @03=E246A41D70F843936E
5612.50ns INFO [00005614] * RD COMPARE * port=0 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5612.50ns INFO [00005614] * RD COMPARE * port=1 adr=07 act=B4D0FCE69A36EA1A38 exp=B4D0FCE69A36EA1A38
5612.50ns INFO [00005614] Port=0 WR @03=55CC091BA8B5452E3A
5613.50ns INFO [00005615] Port=0 WR @06=AC5FB9413E634FBAE8
5613.50ns INFO [00005615] Port=0 RD @02
5613.50ns INFO [00005615] Port=1 RD @00
5614.50ns INFO [00005616] Port=0 WR @01=8F4E91988B76A3F7DD
5615.50ns INFO [00005617] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0
5615.50ns INFO [00005617] * RD COMPARE * port=1 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5
5615.50ns INFO [00005617] Port=0 RD @01
5616.50ns INFO [00005618] Port=0 RD @00
5616.50ns INFO [00005618] Port=1 RD @04
5617.50ns INFO [00005619] * RD COMPARE * port=0 adr=01 act=8F4E91988B76A3F7DD exp=8F4E91988B76A3F7DD
5617.50ns INFO [00005619] Port=0 WR @01=835F69AA74827747D2
5618.50ns INFO [00005620] * RD COMPARE * port=0 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5
5618.50ns INFO [00005620] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6
5618.50ns INFO [00005620] Port=0 RD @03
5619.50ns INFO [00005621] Port=0 WR @07=BC4F7158AC2F0AF474
5619.50ns INFO [00005621] Port=1 RD @01
5620.50ns INFO [00005622] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A
5620.50ns INFO [00005622] Port=0 RD @06
5621.50ns INFO [00005623] * RD COMPARE * port=1 adr=01 act=835F69AA74827747D2 exp=835F69AA74827747D2
5621.50ns INFO [00005623] Port=0 RD @03
5621.50ns INFO [00005623] Port=1 RD @06
5622.50ns INFO [00005624] * RD COMPARE * port=0 adr=06 act=AC5FB9413E634FBAE8 exp=AC5FB9413E634FBAE8
5622.50ns INFO [00005624] Port=0 WR @01=9D31802E67ED542E78
5622.50ns INFO [00005624] Port=0 RD @02
5623.50ns INFO [00005625] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A
5623.50ns INFO [00005625] * RD COMPARE * port=1 adr=06 act=AC5FB9413E634FBAE8 exp=AC5FB9413E634FBAE8
5623.50ns INFO [00005625] Port=0 RD @03
5623.50ns INFO [00005625] Port=1 RD @04
5624.50ns INFO [00005626] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0
5624.50ns INFO [00005626] Port=0 RD @04
5624.50ns INFO [00005626] Port=1 RD @04
5625.50ns INFO [00005627] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A
5625.50ns INFO [00005627] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6
5625.50ns INFO [00005627] Port=0 RD @01
5626.50ns INFO [00005628] * RD COMPARE * port=0 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6
5626.50ns INFO [00005628] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6
5626.50ns INFO [00005628] Port=1 RD @04
5627.50ns INFO [00005629] * RD COMPARE * port=0 adr=01 act=9D31802E67ED542E78 exp=9D31802E67ED542E78
5627.50ns INFO [00005629] Port=1 RD @02
5628.50ns INFO [00005630] * RD COMPARE * port=1 adr=04 act=8AF6C6B9A279E5A1C6 exp=8AF6C6B9A279E5A1C6
5629.50ns INFO [00005631] * RD COMPARE * port=1 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0
5629.50ns INFO [00005631] Port=0 WR @04=2C05E7B7C7F8716D84
5630.50ns INFO [00005632] Port=1 RD @03
5631.50ns INFO [00005633] Port=0 WR @06=494859D2ED1DD0393A
5631.50ns INFO [00005633] Port=0 RD @03
5631.50ns INFO [00005633] Port=1 RD @07
5632.50ns INFO [00005634] * RD COMPARE * port=1 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A
5632.50ns INFO [00005634] Port=0 WR @01=328795769C01BF2D3B
5633.50ns INFO [00005635] * RD COMPARE * port=0 adr=03 act=55CC091BA8B5452E3A exp=55CC091BA8B5452E3A
5633.50ns INFO [00005635] * RD COMPARE * port=1 adr=07 act=BC4F7158AC2F0AF474 exp=BC4F7158AC2F0AF474
5633.50ns INFO [00005635] Port=0 WR @07=8E264E1CDEEAFE4A6E
5635.50ns INFO [00005637] Port=0 WR @03=2B38D69C3C26FA54B8
5636.50ns INFO [00005638] Port=1 RD @06
5637.50ns INFO [00005639] Port=0 RD @07
5638.50ns INFO [00005640] * RD COMPARE * port=1 adr=06 act=494859D2ED1DD0393A exp=494859D2ED1DD0393A
5638.50ns INFO [00005640] Port=1 RD @03
5639.50ns INFO [00005641] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5639.50ns INFO [00005641] Port=0 RD @07
5640.50ns INFO [00005642] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5640.50ns INFO [00005642] Port=0 RD @02
5641.50ns INFO [00005643] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5641.50ns INFO [00005643] Port=0 WR @01=3BAEC8809ADE24DCFD
5641.50ns INFO [00005643] Port=0 RD @03
5641.50ns INFO [00005643] Port=1 RD @03
5642.50ns INFO [00005644] * RD COMPARE * port=0 adr=02 act=87A71D66BC6D0225A0 exp=87A71D66BC6D0225A0
5642.50ns INFO [00005644] Port=0 RD @00
5642.50ns INFO [00005644] Port=1 RD @07
5643.50ns INFO [00005645] * RD COMPARE * port=0 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5643.50ns INFO [00005645] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5643.50ns INFO [00005645] Port=0 WR @06=8BEE879CD97A724335
5644.50ns INFO [00005646] * RD COMPARE * port=0 adr=00 act=F8B72D1C78BC76B5D5 exp=F8B72D1C78BC76B5D5
5644.50ns INFO [00005646] * RD COMPARE * port=1 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5644.50ns INFO [00005646] Port=0 WR @00=773B251343DE5A7EBF
5644.50ns INFO [00005646] Port=0 RD @04
5645.50ns INFO [00005647] Port=0 RD @07
5646.50ns INFO [00005648] * RD COMPARE * port=0 adr=04 act=2C05E7B7C7F8716D84 exp=2C05E7B7C7F8716D84
5646.50ns INFO [00005648] Port=0 WR @02=D689601311AD539D06
5646.50ns INFO [00005648] Port=0 RD @05
5646.50ns INFO [00005648] Port=1 RD @01
5647.50ns INFO [00005649] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5647.50ns INFO [00005649] Port=1 RD @01
5648.50ns INFO [00005650] * RD COMPARE * port=0 adr=05 act=B8F3EE5BAFEC60A616 exp=B8F3EE5BAFEC60A616
5648.50ns INFO [00005650] * RD COMPARE * port=1 adr=01 act=3BAEC8809ADE24DCFD exp=3BAEC8809ADE24DCFD
5648.50ns INFO [00005650] Port=1 RD @03
5649.50ns INFO [00005651] * RD COMPARE * port=1 adr=01 act=3BAEC8809ADE24DCFD exp=3BAEC8809ADE24DCFD
5649.50ns INFO [00005651] Port=0 WR @06=48F287E6BB7DC16645
5650.50ns INFO [00005652] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5650.50ns INFO [00005652] Port=0 WR @01=D212406B2512235000
5651.50ns INFO [00005653] Port=0 WR @06=97B2B4E4909EF90E2D
5652.50ns INFO [00005654] Port=0 RD @07
5653.50ns INFO [00005655] Port=1 RD @00
5654.50ns INFO [00005656] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5654.50ns INFO [00005656] Port=1 RD @07
5655.50ns INFO [00005657] * RD COMPARE * port=1 adr=00 act=773B251343DE5A7EBF exp=773B251343DE5A7EBF
5655.50ns INFO [00005657] Port=0 WR @05=9B8E560686ECAB4FC9
5655.50ns INFO [00005657] Port=1 RD @03
5656.50ns INFO [00005658] * RD COMPARE * port=1 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5656.50ns INFO [00005658] Port=0 RD @00
5656.50ns INFO [00005658] Port=1 RD @06
5657.50ns INFO [00005659] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5657.50ns INFO [00005659] Port=1 RD @06
5658.50ns INFO [00005660] * RD COMPARE * port=0 adr=00 act=773B251343DE5A7EBF exp=773B251343DE5A7EBF
5658.50ns INFO [00005660] * RD COMPARE * port=1 adr=06 act=97B2B4E4909EF90E2D exp=97B2B4E4909EF90E2D
5658.50ns INFO [00005660] Port=0 WR @02=5C632BC70B28B3EB18
5659.50ns INFO [00005661] * RD COMPARE * port=1 adr=06 act=97B2B4E4909EF90E2D exp=97B2B4E4909EF90E2D
5659.50ns INFO [00005661] Port=0 RD @07
5659.50ns INFO [00005661] Port=1 RD @01
5660.50ns INFO [00005662] Port=1 RD @03
5661.50ns INFO [00005663] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5661.50ns INFO [00005663] * RD COMPARE * port=1 adr=01 act=D212406B2512235000 exp=D212406B2512235000
5661.50ns INFO [00005663] Port=0 WR @00=2845BB64C9B0B02F7F
5662.50ns INFO [00005664] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5663.50ns INFO [00005665] Port=0 WR @04=75ABB244ED7BC759B8
5665.50ns INFO [00005667] Port=0 WR @04=50900D0760856807C6
5666.50ns INFO [00005668] Port=0 RD @02
5667.50ns INFO [00005669] Port=0 WR @02=FEF73F701E42809EF1
5667.50ns INFO [00005669] Port=1 RD @05
5668.50ns INFO [00005670] * RD COMPARE * port=0 adr=02 act=5C632BC70B28B3EB18 exp=5C632BC70B28B3EB18
5669.50ns INFO [00005671] * RD COMPARE * port=1 adr=05 act=9B8E560686ECAB4FC9 exp=9B8E560686ECAB4FC9
5669.50ns INFO [00005671] Port=0 RD @04
5670.50ns INFO [00005672] Port=0 WR @06=2E2C485F6A0FBFCB27
5670.50ns INFO [00005672] Port=0 RD @02
5671.50ns INFO [00005673] * RD COMPARE * port=0 adr=04 act=50900D0760856807C6 exp=50900D0760856807C6
5671.50ns INFO [00005673] Port=0 WR @04=39AA6BA75085960991
5671.50ns INFO [00005673] Port=0 RD @00
5672.50ns INFO [00005674] * RD COMPARE * port=0 adr=02 act=FEF73F701E42809EF1 exp=FEF73F701E42809EF1
5673.50ns INFO [00005675] * RD COMPARE * port=0 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F
5673.50ns INFO [00005675] Port=1 RD @02
5675.50ns INFO [00005677] * RD COMPARE * port=1 adr=02 act=FEF73F701E42809EF1 exp=FEF73F701E42809EF1
5675.50ns INFO [00005677] Port=0 WR @06=F480766ADDCB68C88D
5675.50ns INFO [00005677] Port=1 RD @04
5676.50ns INFO [00005678] Port=0 RD @00
5677.50ns INFO [00005679] * RD COMPARE * port=1 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991
5677.50ns INFO [00005679] Port=1 RD @01
5678.50ns INFO [00005680] * RD COMPARE * port=0 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F
5679.50ns INFO [00005681] * RD COMPARE * port=1 adr=01 act=D212406B2512235000 exp=D212406B2512235000
5679.50ns INFO [00005681] Port=0 RD @06
5680.50ns INFO [00005682] Port=0 WR @02=BC97109E7F5A10AA57
5680.50ns INFO [00005682] Port=1 RD @05
5681.50ns INFO [00005683] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D
5681.50ns INFO [00005683] Port=0 WR @02=439817C78DCEFE8688
5682.50ns INFO [00005684] * RD COMPARE * port=1 adr=05 act=9B8E560686ECAB4FC9 exp=9B8E560686ECAB4FC9
5682.50ns INFO [00005684] Port=1 RD @00
5683.50ns INFO [00005685] Port=0 WR @00=95204431973361E971
5684.50ns INFO [00005686] * RD COMPARE * port=1 adr=00 act=2845BB64C9B0B02F7F exp=2845BB64C9B0B02F7F
5685.50ns INFO [00005687] Port=0 RD @04
5686.50ns INFO [00005688] Port=0 RD @07
5687.50ns INFO [00005689] * RD COMPARE * port=0 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991
5687.50ns INFO [00005689] Port=0 RD @01
5688.50ns INFO [00005690] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5688.50ns INFO [00005690] Port=0 WR @05=91CD895331E8A4479E
5688.50ns INFO [00005690] Port=0 RD @02
5689.50ns INFO [00005691] * RD COMPARE * port=0 adr=01 act=D212406B2512235000 exp=D212406B2512235000
5689.50ns INFO [00005691] Port=0 RD @06
5690.50ns INFO [00005692] * RD COMPARE * port=0 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688
5690.50ns INFO [00005692] Port=0 WR @01=F84EE055B8EE2FAB0D
5690.50ns INFO [00005692] Port=0 RD @06
5691.50ns INFO [00005693] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D
5691.50ns INFO [00005693] Port=0 RD @07
5692.50ns INFO [00005694] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D
5692.50ns INFO [00005694] Port=0 WR @01=F39E3478E809F7A0B9
5692.50ns INFO [00005694] Port=1 RD @03
5693.50ns INFO [00005695] * RD COMPARE * port=0 adr=07 act=8E264E1CDEEAFE4A6E exp=8E264E1CDEEAFE4A6E
5693.50ns INFO [00005695] Port=0 WR @05=F3FAA33C72C9B3FD67
5693.50ns INFO [00005695] Port=0 RD @06
5693.50ns INFO [00005695] Port=1 RD @02
5694.50ns INFO [00005696] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5694.50ns INFO [00005696] Port=0 WR @07=3047FDCB325422C65E
5695.50ns INFO [00005697] * RD COMPARE * port=0 adr=06 act=F480766ADDCB68C88D exp=F480766ADDCB68C88D
5695.50ns INFO [00005697] * RD COMPARE * port=1 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688
5695.50ns INFO [00005697] Port=0 WR @05=8E47BC933F6FB5BF8E
5696.50ns INFO [00005698] Port=0 WR @01=AE43A4A2B996839745
5696.50ns INFO [00005698] Port=1 RD @02
5697.50ns INFO [00005699] Port=0 WR @02=68B352083BB4158F71
5697.50ns INFO [00005699] Port=1 RD @04
5698.00ns INFO [00005700] [00005700] ...tick...
5698.50ns INFO [00005700] * RD COMPARE * port=1 adr=02 act=439817C78DCEFE8688 exp=439817C78DCEFE8688
5698.50ns INFO [00005700] Port=1 RD @02
5699.50ns INFO [00005701] * RD COMPARE * port=1 adr=04 act=39AA6BA75085960991 exp=39AA6BA75085960991
5699.50ns INFO [00005701] Port=0 RD @00
5699.50ns INFO [00005701] Port=1 RD @07
5700.50ns INFO [00005702] * RD COMPARE * port=1 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71
5701.50ns INFO [00005703] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971
5701.50ns INFO [00005703] * RD COMPARE * port=1 adr=07 act=3047FDCB325422C65E exp=3047FDCB325422C65E
5701.50ns INFO [00005703] Port=0 WR @06=B20F8C4441B2A09485
5701.50ns INFO [00005703] Port=1 RD @03
5703.50ns INFO [00005705] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5703.50ns INFO [00005705] Port=0 RD @01
5703.50ns INFO [00005705] Port=1 RD @03
5705.50ns INFO [00005707] * RD COMPARE * port=0 adr=01 act=AE43A4A2B996839745 exp=AE43A4A2B996839745
5705.50ns INFO [00005707] * RD COMPARE * port=1 adr=03 act=2B38D69C3C26FA54B8 exp=2B38D69C3C26FA54B8
5705.50ns INFO [00005707] Port=0 WR @05=67FD610F68C530E879
5705.50ns INFO [00005707] Port=0 RD @07
5706.50ns INFO [00005708] Port=0 WR @07=FF473ED214557AB819
5706.50ns INFO [00005708] Port=1 RD @05
5707.50ns INFO [00005709] * RD COMPARE * port=0 adr=07 act=3047FDCB325422C65E exp=3047FDCB325422C65E
5708.50ns INFO [00005710] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879
5709.50ns INFO [00005711] Port=1 RD @01
5711.50ns INFO [00005713] * RD COMPARE * port=1 adr=01 act=AE43A4A2B996839745 exp=AE43A4A2B996839745
5711.50ns INFO [00005713] Port=0 WR @04=C01477022008EECCA0
5711.50ns INFO [00005713] Port=0 RD @02
5712.50ns INFO [00005714] Port=0 WR @04=761D89D9D9918FB98C
5712.50ns INFO [00005714] Port=1 RD @07
5713.50ns INFO [00005715] * RD COMPARE * port=0 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71
5714.50ns INFO [00005716] * RD COMPARE * port=1 adr=07 act=FF473ED214557AB819 exp=FF473ED214557AB819
5714.50ns INFO [00005716] Port=0 WR @03=36C9CE2E94B7517DA5
5714.50ns INFO [00005716] Port=0 RD @05
5715.50ns INFO [00005717] Port=0 WR @01=F6980DB7D090EF3098
5716.50ns INFO [00005718] * RD COMPARE * port=0 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879
5716.50ns INFO [00005718] Port=1 RD @07
5717.50ns INFO [00005719] Port=0 WR @06=02421001F5504CF967
5717.50ns INFO [00005719] Port=0 RD @00
5717.50ns INFO [00005719] Port=1 RD @05
5718.50ns INFO [00005720] * RD COMPARE * port=1 adr=07 act=FF473ED214557AB819 exp=FF473ED214557AB819
5719.50ns INFO [00005721] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971
5719.50ns INFO [00005721] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879
5719.50ns INFO [00005721] Port=1 RD @05
5721.50ns INFO [00005723] * RD COMPARE * port=1 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879
5721.50ns INFO [00005723] Port=0 RD @00
5723.50ns INFO [00005725] * RD COMPARE * port=0 adr=00 act=95204431973361E971 exp=95204431973361E971
5723.50ns INFO [00005725] Port=0 RD @04
5724.50ns INFO [00005726] Port=0 WR @07=03664F9209C8A0A335
5724.50ns INFO [00005726] Port=1 RD @04
5725.50ns INFO [00005727] * RD COMPARE * port=0 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C
5725.50ns INFO [00005727] Port=0 WR @07=94D5684D455FD33CE4
5725.50ns INFO [00005727] Port=1 RD @02
5726.50ns INFO [00005728] * RD COMPARE * port=1 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C
5726.50ns INFO [00005728] Port=0 WR @01=1C82FDA455C3659912
5726.50ns INFO [00005728] Port=1 RD @00
5727.50ns INFO [00005729] * RD COMPARE * port=1 adr=02 act=68B352083BB4158F71 exp=68B352083BB4158F71
5727.50ns INFO [00005729] Port=0 WR @02=0C4E2C8A78787F9C17
5728.50ns INFO [00005730] * RD COMPARE * port=1 adr=00 act=95204431973361E971 exp=95204431973361E971
5728.50ns INFO [00005730] Port=0 WR @07=F1425FCB398F0C4AE1
5728.50ns INFO [00005730] Port=1 RD @04
5729.50ns INFO [00005731] Port=0 RD @07
5730.50ns INFO [00005732] * RD COMPARE * port=1 adr=04 act=761D89D9D9918FB98C exp=761D89D9D9918FB98C
5730.50ns INFO [00005732] Port=0 WR @04=1B927CD225E38D3330
5730.50ns INFO [00005732] Port=0 RD @03
5731.50ns INFO [00005733] * RD COMPARE * port=0 adr=07 act=F1425FCB398F0C4AE1 exp=F1425FCB398F0C4AE1
5731.50ns INFO [00005733] Port=0 WR @07=8A6E38BABAC11C5486
5731.50ns INFO [00005733] Port=0 RD @02
5731.50ns INFO [00005733] Port=1 RD @00
5732.50ns INFO [00005734] * RD COMPARE * port=0 adr=03 act=36C9CE2E94B7517DA5 exp=36C9CE2E94B7517DA5
5732.50ns INFO [00005734] Port=0 WR @04=86BCFE035B63A9A527
5733.50ns INFO [00005735] * RD COMPARE * port=0 adr=02 act=0C4E2C8A78787F9C17 exp=0C4E2C8A78787F9C17
5733.50ns INFO [00005735] * RD COMPARE * port=1 adr=00 act=95204431973361E971 exp=95204431973361E971
5733.50ns INFO [00005735] Port=1 RD @02
5734.50ns INFO [00005736] Port=0 RD @05
5735.50ns INFO [00005737] * RD COMPARE * port=1 adr=02 act=0C4E2C8A78787F9C17 exp=0C4E2C8A78787F9C17
5735.50ns INFO [00005737] Port=0 WR @05=723A31634B457C3D13
5736.50ns INFO [00005738] * RD COMPARE * port=0 adr=05 act=67FD610F68C530E879 exp=67FD610F68C530E879
5736.50ns INFO [00005738] Port=0 WR @03=FE26F19826FC86426B
5736.50ns INFO [00005738] Port=1 RD @07
5737.50ns INFO [00005739] Port=0 RD @07
5738.50ns INFO [00005740] * RD COMPARE * port=1 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486
5738.50ns INFO [00005740] Port=0 WR @00=456AFED12AA8374E48
5738.50ns INFO [00005740] Port=0 RD @07
5739.50ns INFO [00005741] * RD COMPARE * port=0 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486
5739.50ns INFO [00005741] Port=0 RD @00
5739.50ns INFO [00005741] Port=1 RD @00
5740.50ns INFO [00005742] * RD COMPARE * port=0 adr=07 act=8A6E38BABAC11C5486 exp=8A6E38BABAC11C5486
5740.50ns INFO [00005742] Port=0 WR @00=C7693424A8E68F3470
5740.50ns INFO [00005742] Port=0 RD @03
5741.50ns INFO [00005743] * RD COMPARE * port=0 adr=00 act=456AFED12AA8374E48 exp=456AFED12AA8374E48
5741.50ns INFO [00005743] * RD COMPARE * port=1 adr=00 act=456AFED12AA8374E48 exp=456AFED12AA8374E48
5741.50ns INFO [00005743] Port=0 RD @04
5741.50ns INFO [00005743] Port=1 RD @03
5742.50ns INFO [00005744] * RD COMPARE * port=0 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B
5742.50ns INFO [00005744] Port=0 WR @07=C0B4E2554C81CE4D18
5742.50ns INFO [00005744] Port=0 RD @00
5742.50ns INFO [00005744] Port=1 RD @05
5743.50ns INFO [00005745] * RD COMPARE * port=0 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527
5743.50ns INFO [00005745] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B
5743.50ns INFO [00005745] Port=1 RD @07
5744.50ns INFO [00005746] * RD COMPARE * port=0 adr=00 act=C7693424A8E68F3470 exp=C7693424A8E68F3470
5744.50ns INFO [00005746] * RD COMPARE * port=1 adr=05 act=723A31634B457C3D13 exp=723A31634B457C3D13
5745.50ns INFO [00005747] * RD COMPARE * port=1 adr=07 act=C0B4E2554C81CE4D18 exp=C0B4E2554C81CE4D18
5745.50ns INFO [00005747] Port=0 RD @00
5745.50ns INFO [00005747] Port=1 RD @04
5746.50ns INFO [00005748] Port=0 WR @02=7282A86A171231757C
5747.50ns INFO [00005749] * RD COMPARE * port=0 adr=00 act=C7693424A8E68F3470 exp=C7693424A8E68F3470
5747.50ns INFO [00005749] * RD COMPARE * port=1 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527
5747.50ns INFO [00005749] Port=0 WR @07=9E5A6B3CD400C2A623
5747.50ns INFO [00005749] Port=0 RD @02
5747.50ns INFO [00005749] Port=1 RD @05
5748.50ns INFO [00005750] Port=0 RD @04
5748.50ns INFO [00005750] Port=1 RD @04
5749.50ns INFO [00005751] * RD COMPARE * port=0 adr=02 act=7282A86A171231757C exp=7282A86A171231757C
5749.50ns INFO [00005751] * RD COMPARE * port=1 adr=05 act=723A31634B457C3D13 exp=723A31634B457C3D13
5749.50ns INFO [00005751] Port=0 WR @01=30B408CF36FC74437A
5750.50ns INFO [00005752] * RD COMPARE * port=0 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527
5750.50ns INFO [00005752] * RD COMPARE * port=1 adr=04 act=86BCFE035B63A9A527 exp=86BCFE035B63A9A527
5751.50ns INFO [00005753] Port=0 WR @00=6DA08D74CFAAA7B0F0
5752.50ns INFO [00005754] Port=0 WR @00=97B24F3F4B709C8D2E
5753.50ns INFO [00005755] Port=0 WR @01=F7958C8FBBDD022BAB
5753.50ns INFO [00005755] Port=0 RD @00
5754.50ns INFO [00005756] Port=0 RD @07
5754.50ns INFO [00005756] Port=1 RD @01
5755.50ns INFO [00005757] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5756.50ns INFO [00005758] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623
5756.50ns INFO [00005758] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB
5757.50ns INFO [00005759] Port=0 WR @02=9E85B63A9D2C15C845
5757.50ns INFO [00005759] Port=1 RD @01
5759.50ns INFO [00005761] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB
5759.50ns INFO [00005761] Port=1 RD @00
5761.50ns INFO [00005763] * RD COMPARE * port=1 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5761.50ns INFO [00005763] Port=0 RD @02
5762.50ns INFO [00005764] Port=0 WR @04=0B8A3486612AD5C893
5762.50ns INFO [00005764] Port=1 RD @06
5763.50ns INFO [00005765] * RD COMPARE * port=0 adr=02 act=9E85B63A9D2C15C845 exp=9E85B63A9D2C15C845
5764.50ns INFO [00005766] * RD COMPARE * port=1 adr=06 act=02421001F5504CF967 exp=02421001F5504CF967
5764.50ns INFO [00005766] Port=0 RD @07
5765.50ns INFO [00005767] Port=0 WR @05=974535A8CA1BF3DC12
5765.50ns INFO [00005767] Port=0 RD @04
5766.50ns INFO [00005768] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623
5766.50ns INFO [00005768] Port=1 RD @03
5767.50ns INFO [00005769] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893
5767.50ns INFO [00005769] Port=0 RD @04
5768.50ns INFO [00005770] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B
5768.50ns INFO [00005770] Port=0 RD @05
5769.50ns INFO [00005771] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893
5769.50ns INFO [00005771] Port=0 RD @04
5770.50ns INFO [00005772] * RD COMPARE * port=0 adr=05 act=974535A8CA1BF3DC12 exp=974535A8CA1BF3DC12
5770.50ns INFO [00005772] Port=1 RD @03
5771.50ns INFO [00005773] * RD COMPARE * port=0 adr=04 act=0B8A3486612AD5C893 exp=0B8A3486612AD5C893
5771.50ns INFO [00005773] Port=0 WR @02=259FC666A5F6A65514
5771.50ns INFO [00005773] Port=1 RD @05
5772.50ns INFO [00005774] * RD COMPARE * port=1 adr=03 act=FE26F19826FC86426B exp=FE26F19826FC86426B
5772.50ns INFO [00005774] Port=0 WR @02=B278A6899DA3400242
5772.50ns INFO [00005774] Port=0 RD @07
5772.50ns INFO [00005774] Port=1 RD @07
5773.50ns INFO [00005775] * RD COMPARE * port=1 adr=05 act=974535A8CA1BF3DC12 exp=974535A8CA1BF3DC12
5773.50ns INFO [00005775] Port=0 WR @06=CBA4624B62417C43E8
5774.50ns INFO [00005776] * RD COMPARE * port=0 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623
5774.50ns INFO [00005776] * RD COMPARE * port=1 adr=07 act=9E5A6B3CD400C2A623 exp=9E5A6B3CD400C2A623
5774.50ns INFO [00005776] Port=0 WR @03=14F39FA4FCB9DBBADC
5774.50ns INFO [00005776] Port=0 RD @00
5774.50ns INFO [00005776] Port=1 RD @00
5775.50ns INFO [00005777] Port=0 WR @05=578519B28E9AA07AF8
5775.50ns INFO [00005777] Port=1 RD @03
5776.50ns INFO [00005778] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5776.50ns INFO [00005778] * RD COMPARE * port=1 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5776.50ns INFO [00005778] Port=1 RD @03
5777.50ns INFO [00005779] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC
5777.50ns INFO [00005779] Port=0 WR @04=101B92CE0DBD532D18
5777.50ns INFO [00005779] Port=1 RD @03
5778.50ns INFO [00005780] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC
5778.50ns INFO [00005780] Port=0 WR @07=9B1DA805CC1274D2AA
5778.50ns INFO [00005780] Port=1 RD @03
5779.50ns INFO [00005781] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC
5779.50ns INFO [00005781] Port=1 RD @01
5780.50ns INFO [00005782] * RD COMPARE * port=1 adr=03 act=14F39FA4FCB9DBBADC exp=14F39FA4FCB9DBBADC
5780.50ns INFO [00005782] Port=0 RD @02
5780.50ns INFO [00005782] Port=1 RD @07
5781.50ns INFO [00005783] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB
5781.50ns INFO [00005783] Port=0 WR @02=FC0188688650680FB9
5782.50ns INFO [00005784] * RD COMPARE * port=0 adr=02 act=B278A6899DA3400242 exp=B278A6899DA3400242
5782.50ns INFO [00005784] * RD COMPARE * port=1 adr=07 act=9B1DA805CC1274D2AA exp=9B1DA805CC1274D2AA
5782.50ns INFO [00005784] Port=0 WR @06=4BC53191B595F42C23
5783.50ns INFO [00005785] Port=1 RD @05
5784.50ns INFO [00005786] Port=0 WR @07=3821C00134EA2169FA
5785.50ns INFO [00005787] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5786.50ns INFO [00005788] Port=0 WR @02=482DC1F7A9F6B86ADD
5786.50ns INFO [00005788] Port=0 RD @00
5787.50ns INFO [00005789] Port=0 WR @03=206E8C6D1E4FC0A398
5787.50ns INFO [00005789] Port=0 RD @00
5787.50ns INFO [00005789] Port=1 RD @04
5788.50ns INFO [00005790] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5788.50ns INFO [00005790] Port=0 RD @04
5788.50ns INFO [00005790] Port=1 RD @01
5789.50ns INFO [00005791] * RD COMPARE * port=0 adr=00 act=97B24F3F4B709C8D2E exp=97B24F3F4B709C8D2E
5789.50ns INFO [00005791] * RD COMPARE * port=1 adr=04 act=101B92CE0DBD532D18 exp=101B92CE0DBD532D18
5790.50ns INFO [00005792] * RD COMPARE * port=0 adr=04 act=101B92CE0DBD532D18 exp=101B92CE0DBD532D18
5790.50ns INFO [00005792] * RD COMPARE * port=1 adr=01 act=F7958C8FBBDD022BAB exp=F7958C8FBBDD022BAB
5790.50ns INFO [00005792] Port=0 WR @00=EB77E009307F6C7125
5791.50ns INFO [00005793] Port=0 WR @04=41224832CD7B82F9DC
5791.50ns INFO [00005793] Port=1 RD @00
5793.50ns INFO [00005795] * RD COMPARE * port=1 adr=00 act=EB77E009307F6C7125 exp=EB77E009307F6C7125
5794.50ns INFO [00005796] Port=0 RD @04
5795.50ns INFO [00005797] Port=0 WR @06=E9834C5EC791B0A11C
5796.50ns INFO [00005798] * RD COMPARE * port=0 adr=04 act=41224832CD7B82F9DC exp=41224832CD7B82F9DC
5796.50ns INFO [00005798] Port=0 WR @01=5EB69E4B5D95E31457
5796.50ns INFO [00005798] Port=1 RD @07
5798.00ns INFO [00005800] [00005800] ...tick...
5798.50ns INFO [00005800] * RD COMPARE * port=1 adr=07 act=3821C00134EA2169FA exp=3821C00134EA2169FA
5798.50ns INFO [00005800] Port=0 WR @07=00C6B54F6A249C1E27
5800.50ns INFO [00005802] Port=0 WR @01=6AEDC67FBE5BE09968
5800.50ns INFO [00005802] Port=1 RD @07
5802.50ns INFO [00005804] * RD COMPARE * port=1 adr=07 act=00C6B54F6A249C1E27 exp=00C6B54F6A249C1E27
5802.50ns INFO [00005804] Port=0 RD @03
5802.50ns INFO [00005804] Port=1 RD @07
5803.50ns INFO [00005805] Port=0 RD @02
5804.50ns INFO [00005806] * RD COMPARE * port=0 adr=03 act=206E8C6D1E4FC0A398 exp=206E8C6D1E4FC0A398
5804.50ns INFO [00005806] * RD COMPARE * port=1 adr=07 act=00C6B54F6A249C1E27 exp=00C6B54F6A249C1E27
5805.50ns INFO [00005807] * RD COMPARE * port=0 adr=02 act=482DC1F7A9F6B86ADD exp=482DC1F7A9F6B86ADD
5805.50ns INFO [00005807] Port=0 RD @05
5805.50ns INFO [00005807] Port=1 RD @04
5806.50ns INFO [00005808] Port=0 WR @07=347DBD2CB8CB9A7EF6
5807.50ns INFO [00005809] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5807.50ns INFO [00005809] * RD COMPARE * port=1 adr=04 act=41224832CD7B82F9DC exp=41224832CD7B82F9DC
5807.50ns INFO [00005809] Port=1 RD @01
5808.50ns INFO [00005810] Port=0 WR @00=38E4BFA1C4EBCC9DB1
5808.50ns INFO [00005810] Port=0 RD @03
5808.50ns INFO [00005810] Port=1 RD @06
5809.50ns INFO [00005811] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968
5810.50ns INFO [00005812] * RD COMPARE * port=0 adr=03 act=206E8C6D1E4FC0A398 exp=206E8C6D1E4FC0A398
5810.50ns INFO [00005812] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5810.50ns INFO [00005812] Port=0 WR @04=C9D5FC81228C6EFCA7
5811.50ns INFO [00005813] Port=0 WR @02=7DA5B88CEA15A6938B
5812.50ns INFO [00005814] Port=0 WR @07=52EB56C26C5FEA7D6F
5813.50ns INFO [00005815] Port=1 RD @02
5814.50ns INFO [00005816] Port=0 WR @07=392417B2425D9126CB
5814.50ns INFO [00005816] Port=0 RD @00
5815.50ns INFO [00005817] * RD COMPARE * port=1 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B
5815.50ns INFO [00005817] Port=0 WR @07=1EABA9FE09DE1E9806
5815.50ns INFO [00005817] Port=0 RD @05
5816.50ns INFO [00005818] * RD COMPARE * port=0 adr=00 act=38E4BFA1C4EBCC9DB1 exp=38E4BFA1C4EBCC9DB1
5816.50ns INFO [00005818] Port=0 WR @03=CC191F09239DF34E50
5816.50ns INFO [00005818] Port=0 RD @02
5816.50ns INFO [00005818] Port=1 RD @01
5817.50ns INFO [00005819] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5817.50ns INFO [00005819] Port=0 WR @03=F5017D66F2603FE6E6
5817.50ns INFO [00005819] Port=1 RD @01
5818.50ns INFO [00005820] * RD COMPARE * port=0 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B
5818.50ns INFO [00005820] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968
5818.50ns INFO [00005820] Port=0 WR @04=18D423306123CF1F00
5819.50ns INFO [00005821] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968
5819.50ns INFO [00005821] Port=0 WR @00=14755847110A9751EE
5820.50ns INFO [00005822] Port=0 RD @02
5820.50ns INFO [00005822] Port=1 RD @00
5821.50ns INFO [00005823] Port=0 WR @03=D34DB849ACF77FDF6F
5821.50ns INFO [00005823] Port=0 RD @07
5822.50ns INFO [00005824] * RD COMPARE * port=0 adr=02 act=7DA5B88CEA15A6938B exp=7DA5B88CEA15A6938B
5822.50ns INFO [00005824] * RD COMPARE * port=1 adr=00 act=14755847110A9751EE exp=14755847110A9751EE
5822.50ns INFO [00005824] Port=1 RD @06
5823.50ns INFO [00005825] * RD COMPARE * port=0 adr=07 act=1EABA9FE09DE1E9806 exp=1EABA9FE09DE1E9806
5823.50ns INFO [00005825] Port=0 RD @04
5824.50ns INFO [00005826] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5824.50ns INFO [00005826] Port=0 RD @06
5825.50ns INFO [00005827] * RD COMPARE * port=0 adr=04 act=18D423306123CF1F00 exp=18D423306123CF1F00
5825.50ns INFO [00005827] Port=0 RD @06
5826.50ns INFO [00005828] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5827.50ns INFO [00005829] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5827.50ns INFO [00005829] Port=0 RD @06
5827.50ns INFO [00005829] Port=1 RD @06
5828.50ns INFO [00005830] Port=1 RD @06
5829.50ns INFO [00005831] * RD COMPARE * port=0 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5829.50ns INFO [00005831] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5829.50ns INFO [00005831] Port=0 WR @06=FF405C9D1F542198E0
5829.50ns INFO [00005831] Port=0 RD @00
5830.50ns INFO [00005832] * RD COMPARE * port=1 adr=06 act=E9834C5EC791B0A11C exp=E9834C5EC791B0A11C
5830.50ns INFO [00005832] Port=0 WR @00=63095DAC0B80CFED93
5831.50ns INFO [00005833] * RD COMPARE * port=0 adr=00 act=14755847110A9751EE exp=14755847110A9751EE
5832.50ns INFO [00005834] Port=0 WR @02=B4E684060E5BA4B88F
5833.50ns INFO [00005835] Port=0 WR @04=46316E5424E82A7D4D
5833.50ns INFO [00005835] Port=0 RD @02
5834.50ns INFO [00005836] Port=0 RD @03
5834.50ns INFO [00005836] Port=1 RD @00
5835.50ns INFO [00005837] * RD COMPARE * port=0 adr=02 act=B4E684060E5BA4B88F exp=B4E684060E5BA4B88F
5835.50ns INFO [00005837] Port=0 RD @03
5836.50ns INFO [00005838] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5836.50ns INFO [00005838] * RD COMPARE * port=1 adr=00 act=63095DAC0B80CFED93 exp=63095DAC0B80CFED93
5836.50ns INFO [00005838] Port=0 RD @01
5836.50ns INFO [00005838] Port=1 RD @04
5837.50ns INFO [00005839] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5837.50ns INFO [00005839] Port=0 WR @04=5844C69ABEC83FAB40
5837.50ns INFO [00005839] Port=0 RD @03
5838.50ns INFO [00005840] * RD COMPARE * port=0 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968
5838.50ns INFO [00005840] * RD COMPARE * port=1 adr=04 act=46316E5424E82A7D4D exp=46316E5424E82A7D4D
5838.50ns INFO [00005840] Port=0 WR @00=C72FDB067AAB0E8C1D
5838.50ns INFO [00005840] Port=0 RD @04
5839.50ns INFO [00005841] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5839.50ns INFO [00005841] Port=1 RD @03
5840.50ns INFO [00005842] * RD COMPARE * port=0 adr=04 act=5844C69ABEC83FAB40 exp=5844C69ABEC83FAB40
5841.50ns INFO [00005843] * RD COMPARE * port=1 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5841.50ns INFO [00005843] Port=0 WR @04=E94C1AA9073BF9CA4D
5842.50ns INFO [00005844] Port=0 RD @05
5842.50ns INFO [00005844] Port=1 RD @01
5843.50ns INFO [00005845] Port=0 WR @04=78D800BD90D724B9CD
5843.50ns INFO [00005845] Port=0 RD @00
5843.50ns INFO [00005845] Port=1 RD @02
5844.50ns INFO [00005846] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5844.50ns INFO [00005846] * RD COMPARE * port=1 adr=01 act=6AEDC67FBE5BE09968 exp=6AEDC67FBE5BE09968
5844.50ns INFO [00005846] Port=0 WR @01=72FE5C7D27505E7E81
5845.50ns INFO [00005847] * RD COMPARE * port=0 adr=00 act=C72FDB067AAB0E8C1D exp=C72FDB067AAB0E8C1D
5845.50ns INFO [00005847] * RD COMPARE * port=1 adr=02 act=B4E684060E5BA4B88F exp=B4E684060E5BA4B88F
5845.50ns INFO [00005847] Port=1 RD @04
5846.50ns INFO [00005848] Port=1 RD @04
5847.50ns INFO [00005849] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5848.50ns INFO [00005850] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5848.50ns INFO [00005850] Port=0 RD @03
5848.50ns INFO [00005850] Port=1 RD @03
5849.50ns INFO [00005851] Port=0 WR @03=84371DC1C8234D45A3
5849.50ns INFO [00005851] Port=1 RD @04
5850.50ns INFO [00005852] * RD COMPARE * port=0 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5850.50ns INFO [00005852] * RD COMPARE * port=1 adr=03 act=D34DB849ACF77FDF6F exp=D34DB849ACF77FDF6F
5850.50ns INFO [00005852] Port=0 WR @02=1FF4B7954CDC8BDCCB
5850.50ns INFO [00005852] Port=1 RD @00
5851.50ns INFO [00005853] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5851.50ns INFO [00005853] Port=0 RD @04
5852.50ns INFO [00005854] * RD COMPARE * port=1 adr=00 act=C72FDB067AAB0E8C1D exp=C72FDB067AAB0E8C1D
5852.50ns INFO [00005854] Port=0 WR @03=438B254C5E2BD22A5F
5852.50ns INFO [00005854] Port=0 RD @04
5852.50ns INFO [00005854] Port=1 RD @05
5853.50ns INFO [00005855] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5853.50ns INFO [00005855] Port=0 RD @06
5854.50ns INFO [00005856] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5854.50ns INFO [00005856] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5854.50ns INFO [00005856] Port=0 WR @07=A9204205F107B0B97F
5854.50ns INFO [00005856] Port=0 RD @06
5854.50ns INFO [00005856] Port=1 RD @06
5855.50ns INFO [00005857] * RD COMPARE * port=0 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0
5855.50ns INFO [00005857] Port=1 RD @05
5856.50ns INFO [00005858] * RD COMPARE * port=0 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0
5856.50ns INFO [00005858] * RD COMPARE * port=1 adr=06 act=FF405C9D1F542198E0 exp=FF405C9D1F542198E0
5856.50ns INFO [00005858] Port=0 RD @01
5856.50ns INFO [00005858] Port=1 RD @07
5857.50ns INFO [00005859] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5857.50ns INFO [00005859] Port=0 WR @06=843AC1C0C70145C733
5857.50ns INFO [00005859] Port=0 RD @04
5858.50ns INFO [00005860] * RD COMPARE * port=0 adr=01 act=72FE5C7D27505E7E81 exp=72FE5C7D27505E7E81
5858.50ns INFO [00005860] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5858.50ns INFO [00005860] Port=1 RD @07
5859.50ns INFO [00005861] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5859.50ns INFO [00005861] Port=1 RD @05
5860.50ns INFO [00005862] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5861.50ns INFO [00005863] * RD COMPARE * port=1 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5861.50ns INFO [00005863] Port=0 RD @05
5861.50ns INFO [00005863] Port=1 RD @07
5862.50ns INFO [00005864] Port=0 RD @04
5863.50ns INFO [00005865] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5863.50ns INFO [00005865] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5863.50ns INFO [00005865] Port=0 RD @04
5864.50ns INFO [00005866] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5864.50ns INFO [00005866] Port=0 WR @00=0FD9ABFA57A42A9EAC
5864.50ns INFO [00005866] Port=1 RD @02
5865.50ns INFO [00005867] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5866.50ns INFO [00005868] * RD COMPARE * port=1 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB
5867.50ns INFO [00005869] Port=1 RD @07
5868.50ns INFO [00005870] Port=0 RD @07
5868.50ns INFO [00005870] Port=1 RD @04
5869.50ns INFO [00005871] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5869.50ns INFO [00005871] Port=0 WR @03=E0D58E5289F2BEF0C8
5869.50ns INFO [00005871] Port=1 RD @07
5870.50ns INFO [00005872] * RD COMPARE * port=0 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5870.50ns INFO [00005872] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5870.50ns INFO [00005872] Port=0 WR @07=E4FC8C14EA808CC78F
5871.50ns INFO [00005873] * RD COMPARE * port=1 adr=07 act=A9204205F107B0B97F exp=A9204205F107B0B97F
5872.50ns INFO [00005874] Port=0 RD @04
5872.50ns INFO [00005874] Port=1 RD @04
5873.50ns INFO [00005875] Port=0 RD @02
5873.50ns INFO [00005875] Port=1 RD @06
5874.50ns INFO [00005876] * RD COMPARE * port=0 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5874.50ns INFO [00005876] * RD COMPARE * port=1 adr=04 act=78D800BD90D724B9CD exp=78D800BD90D724B9CD
5874.50ns INFO [00005876] Port=0 WR @03=8DD7DE1335E0A254C0
5874.50ns INFO [00005876] Port=0 RD @00
5875.50ns INFO [00005877] * RD COMPARE * port=0 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB
5875.50ns INFO [00005877] * RD COMPARE * port=1 adr=06 act=843AC1C0C70145C733 exp=843AC1C0C70145C733
5876.50ns INFO [00005878] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5876.50ns INFO [00005878] Port=0 WR @04=600E42A14C5BA95257
5876.50ns INFO [00005878] Port=0 RD @05
5876.50ns INFO [00005878] Port=1 RD @01
5877.50ns INFO [00005879] Port=0 WR @01=8F2D7688DCA3AC0552
5877.50ns INFO [00005879] Port=0 RD @00
5878.50ns INFO [00005880] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5878.50ns INFO [00005880] * RD COMPARE * port=1 adr=01 act=72FE5C7D27505E7E81 exp=72FE5C7D27505E7E81
5879.50ns INFO [00005881] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5880.50ns INFO [00005882] Port=0 WR @07=A7E429EB57C16E8B33
5880.50ns INFO [00005882] Port=0 RD @03
5881.50ns INFO [00005883] Port=1 RD @04
5882.50ns INFO [00005884] * RD COMPARE * port=0 adr=03 act=8DD7DE1335E0A254C0 exp=8DD7DE1335E0A254C0
5883.50ns INFO [00005885] * RD COMPARE * port=1 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257
5883.50ns INFO [00005885] Port=0 RD @04
5884.50ns INFO [00005886] Port=0 WR @01=575A411C2D131BD186
5884.50ns INFO [00005886] Port=0 RD @04
5885.50ns INFO [00005887] * RD COMPARE * port=0 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257
5885.50ns INFO [00005887] Port=1 RD @01
5886.50ns INFO [00005888] * RD COMPARE * port=0 adr=04 act=600E42A14C5BA95257 exp=600E42A14C5BA95257
5887.50ns INFO [00005889] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5887.50ns INFO [00005889] Port=0 WR @04=1047C6E65337F8BB82
5887.50ns INFO [00005889] Port=0 RD @00
5889.50ns INFO [00005891] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5889.50ns INFO [00005891] Port=0 RD @00
5890.50ns INFO [00005892] Port=0 RD @05
5890.50ns INFO [00005892] Port=1 RD @07
5891.50ns INFO [00005893] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5891.50ns INFO [00005893] Port=0 RD @02
5891.50ns INFO [00005893] Port=1 RD @07
5892.50ns INFO [00005894] * RD COMPARE * port=0 adr=05 act=578519B28E9AA07AF8 exp=578519B28E9AA07AF8
5892.50ns INFO [00005894] * RD COMPARE * port=1 adr=07 act=A7E429EB57C16E8B33 exp=A7E429EB57C16E8B33
5892.50ns INFO [00005894] Port=0 WR @06=199F62B99F6D33C537
5892.50ns INFO [00005894] Port=1 RD @00
5893.50ns INFO [00005895] * RD COMPARE * port=0 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB
5893.50ns INFO [00005895] * RD COMPARE * port=1 adr=07 act=A7E429EB57C16E8B33 exp=A7E429EB57C16E8B33
5893.50ns INFO [00005895] Port=0 WR @03=5B47EBC64457F92C9E
5893.50ns INFO [00005895] Port=0 RD @04
5894.50ns INFO [00005896] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5894.50ns INFO [00005896] Port=0 WR @04=29808384698121703A
5894.50ns INFO [00005896] Port=1 RD @00
5895.50ns INFO [00005897] * RD COMPARE * port=0 adr=04 act=1047C6E65337F8BB82 exp=1047C6E65337F8BB82
5896.50ns INFO [00005898] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5896.50ns INFO [00005898] Port=0 WR @04=3D59E1AB2F025539B2
5896.50ns INFO [00005898] Port=0 RD @03
5896.50ns INFO [00005898] Port=1 RD @01
5897.50ns INFO [00005899] Port=0 RD @01
5898.00ns INFO [00005900] [00005900] ...tick...
5898.50ns INFO [00005900] * RD COMPARE * port=0 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E
5898.50ns INFO [00005900] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5898.50ns INFO [00005900] Port=1 RD @06
5899.50ns INFO [00005901] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5899.50ns INFO [00005901] Port=0 WR @07=03C2486D6B1A1018C3
5899.50ns INFO [00005901] Port=1 RD @02
5900.50ns INFO [00005902] * RD COMPARE * port=1 adr=06 act=199F62B99F6D33C537 exp=199F62B99F6D33C537
5900.50ns INFO [00005902] Port=0 WR @02=22F3FAC9D944E3F307
5901.50ns INFO [00005903] * RD COMPARE * port=1 adr=02 act=1FF4B7954CDC8BDCCB exp=1FF4B7954CDC8BDCCB
5901.50ns INFO [00005903] Port=0 WR @02=B4C8AC85E7EDE5DB7B
5901.50ns INFO [00005903] Port=0 RD @03
5902.50ns INFO [00005904] Port=0 WR @06=650F73D6DA823D4B34
5902.50ns INFO [00005904] Port=0 RD @00
5903.50ns INFO [00005905] * RD COMPARE * port=0 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E
5903.50ns INFO [00005905] Port=0 RD @00
5903.50ns INFO [00005905] Port=1 RD @04
5904.50ns INFO [00005906] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5904.50ns INFO [00005906] Port=1 RD @00
5905.50ns INFO [00005907] * RD COMPARE * port=0 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5905.50ns INFO [00005907] * RD COMPARE * port=1 adr=04 act=3D59E1AB2F025539B2 exp=3D59E1AB2F025539B2
5906.50ns INFO [00005908] * RD COMPARE * port=1 adr=00 act=0FD9ABFA57A42A9EAC exp=0FD9ABFA57A42A9EAC
5906.50ns INFO [00005908] Port=0 WR @06=1586A9B0A7805CB871
5907.50ns INFO [00005909] Port=1 RD @03
5908.50ns INFO [00005910] Port=0 WR @05=405A75DA02A3899498
5908.50ns INFO [00005910] Port=1 RD @06
5909.50ns INFO [00005911] * RD COMPARE * port=1 adr=03 act=5B47EBC64457F92C9E exp=5B47EBC64457F92C9E
5910.50ns INFO [00005912] * RD COMPARE * port=1 adr=06 act=1586A9B0A7805CB871 exp=1586A9B0A7805CB871
5910.50ns INFO [00005912] Port=1 RD @05
5912.50ns INFO [00005914] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498
5912.50ns INFO [00005914] Port=0 WR @07=47A21DBADD492932A4
5913.50ns INFO [00005915] Port=0 RD @01
5914.50ns INFO [00005916] Port=0 WR @03=6A6F69F3994C53ED33
5915.50ns INFO [00005917] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5915.50ns INFO [00005917] Port=0 WR @06=C1C4569D756670BE11
5915.50ns INFO [00005917] Port=0 RD @04
5916.50ns INFO [00005918] Port=0 WR @02=1102EBD5005E598E6C
5917.50ns INFO [00005919] * RD COMPARE * port=0 adr=04 act=3D59E1AB2F025539B2 exp=3D59E1AB2F025539B2
5917.50ns INFO [00005919] Port=0 WR @00=3102FE4A7CBFBB94EC
5918.50ns INFO [00005920] Port=0 RD @03
5919.50ns INFO [00005921] Port=1 RD @02
5920.50ns INFO [00005922] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5921.50ns INFO [00005923] * RD COMPARE * port=1 adr=02 act=1102EBD5005E598E6C exp=1102EBD5005E598E6C
5921.50ns INFO [00005923] Port=0 RD @02
5921.50ns INFO [00005923] Port=1 RD @05
5923.50ns INFO [00005925] * RD COMPARE * port=0 adr=02 act=1102EBD5005E598E6C exp=1102EBD5005E598E6C
5923.50ns INFO [00005925] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498
5924.50ns INFO [00005926] Port=0 RD @00
5925.50ns INFO [00005927] Port=0 RD @00
5926.50ns INFO [00005928] * RD COMPARE * port=0 adr=00 act=3102FE4A7CBFBB94EC exp=3102FE4A7CBFBB94EC
5926.50ns INFO [00005928] Port=0 WR @07=E70D0D4F83C449F22E
5927.50ns INFO [00005929] * RD COMPARE * port=0 adr=00 act=3102FE4A7CBFBB94EC exp=3102FE4A7CBFBB94EC
5927.50ns INFO [00005929] Port=0 WR @04=18B7A382B1B5F66EEA
5927.50ns INFO [00005929] Port=0 RD @06
5927.50ns INFO [00005929] Port=1 RD @06
5928.50ns INFO [00005930] Port=0 WR @02=225B8696ADCE53E543
5928.50ns INFO [00005930] Port=0 RD @04
5929.50ns INFO [00005931] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5929.50ns INFO [00005931] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5929.50ns INFO [00005931] Port=0 RD @07
5929.50ns INFO [00005931] Port=1 RD @03
5930.50ns INFO [00005932] * RD COMPARE * port=0 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA
5930.50ns INFO [00005932] Port=1 RD @05
5931.50ns INFO [00005933] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5931.50ns INFO [00005933] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5932.50ns INFO [00005934] * RD COMPARE * port=1 adr=05 act=405A75DA02A3899498 exp=405A75DA02A3899498
5933.50ns INFO [00005935] Port=1 RD @06
5934.50ns INFO [00005936] Port=0 RD @04
5934.50ns INFO [00005936] Port=1 RD @06
5935.50ns INFO [00005937] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5935.50ns INFO [00005937] Port=1 RD @04
5936.50ns INFO [00005938] * RD COMPARE * port=0 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA
5936.50ns INFO [00005938] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5936.50ns INFO [00005938] Port=0 WR @05=B70810B73AC2D8925D
5937.50ns INFO [00005939] * RD COMPARE * port=1 adr=04 act=18B7A382B1B5F66EEA exp=18B7A382B1B5F66EEA
5937.50ns INFO [00005939] Port=0 RD @01
5937.50ns INFO [00005939] Port=1 RD @02
5938.50ns INFO [00005940] Port=0 WR @05=1E6009893558ABF567
5939.50ns INFO [00005941] * RD COMPARE * port=0 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5939.50ns INFO [00005941] * RD COMPARE * port=1 adr=02 act=225B8696ADCE53E543 exp=225B8696ADCE53E543
5939.50ns INFO [00005941] Port=0 WR @02=30FCF97CD874EB3DBC
5939.50ns INFO [00005941] Port=1 RD @01
5940.50ns INFO [00005942] Port=0 WR @05=2F808F93697B5356BF
5941.50ns INFO [00005943] * RD COMPARE * port=1 adr=01 act=575A411C2D131BD186 exp=575A411C2D131BD186
5941.50ns INFO [00005943] Port=0 WR @01=5A918A4D280D57913B
5941.50ns INFO [00005943] Port=0 RD @07
5941.50ns INFO [00005943] Port=1 RD @07
5942.50ns INFO [00005944] Port=1 RD @02
5943.50ns INFO [00005945] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5943.50ns INFO [00005945] * RD COMPARE * port=1 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5943.50ns INFO [00005945] Port=0 WR @00=2BE08381576CF70F78
5943.50ns INFO [00005945] Port=1 RD @03
5944.50ns INFO [00005946] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC
5944.50ns INFO [00005946] Port=0 RD @01
5944.50ns INFO [00005946] Port=1 RD @03
5945.50ns INFO [00005947] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5945.50ns INFO [00005947] Port=1 RD @02
5946.50ns INFO [00005948] * RD COMPARE * port=0 adr=01 act=5A918A4D280D57913B exp=5A918A4D280D57913B
5946.50ns INFO [00005948] * RD COMPARE * port=1 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5947.50ns INFO [00005949] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC
5947.50ns INFO [00005949] Port=0 WR @04=E95F52DA7762FC985A
5947.50ns INFO [00005949] Port=1 RD @05
5948.50ns INFO [00005950] Port=0 RD @06
5949.50ns INFO [00005951] * RD COMPARE * port=1 adr=05 act=2F808F93697B5356BF exp=2F808F93697B5356BF
5949.50ns INFO [00005951] Port=0 RD @05
5949.50ns INFO [00005951] Port=1 RD @00
5950.50ns INFO [00005952] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5950.50ns INFO [00005952] Port=0 RD @07
5951.50ns INFO [00005953] * RD COMPARE * port=0 adr=05 act=2F808F93697B5356BF exp=2F808F93697B5356BF
5951.50ns INFO [00005953] * RD COMPARE * port=1 adr=00 act=2BE08381576CF70F78 exp=2BE08381576CF70F78
5951.50ns INFO [00005953] Port=0 RD @01
5951.50ns INFO [00005953] Port=1 RD @02
5952.50ns INFO [00005954] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5952.50ns INFO [00005954] Port=1 RD @00
5953.50ns INFO [00005955] * RD COMPARE * port=0 adr=01 act=5A918A4D280D57913B exp=5A918A4D280D57913B
5953.50ns INFO [00005955] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC
5953.50ns INFO [00005955] Port=0 WR @04=3F759E01F6FFE90553
5953.50ns INFO [00005955] Port=0 RD @07
5954.50ns INFO [00005956] * RD COMPARE * port=1 adr=00 act=2BE08381576CF70F78 exp=2BE08381576CF70F78
5954.50ns INFO [00005956] Port=0 WR @05=9F758BE9F344728C53
5955.50ns INFO [00005957] * RD COMPARE * port=0 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5955.50ns INFO [00005957] Port=0 RD @02
5955.50ns INFO [00005957] Port=1 RD @06
5956.50ns INFO [00005958] Port=0 WR @00=91A9623FEAB1A3EC92
5956.50ns INFO [00005958] Port=0 RD @06
5957.50ns INFO [00005959] * RD COMPARE * port=0 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC
5957.50ns INFO [00005959] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5957.50ns INFO [00005959] Port=0 WR @01=7E07A6C5A8BAED686A
5958.50ns INFO [00005960] * RD COMPARE * port=0 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5958.50ns INFO [00005960] Port=0 WR @00=EE8D1A3A0D10CA443E
5958.50ns INFO [00005960] Port=0 RD @03
5958.50ns INFO [00005960] Port=1 RD @05
5960.50ns INFO [00005962] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5960.50ns INFO [00005962] * RD COMPARE * port=1 adr=05 act=9F758BE9F344728C53 exp=9F758BE9F344728C53
5960.50ns INFO [00005962] Port=1 RD @02
5962.50ns INFO [00005964] * RD COMPARE * port=1 adr=02 act=30FCF97CD874EB3DBC exp=30FCF97CD874EB3DBC
5962.50ns INFO [00005964] Port=0 RD @05
5964.50ns INFO [00005966] * RD COMPARE * port=0 adr=05 act=9F758BE9F344728C53 exp=9F758BE9F344728C53
5965.50ns INFO [00005967] Port=0 WR @02=4409C680419F94D1F7
5965.50ns INFO [00005967] Port=1 RD @06
5967.50ns INFO [00005969] * RD COMPARE * port=1 adr=06 act=C1C4569D756670BE11 exp=C1C4569D756670BE11
5967.50ns INFO [00005969] Port=1 RD @07
5968.50ns INFO [00005970] Port=0 WR @00=397BBA613192C6CDEB
5968.50ns INFO [00005970] Port=1 RD @04
5969.50ns INFO [00005971] * RD COMPARE * port=1 adr=07 act=E70D0D4F83C449F22E exp=E70D0D4F83C449F22E
5969.50ns INFO [00005971] Port=0 RD @01
5969.50ns INFO [00005971] Port=1 RD @00
5970.50ns INFO [00005972] * RD COMPARE * port=1 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553
5970.50ns INFO [00005972] Port=0 RD @01
5971.50ns INFO [00005973] * RD COMPARE * port=0 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A
5971.50ns INFO [00005973] * RD COMPARE * port=1 adr=00 act=397BBA613192C6CDEB exp=397BBA613192C6CDEB
5971.50ns INFO [00005973] Port=0 WR @05=E84E7EE605DB282F3F
5972.50ns INFO [00005974] * RD COMPARE * port=0 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A
5973.50ns INFO [00005975] Port=1 RD @01
5974.50ns INFO [00005976] Port=1 RD @05
5975.50ns INFO [00005977] * RD COMPARE * port=1 adr=01 act=7E07A6C5A8BAED686A exp=7E07A6C5A8BAED686A
5976.50ns INFO [00005978] * RD COMPARE * port=1 adr=05 act=E84E7EE605DB282F3F exp=E84E7EE605DB282F3F
5977.50ns INFO [00005979] Port=0 WR @01=AD021C57CA193F89C3
5977.50ns INFO [00005979] Port=0 RD @02
5977.50ns INFO [00005979] Port=1 RD @02
5978.50ns INFO [00005980] Port=0 WR @01=E22A70904830A60E13
5979.50ns INFO [00005981] * RD COMPARE * port=0 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7
5979.50ns INFO [00005981] * RD COMPARE * port=1 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7
5979.50ns INFO [00005981] Port=0 WR @07=563A053E002EF37D01
5979.50ns INFO [00005981] Port=0 RD @04
5979.50ns INFO [00005981] Port=1 RD @00
5980.50ns INFO [00005982] Port=0 RD @03
5981.50ns INFO [00005983] * RD COMPARE * port=0 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553
5981.50ns INFO [00005983] * RD COMPARE * port=1 adr=00 act=397BBA613192C6CDEB exp=397BBA613192C6CDEB
5981.50ns INFO [00005983] Port=0 WR @00=22BAE816238CB1E43F
5982.50ns INFO [00005984] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5982.50ns INFO [00005984] Port=0 RD @03
5983.50ns INFO [00005985] Port=0 RD @05
5983.50ns INFO [00005985] Port=1 RD @07
5984.50ns INFO [00005986] * RD COMPARE * port=0 adr=03 act=6A6F69F3994C53ED33 exp=6A6F69F3994C53ED33
5984.50ns INFO [00005986] Port=0 WR @06=7D4D683583721769AD
5984.50ns INFO [00005986] Port=0 RD @07
5984.50ns INFO [00005986] Port=1 RD @04
5985.50ns INFO [00005987] * RD COMPARE * port=0 adr=05 act=E84E7EE605DB282F3F exp=E84E7EE605DB282F3F
5985.50ns INFO [00005987] * RD COMPARE * port=1 adr=07 act=563A053E002EF37D01 exp=563A053E002EF37D01
5985.50ns INFO [00005987] Port=0 RD @06
5986.50ns INFO [00005988] * RD COMPARE * port=0 adr=07 act=563A053E002EF37D01 exp=563A053E002EF37D01
5986.50ns INFO [00005988] * RD COMPARE * port=1 adr=04 act=3F759E01F6FFE90553 exp=3F759E01F6FFE90553
5987.50ns INFO [00005989] * RD COMPARE * port=0 adr=06 act=7D4D683583721769AD exp=7D4D683583721769AD
5989.50ns INFO [00005991] Port=0 WR @04=B8222E8D005AFE22B9
5989.50ns INFO [00005991] Port=0 RD @01
5991.50ns INFO [00005993] * RD COMPARE * port=0 adr=01 act=E22A70904830A60E13 exp=E22A70904830A60E13
5992.50ns INFO [00005994] Port=0 WR @07=4C413695CDE82C42D4
5993.50ns INFO [00005995] Port=0 WR @01=25C245A460E19FE49C
5994.50ns INFO [00005996] Port=0 WR @06=1BB6DE7A3A943C64E5
5994.50ns INFO [00005996] Port=0 RD @01
5994.50ns INFO [00005996] Port=1 RD @00
5995.50ns INFO [00005997] Port=0 WR @07=C1A4EFF88950D93E19
5996.50ns INFO [00005998] * RD COMPARE * port=0 adr=01 act=25C245A460E19FE49C exp=25C245A460E19FE49C
5996.50ns INFO [00005998] * RD COMPARE * port=1 adr=00 act=22BAE816238CB1E43F exp=22BAE816238CB1E43F
5996.50ns INFO [00005998] Port=0 RD @02
5997.50ns INFO [00005999] Port=1 RD @01
5998.00ns INFO [00006000] [00006000] ...tick...
5998.50ns INFO [00006000] * RD COMPARE * port=0 adr=02 act=4409C680419F94D1F7 exp=4409C680419F94D1F7
5998.50ns INFO [00006000] Port=1 RD @06
5999.50ns INFO [00006001] * RD COMPARE * port=1 adr=01 act=25C245A460E19FE49C exp=25C245A460E19FE49C
5999.50ns INFO [00006001] Port=0 WR @05=0A06E7C9D5CCC7BCE4
5999.50ns INFO [00006001] Port=1 RD @04
6000.50ns INFO [00006002] * RD COMPARE * port=1 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5
6000.50ns INFO [00006002] Port=0 WR @03=F285EA431743767332
6000.50ns INFO [00006002] Port=1 RD @06
6001.50ns INFO [00006003] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9
6001.50ns INFO [00006003] Port=0 WR @00=32574117D74A4401A6
6001.50ns INFO [00006003] Port=1 RD @07
6002.50ns INFO [00006004] * RD COMPARE * port=1 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5
6002.50ns INFO [00006004] Port=0 RD @00
6003.50ns INFO [00006005] * RD COMPARE * port=1 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19
6003.50ns INFO [00006005] Port=0 WR @01=E0C8EB93E10EDA3785
6003.50ns INFO [00006005] Port=1 RD @04
6004.50ns INFO [00006006] * RD COMPARE * port=0 adr=00 act=32574117D74A4401A6 exp=32574117D74A4401A6
6004.50ns INFO [00006006] Port=0 WR @01=A9431B6D997776D49E
6005.50ns INFO [00006007] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9
6005.50ns INFO [00006007] Port=0 RD @01
6006.50ns INFO [00006008] Port=0 WR @05=B112176DD2E8D6EA5E
6006.50ns INFO [00006008] Port=0 RD @06
6007.50ns INFO [00006009] * RD COMPARE * port=0 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E
6007.50ns INFO [00006009] Port=0 RD @00
6008.50ns INFO [00006010] * RD COMPARE * port=0 adr=06 act=1BB6DE7A3A943C64E5 exp=1BB6DE7A3A943C64E5
6008.50ns INFO [00006010] Port=1 RD @03
6009.50ns INFO [00006011] * RD COMPARE * port=0 adr=00 act=32574117D74A4401A6 exp=32574117D74A4401A6
6009.50ns INFO [00006011] Port=0 WR @02=9F07A107B130F57EBE
6010.50ns INFO [00006012] * RD COMPARE * port=1 adr=03 act=F285EA431743767332 exp=F285EA431743767332
6010.50ns INFO [00006012] Port=0 WR @06=C67C3F3ECC3E5C67D6
6010.50ns INFO [00006012] Port=1 RD @01
6011.50ns INFO [00006013] Port=0 WR @06=8EC7DFD1F015ADABE4
6011.50ns INFO [00006013] Port=1 RD @03
6012.50ns INFO [00006014] * RD COMPARE * port=1 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E
6013.50ns INFO [00006015] * RD COMPARE * port=1 adr=03 act=F285EA431743767332 exp=F285EA431743767332
6013.50ns INFO [00006015] Port=0 RD @05
6014.50ns INFO [00006016] Port=0 WR @00=57AF87E7FD5D352D2C
6014.50ns INFO [00006016] Port=0 RD @03
6015.50ns INFO [00006017] * RD COMPARE * port=0 adr=05 act=B112176DD2E8D6EA5E exp=B112176DD2E8D6EA5E
6015.50ns INFO [00006017] Port=0 WR @00=085B70FFCDF9B64E9F
6015.50ns INFO [00006017] Port=1 RD @07
6016.50ns INFO [00006018] * RD COMPARE * port=0 adr=03 act=F285EA431743767332 exp=F285EA431743767332
6017.50ns INFO [00006019] * RD COMPARE * port=1 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19
6017.50ns INFO [00006019] Port=0 WR @05=8227D2C81D97CB5379
6017.50ns INFO [00006019] Port=1 RD @04
6018.50ns INFO [00006020] Port=0 WR @03=C67BE5BBF5493DCB0B
6018.50ns INFO [00006020] Port=0 RD @06
6019.50ns INFO [00006021] * RD COMPARE * port=1 adr=04 act=B8222E8D005AFE22B9 exp=B8222E8D005AFE22B9
6019.50ns INFO [00006021] Port=0 RD @00
6020.50ns INFO [00006022] * RD COMPARE * port=0 adr=06 act=8EC7DFD1F015ADABE4 exp=8EC7DFD1F015ADABE4
6020.50ns INFO [00006022] Port=0 WR @06=4E6B7EF65EF750F94D
6020.50ns INFO [00006022] Port=0 RD @07
6021.50ns INFO [00006023] * RD COMPARE * port=0 adr=00 act=085B70FFCDF9B64E9F exp=085B70FFCDF9B64E9F
6022.50ns INFO [00006024] * RD COMPARE * port=0 adr=07 act=C1A4EFF88950D93E19 exp=C1A4EFF88950D93E19
6023.50ns INFO [00006025] Port=0 WR @04=6FDA6378740C3C599B
6023.50ns INFO [00006025] Port=0 RD @02
6023.50ns INFO [00006025] Port=1 RD @03
6024.50ns INFO [00006026] Port=0 WR @07=AFC4B1080FA7874413
6025.50ns INFO [00006027] * RD COMPARE * port=0 adr=02 act=9F07A107B130F57EBE exp=9F07A107B130F57EBE
6025.50ns INFO [00006027] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6025.50ns INFO [00006027] Port=0 RD @06
6025.50ns INFO [00006027] Port=1 RD @04
6026.50ns INFO [00006028] Port=0 WR @05=F223AFE9D7F34FD197
6027.50ns INFO [00006029] * RD COMPARE * port=0 adr=06 act=4E6B7EF65EF750F94D exp=4E6B7EF65EF750F94D
6027.50ns INFO [00006029] * RD COMPARE * port=1 adr=04 act=6FDA6378740C3C599B exp=6FDA6378740C3C599B
6027.50ns INFO [00006029] Port=0 WR @04=EF111198ED4D8FEAEC
6028.50ns INFO [00006030] Port=0 RD @01
6028.50ns INFO [00006030] Port=1 RD @00
6030.50ns INFO [00006032] * RD COMPARE * port=0 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E
6030.50ns INFO [00006032] * RD COMPARE * port=1 adr=00 act=085B70FFCDF9B64E9F exp=085B70FFCDF9B64E9F
6030.50ns INFO [00006032] Port=0 RD @04
6031.50ns INFO [00006033] Port=0 WR @06=9337CC717859CCE0AD
6031.50ns INFO [00006033] Port=1 RD @02
6032.50ns INFO [00006034] * RD COMPARE * port=0 adr=04 act=EF111198ED4D8FEAEC exp=EF111198ED4D8FEAEC
6032.50ns INFO [00006034] Port=1 RD @01
6033.50ns INFO [00006035] * RD COMPARE * port=1 adr=02 act=9F07A107B130F57EBE exp=9F07A107B130F57EBE
6033.50ns INFO [00006035] Port=0 WR @01=83F49B5B9BB1152EAB
6034.50ns INFO [00006036] * RD COMPARE * port=1 adr=01 act=A9431B6D997776D49E exp=A9431B6D997776D49E
6034.50ns INFO [00006036] Port=0 WR @02=6CD0A4D4EE3B7EDFA3
6035.50ns INFO [00006037] Port=0 WR @00=6E5309732781B57677
6035.50ns INFO [00006037] Port=0 RD @06
6036.50ns INFO [00006038] Port=0 WR @06=4B18CE1297FFE0F4D2
6036.50ns INFO [00006038] Port=0 RD @05
6036.50ns INFO [00006038] Port=1 RD @03
6037.50ns INFO [00006039] * RD COMPARE * port=0 adr=06 act=9337CC717859CCE0AD exp=9337CC717859CCE0AD
6037.50ns INFO [00006039] Port=0 WR @06=19C42CAAC12361A670
6037.50ns INFO [00006039] Port=0 RD @03
6038.50ns INFO [00006040] * RD COMPARE * port=0 adr=05 act=F223AFE9D7F34FD197 exp=F223AFE9D7F34FD197
6038.50ns INFO [00006040] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6039.50ns INFO [00006041] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6039.50ns INFO [00006041] Port=0 WR @07=D95A8B0033E25A5C02
6039.50ns INFO [00006041] Port=0 RD @03
6040.50ns INFO [00006042] Port=0 RD @01
6040.50ns INFO [00006042] Port=1 RD @07
6041.50ns INFO [00006043] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6042.50ns INFO [00006044] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6042.50ns INFO [00006044] * RD COMPARE * port=1 adr=07 act=D95A8B0033E25A5C02 exp=D95A8B0033E25A5C02
6044.50ns INFO [00006046] Port=0 WR @04=76CA01DF53D164B261
6044.50ns INFO [00006046] Port=0 RD @03
6044.50ns INFO [00006046] Port=1 RD @03
6045.50ns INFO [00006047] Port=1 RD @01
6046.50ns INFO [00006048] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6046.50ns INFO [00006048] * RD COMPARE * port=1 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6046.50ns INFO [00006048] Port=0 WR @02=A9114E49729508DB49
6046.50ns INFO [00006048] Port=0 RD @01
6047.50ns INFO [00006049] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6047.50ns INFO [00006049] Port=0 WR @02=91445F2D547991C1ED
6047.50ns INFO [00006049] Port=0 RD @01
6048.50ns INFO [00006050] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6048.50ns INFO [00006050] Port=0 WR @02=3286A4AF0EE4F022BD
6049.50ns INFO [00006051] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6049.50ns INFO [00006051] Port=0 RD @01
6049.50ns INFO [00006051] Port=1 RD @01
6051.50ns INFO [00006053] * RD COMPARE * port=0 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6051.50ns INFO [00006053] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6051.50ns INFO [00006053] Port=0 WR @05=5B3A28E385500D67C7
6054.50ns INFO [00006056] Port=0 WR @05=A467415BC9383E0553
6054.50ns INFO [00006056] Port=1 RD @01
6055.50ns INFO [00006057] Port=0 WR @01=8389522E30C4E88B57
6055.50ns INFO [00006057] Port=1 RD @05
6056.50ns INFO [00006058] * RD COMPARE * port=1 adr=01 act=83F49B5B9BB1152EAB exp=83F49B5B9BB1152EAB
6056.50ns INFO [00006058] Port=0 RD @04
6057.50ns INFO [00006059] * RD COMPARE * port=1 adr=05 act=A467415BC9383E0553 exp=A467415BC9383E0553
6057.50ns INFO [00006059] Port=1 RD @04
6058.50ns INFO [00006060] * RD COMPARE * port=0 adr=04 act=76CA01DF53D164B261 exp=76CA01DF53D164B261
6059.50ns INFO [00006061] * RD COMPARE * port=1 adr=04 act=76CA01DF53D164B261 exp=76CA01DF53D164B261
6059.50ns INFO [00006061] Port=0 RD @01
6060.50ns INFO [00006062] Port=0 WR @06=EA64E3FF1CD2C2A1AA
6060.50ns INFO [00006062] Port=0 RD @00
6061.50ns INFO [00006063] * RD COMPARE * port=0 adr=01 act=8389522E30C4E88B57 exp=8389522E30C4E88B57
6061.50ns INFO [00006063] Port=0 WR @04=F815BA3F998D478138
6061.50ns INFO [00006063] Port=1 RD @02
6062.50ns INFO [00006064] * RD COMPARE * port=0 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677
6062.50ns INFO [00006064] Port=0 WR @04=20E32E9D4C84434D33
6063.50ns INFO [00006065] * RD COMPARE * port=1 adr=02 act=3286A4AF0EE4F022BD exp=3286A4AF0EE4F022BD
6063.50ns INFO [00006065] Port=1 RD @01
6065.50ns INFO [00006067] * RD COMPARE * port=1 adr=01 act=8389522E30C4E88B57 exp=8389522E30C4E88B57
6065.50ns INFO [00006067] Port=0 WR @01=97E5A66D1E8E8B349B
6065.50ns INFO [00006067] Port=1 RD @04
6066.50ns INFO [00006068] Port=0 WR @05=885D42322C21EBF36E
6066.50ns INFO [00006068] Port=0 RD @03
6067.50ns INFO [00006069] * RD COMPARE * port=1 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33
6067.50ns INFO [00006069] Port=0 WR @02=84A38528A629C2DA9F
6068.50ns INFO [00006070] * RD COMPARE * port=0 adr=03 act=C67BE5BBF5493DCB0B exp=C67BE5BBF5493DCB0B
6068.50ns INFO [00006070] Port=0 WR @01=6F692B4623E3272673
6069.50ns INFO [00006071] Port=0 WR @02=80214D31954F5E7C70
6069.50ns INFO [00006071] Port=1 RD @05
6071.50ns INFO [00006073] * RD COMPARE * port=1 adr=05 act=885D42322C21EBF36E exp=885D42322C21EBF36E
6071.50ns INFO [00006073] Port=0 RD @06
6071.50ns INFO [00006073] Port=1 RD @02
6072.50ns INFO [00006074] Port=0 RD @05
6073.50ns INFO [00006075] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6073.50ns INFO [00006075] * RD COMPARE * port=1 adr=02 act=80214D31954F5E7C70 exp=80214D31954F5E7C70
6074.50ns INFO [00006076] * RD COMPARE * port=0 adr=05 act=885D42322C21EBF36E exp=885D42322C21EBF36E
6074.50ns INFO [00006076] Port=0 WR @02=904BAC53EEDD343261
6074.50ns INFO [00006076] Port=0 RD @06
6076.50ns INFO [00006078] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6076.50ns INFO [00006078] Port=0 WR @07=3A43712C12E143F50B
6076.50ns INFO [00006078] Port=0 RD @06
6077.50ns INFO [00006079] Port=0 WR @07=2B57A083A686CBA029
6078.50ns INFO [00006080] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6078.50ns INFO [00006080] Port=0 WR @07=97FDD465A59D8A73FA
6079.50ns INFO [00006081] Port=1 RD @00
6080.50ns INFO [00006082] Port=0 WR @02=68D9393711C8AA6EEE
6081.50ns INFO [00006083] * RD COMPARE * port=1 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677
6081.50ns INFO [00006083] Port=0 WR @03=37BD210E64F9F447D3
6081.50ns INFO [00006083] Port=1 RD @01
6082.50ns INFO [00006084] Port=0 RD @06
6082.50ns INFO [00006084] Port=1 RD @04
6083.50ns INFO [00006085] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673
6083.50ns INFO [00006085] Port=0 RD @06
6083.50ns INFO [00006085] Port=1 RD @02
6084.50ns INFO [00006086] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6084.50ns INFO [00006086] * RD COMPARE * port=1 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33
6084.50ns INFO [00006086] Port=0 RD @04
6085.50ns INFO [00006087] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6085.50ns INFO [00006087] * RD COMPARE * port=1 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE
6085.50ns INFO [00006087] Port=0 WR @05=D5219E8808AD1C397D
6085.50ns INFO [00006087] Port=0 RD @04
6086.50ns INFO [00006088] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33
6086.50ns INFO [00006088] Port=1 RD @00
6087.50ns INFO [00006089] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33
6087.50ns INFO [00006089] Port=0 RD @01
6088.50ns INFO [00006090] * RD COMPARE * port=1 adr=00 act=6E5309732781B57677 exp=6E5309732781B57677
6088.50ns INFO [00006090] Port=0 WR @00=520070092E4B02AA57
6088.50ns INFO [00006090] Port=1 RD @06
6089.50ns INFO [00006091] * RD COMPARE * port=0 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673
6089.50ns INFO [00006091] Port=1 RD @01
6090.50ns INFO [00006092] * RD COMPARE * port=1 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6090.50ns INFO [00006092] Port=0 RD @02
6091.50ns INFO [00006093] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673
6091.50ns INFO [00006093] Port=0 WR @05=0BDA1210BE91B3D172
6091.50ns INFO [00006093] Port=0 RD @04
6092.50ns INFO [00006094] * RD COMPARE * port=0 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE
6092.50ns INFO [00006094] Port=1 RD @01
6093.50ns INFO [00006095] * RD COMPARE * port=0 adr=04 act=20E32E9D4C84434D33 exp=20E32E9D4C84434D33
6094.50ns INFO [00006096] * RD COMPARE * port=1 adr=01 act=6F692B4623E3272673 exp=6F692B4623E3272673
6095.50ns INFO [00006097] Port=0 WR @05=CCBBF84EE3192038F4
6095.50ns INFO [00006097] Port=0 RD @02
6097.50ns INFO [00006099] * RD COMPARE * port=0 adr=02 act=68D9393711C8AA6EEE exp=68D9393711C8AA6EEE
6098.00ns INFO [00006100] [00006100] ...tick...
6098.50ns INFO [00006100] Port=0 WR @01=3BA5549B70AB5D284B
6098.50ns INFO [00006100] Port=0 RD @06
6099.50ns INFO [00006101] Port=0 WR @04=980D40349DF1C43359
6099.50ns INFO [00006101] Port=1 RD @07
6100.50ns INFO [00006102] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6101.50ns INFO [00006103] * RD COMPARE * port=1 adr=07 act=97FDD465A59D8A73FA exp=97FDD465A59D8A73FA
6101.50ns INFO [00006103] Port=0 RD @03
6102.50ns INFO [00006104] Port=0 RD @07
6103.50ns INFO [00006105] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3
6103.50ns INFO [00006105] Port=0 WR @07=356AE96E4B537918DA
6104.50ns INFO [00006106] * RD COMPARE * port=0 adr=07 act=97FDD465A59D8A73FA exp=97FDD465A59D8A73FA
6105.50ns INFO [00006107] Port=0 RD @06
6107.50ns INFO [00006109] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6107.50ns INFO [00006109] Port=0 WR @05=22C8E90BBEE942641A
6107.50ns INFO [00006109] Port=0 RD @03
6108.50ns INFO [00006110] Port=0 WR @02=5DBE42A9FE961EBDC3
6109.50ns INFO [00006111] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3
6109.50ns INFO [00006111] Port=0 WR @07=C40D26A61A75EA1335
6109.50ns INFO [00006111] Port=0 RD @06
6110.50ns INFO [00006112] Port=0 WR @05=87403CC1AD0D2A46CC
6111.50ns INFO [00006113] * RD COMPARE * port=0 adr=06 act=EA64E3FF1CD2C2A1AA exp=EA64E3FF1CD2C2A1AA
6111.50ns INFO [00006113] Port=0 RD @04
6111.50ns INFO [00006113] Port=1 RD @05
6112.50ns INFO [00006114] Port=0 WR @02=ADC3E9DC41627614C5
6112.50ns INFO [00006114] Port=0 RD @03
6113.50ns INFO [00006115] * RD COMPARE * port=0 adr=04 act=980D40349DF1C43359 exp=980D40349DF1C43359
6113.50ns INFO [00006115] * RD COMPARE * port=1 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC
6113.50ns INFO [00006115] Port=0 RD @07
6114.50ns INFO [00006116] * RD COMPARE * port=0 adr=03 act=37BD210E64F9F447D3 exp=37BD210E64F9F447D3
6114.50ns INFO [00006116] Port=0 RD @00
6114.50ns INFO [00006116] Port=1 RD @07
6115.50ns INFO [00006117] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6115.50ns INFO [00006117] Port=0 WR @03=6FD1B804ABAFF259E6
6116.50ns INFO [00006118] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6116.50ns INFO [00006118] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6116.50ns INFO [00006118] Port=0 RD @00
6117.50ns INFO [00006119] Port=0 WR @03=FEDC5EB1856C27BE8D
6117.50ns INFO [00006119] Port=0 RD @07
6117.50ns INFO [00006119] Port=1 RD @05
6118.50ns INFO [00006120] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6119.50ns INFO [00006121] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6119.50ns INFO [00006121] * RD COMPARE * port=1 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC
6119.50ns INFO [00006121] Port=0 WR @01=23DD29804384D55A59
6120.50ns INFO [00006122] Port=0 WR @03=1F22F151BDF24B3CAF
6120.50ns INFO [00006122] Port=0 RD @05
6120.50ns INFO [00006122] Port=1 RD @01
6121.50ns INFO [00006123] Port=0 RD @05
6121.50ns INFO [00006123] Port=1 RD @07
6122.50ns INFO [00006124] * RD COMPARE * port=0 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC
6122.50ns INFO [00006124] * RD COMPARE * port=1 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59
6122.50ns INFO [00006124] Port=0 WR @06=CBDA75D124342CFBC8
6123.50ns INFO [00006125] * RD COMPARE * port=0 adr=05 act=87403CC1AD0D2A46CC exp=87403CC1AD0D2A46CC
6123.50ns INFO [00006125] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6123.50ns INFO [00006125] Port=0 WR @02=13E17940F8079DD75C
6123.50ns INFO [00006125] Port=0 RD @01
6124.50ns INFO [00006126] Port=0 RD @06
6124.50ns INFO [00006126] Port=1 RD @02
6125.50ns INFO [00006127] * RD COMPARE * port=0 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59
6126.50ns INFO [00006128] * RD COMPARE * port=0 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8
6126.50ns INFO [00006128] * RD COMPARE * port=1 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C
6126.50ns INFO [00006128] Port=0 RD @02
6128.50ns INFO [00006130] * RD COMPARE * port=0 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C
6128.50ns INFO [00006130] Port=0 RD @03
6128.50ns INFO [00006130] Port=1 RD @04
6129.50ns INFO [00006131] Port=1 RD @02
6130.50ns INFO [00006132] * RD COMPARE * port=0 adr=03 act=1F22F151BDF24B3CAF exp=1F22F151BDF24B3CAF
6130.50ns INFO [00006132] * RD COMPARE * port=1 adr=04 act=980D40349DF1C43359 exp=980D40349DF1C43359
6130.50ns INFO [00006132] Port=0 RD @07
6131.50ns INFO [00006133] * RD COMPARE * port=1 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C
6131.50ns INFO [00006133] Port=1 RD @01
6132.50ns INFO [00006134] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6132.50ns INFO [00006134] Port=0 RD @02
6133.50ns INFO [00006135] * RD COMPARE * port=1 adr=01 act=23DD29804384D55A59 exp=23DD29804384D55A59
6133.50ns INFO [00006135] Port=0 WR @04=64A2E3DF288365B4E7
6134.50ns INFO [00006136] * RD COMPARE * port=0 adr=02 act=13E17940F8079DD75C exp=13E17940F8079DD75C
6134.50ns INFO [00006136] Port=0 WR @01=3716C5B5CEAF3ADF95
6134.50ns INFO [00006136] Port=1 RD @04
6135.50ns INFO [00006137] Port=0 WR @05=297E2E0BD3D7026AF9
6136.50ns INFO [00006138] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7
6136.50ns INFO [00006138] Port=1 RD @04
6137.50ns INFO [00006139] Port=0 WR @01=68A435FC80453B11C0
6138.50ns INFO [00006140] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7
6138.50ns INFO [00006140] Port=1 RD @04
6139.50ns INFO [00006141] Port=0 WR @02=4071FAFE083195B500
6139.50ns INFO [00006141] Port=1 RD @03
6140.50ns INFO [00006142] * RD COMPARE * port=1 adr=04 act=64A2E3DF288365B4E7 exp=64A2E3DF288365B4E7
6140.50ns INFO [00006142] Port=0 RD @00
6141.50ns INFO [00006143] * RD COMPARE * port=1 adr=03 act=1F22F151BDF24B3CAF exp=1F22F151BDF24B3CAF
6141.50ns INFO [00006143] Port=0 RD @06
6142.50ns INFO [00006144] * RD COMPARE * port=0 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6142.50ns INFO [00006144] Port=0 WR @04=82AB696E24E9072339
6142.50ns INFO [00006144] Port=1 RD @06
6143.50ns INFO [00006145] * RD COMPARE * port=0 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8
6144.50ns INFO [00006146] * RD COMPARE * port=1 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8
6144.50ns INFO [00006146] Port=0 RD @01
6144.50ns INFO [00006146] Port=1 RD @07
6145.50ns INFO [00006147] Port=0 WR @02=B629D5A1D2817F85AA
6146.50ns INFO [00006148] * RD COMPARE * port=0 adr=01 act=68A435FC80453B11C0 exp=68A435FC80453B11C0
6146.50ns INFO [00006148] * RD COMPARE * port=1 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6146.50ns INFO [00006148] Port=0 RD @04
6146.50ns INFO [00006148] Port=1 RD @06
6148.50ns INFO [00006150] * RD COMPARE * port=0 adr=04 act=82AB696E24E9072339 exp=82AB696E24E9072339
6148.50ns INFO [00006150] * RD COMPARE * port=1 adr=06 act=CBDA75D124342CFBC8 exp=CBDA75D124342CFBC8
6148.50ns INFO [00006150] Port=1 RD @00
6150.50ns INFO [00006152] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6150.50ns INFO [00006152] Port=0 RD @07
6152.50ns INFO [00006154] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6152.50ns INFO [00006154] Port=0 RD @04
6152.50ns INFO [00006154] Port=1 RD @02
6154.50ns INFO [00006156] * RD COMPARE * port=0 adr=04 act=82AB696E24E9072339 exp=82AB696E24E9072339
6154.50ns INFO [00006156] * RD COMPARE * port=1 adr=02 act=B629D5A1D2817F85AA exp=B629D5A1D2817F85AA
6154.50ns INFO [00006156] Port=1 RD @05
6155.50ns INFO [00006157] Port=0 WR @05=414FC9F33A06B63101
6156.50ns INFO [00006158] * RD COMPARE * port=1 adr=05 act=297E2E0BD3D7026AF9 exp=297E2E0BD3D7026AF9
6157.50ns INFO [00006159] Port=1 RD @00
6159.50ns INFO [00006161] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6159.50ns INFO [00006161] Port=0 WR @01=4FD7F81C631B5C2508
6159.50ns INFO [00006161] Port=0 RD @02
6159.50ns INFO [00006161] Port=1 RD @05
6161.50ns INFO [00006163] * RD COMPARE * port=0 adr=02 act=B629D5A1D2817F85AA exp=B629D5A1D2817F85AA
6161.50ns INFO [00006163] * RD COMPARE * port=1 adr=05 act=414FC9F33A06B63101 exp=414FC9F33A06B63101
6161.50ns INFO [00006163] Port=0 WR @03=4999B11B72830AB5A1
6162.50ns INFO [00006164] Port=0 WR @02=A5B129ACD433BD55BC
6162.50ns INFO [00006164] Port=0 RD @07
6163.50ns INFO [00006165] Port=0 WR @07=44513E6BDDBF3711DF
6164.50ns INFO [00006166] * RD COMPARE * port=0 adr=07 act=C40D26A61A75EA1335 exp=C40D26A61A75EA1335
6164.50ns INFO [00006166] Port=0 WR @05=62647E93B2F58F9C0D
6164.50ns INFO [00006166] Port=0 RD @07
6165.50ns INFO [00006167] Port=1 RD @03
6166.50ns INFO [00006168] * RD COMPARE * port=0 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF
6166.50ns INFO [00006168] Port=1 RD @05
6167.50ns INFO [00006169] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1
6167.50ns INFO [00006169] Port=1 RD @07
6168.50ns INFO [00006170] * RD COMPARE * port=1 adr=05 act=62647E93B2F58F9C0D exp=62647E93B2F58F9C0D
6168.50ns INFO [00006170] Port=0 WR @06=F86B581C01CE000300
6169.50ns INFO [00006171] * RD COMPARE * port=1 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF
6170.50ns INFO [00006172] Port=1 RD @03
6171.50ns INFO [00006173] Port=0 WR @02=63532E3DD98F3BD8D8
6171.50ns INFO [00006173] Port=1 RD @03
6172.50ns INFO [00006174] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1
6172.50ns INFO [00006174] Port=0 RD @06
6173.50ns INFO [00006175] * RD COMPARE * port=1 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1
6174.50ns INFO [00006176] * RD COMPARE * port=0 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300
6175.50ns INFO [00006177] Port=1 RD @02
6177.50ns INFO [00006179] * RD COMPARE * port=1 adr=02 act=63532E3DD98F3BD8D8 exp=63532E3DD98F3BD8D8
6177.50ns INFO [00006179] Port=0 WR @02=C9ED5D1AEAFD31E5D5
6178.50ns INFO [00006180] Port=0 RD @07
6179.50ns INFO [00006181] Port=0 RD @03
6179.50ns INFO [00006181] Port=1 RD @01
6180.50ns INFO [00006182] * RD COMPARE * port=0 adr=07 act=44513E6BDDBF3711DF exp=44513E6BDDBF3711DF
6180.50ns INFO [00006182] Port=0 RD @02
6181.50ns INFO [00006183] * RD COMPARE * port=0 adr=03 act=4999B11B72830AB5A1 exp=4999B11B72830AB5A1
6181.50ns INFO [00006183] * RD COMPARE * port=1 adr=01 act=4FD7F81C631B5C2508 exp=4FD7F81C631B5C2508
6181.50ns INFO [00006183] Port=1 RD @00
6182.50ns INFO [00006184] * RD COMPARE * port=0 adr=02 act=C9ED5D1AEAFD31E5D5 exp=C9ED5D1AEAFD31E5D5
6182.50ns INFO [00006184] Port=0 WR @03=2916FF0E194BB0C08A
6183.50ns INFO [00006185] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6184.50ns INFO [00006186] Port=0 WR @07=D40C2CFE2B68695DC9
6184.50ns INFO [00006186] Port=1 RD @06
6185.50ns INFO [00006187] Port=0 WR @01=771F0F6D3CC9CDFA82
6185.50ns INFO [00006187] Port=0 RD @05
6186.50ns INFO [00006188] * RD COMPARE * port=1 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300
6186.50ns INFO [00006188] Port=0 WR @04=2FDDFE6857C000833A
6186.50ns INFO [00006188] Port=1 RD @06
6187.50ns INFO [00006189] * RD COMPARE * port=0 adr=05 act=62647E93B2F58F9C0D exp=62647E93B2F58F9C0D
6188.50ns INFO [00006190] * RD COMPARE * port=1 adr=06 act=F86B581C01CE000300 exp=F86B581C01CE000300
6189.50ns INFO [00006191] Port=1 RD @02
6190.50ns INFO [00006192] Port=0 WR @07=56565E49B9E6BC7D41
6190.50ns INFO [00006192] Port=0 RD @01
6191.50ns INFO [00006193] * RD COMPARE * port=1 adr=02 act=C9ED5D1AEAFD31E5D5 exp=C9ED5D1AEAFD31E5D5
6191.50ns INFO [00006193] Port=0 WR @06=D252075A6F67A7E805
6191.50ns INFO [00006193] Port=1 RD @00
6192.50ns INFO [00006194] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82
6192.50ns INFO [00006194] Port=0 WR @02=799DDD726958001112
6192.50ns INFO [00006194] Port=1 RD @04
6193.50ns INFO [00006195] * RD COMPARE * port=1 adr=00 act=520070092E4B02AA57 exp=520070092E4B02AA57
6194.50ns INFO [00006196] * RD COMPARE * port=1 adr=04 act=2FDDFE6857C000833A exp=2FDDFE6857C000833A
6194.50ns INFO [00006196] Port=0 WR @07=3384B19EC015E20BF1
6194.50ns INFO [00006196] Port=0 RD @01
6195.50ns INFO [00006197] Port=0 WR @03=30B8D10E45764C32C8
6196.50ns INFO [00006198] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82
6196.50ns INFO [00006198] Port=0 RD @06
6197.50ns INFO [00006199] Port=0 RD @03
6197.50ns INFO [00006199] Port=1 RD @04
6198.00ns INFO [00006200] [00006200] ...tick...
6198.50ns INFO [00006200] * RD COMPARE * port=0 adr=06 act=D252075A6F67A7E805 exp=D252075A6F67A7E805
6198.50ns INFO [00006200] Port=0 WR @06=E344335EF76A7A9E2C
6199.50ns INFO [00006201] * RD COMPARE * port=0 adr=03 act=30B8D10E45764C32C8 exp=30B8D10E45764C32C8
6199.50ns INFO [00006201] * RD COMPARE * port=1 adr=04 act=2FDDFE6857C000833A exp=2FDDFE6857C000833A
6200.50ns INFO [00006202] Port=0 WR @02=D2C28A834289C2C515
6200.50ns INFO [00006202] Port=0 RD @06
6202.50ns INFO [00006204] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C
6202.50ns INFO [00006204] Port=0 RD @07
6203.50ns INFO [00006205] Port=0 RD @03
6204.50ns INFO [00006206] * RD COMPARE * port=0 adr=07 act=3384B19EC015E20BF1 exp=3384B19EC015E20BF1
6204.50ns INFO [00006206] Port=0 WR @05=81A53C1C4E76EDBBA6
6205.50ns INFO [00006207] * RD COMPARE * port=0 adr=03 act=30B8D10E45764C32C8 exp=30B8D10E45764C32C8
6205.50ns INFO [00006207] Port=0 WR @03=7D31130A77D17A2E83
6205.50ns INFO [00006207] Port=0 RD @05
6205.50ns INFO [00006207] Port=1 RD @02
6206.50ns INFO [00006208] Port=0 WR @00=F882F99F5215EECE15
6206.50ns INFO [00006208] Port=0 RD @06
6206.50ns INFO [00006208] Port=1 RD @07
6207.50ns INFO [00006209] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6
6207.50ns INFO [00006209] * RD COMPARE * port=1 adr=02 act=D2C28A834289C2C515 exp=D2C28A834289C2C515
6207.50ns INFO [00006209] Port=0 WR @04=2E5919109912161735
6208.50ns INFO [00006210] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C
6208.50ns INFO [00006210] * RD COMPARE * port=1 adr=07 act=3384B19EC015E20BF1 exp=3384B19EC015E20BF1
6208.50ns INFO [00006210] Port=0 WR @00=F86444F4AF6CE3DEE5
6208.50ns INFO [00006210] Port=0 RD @06
6208.50ns INFO [00006210] Port=1 RD @03
6209.50ns INFO [00006211] Port=0 WR @02=9CCF2C8DA06D0E2AD5
6209.50ns INFO [00006211] Port=0 RD @01
6210.50ns INFO [00006212] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C
6210.50ns INFO [00006212] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83
6210.50ns INFO [00006212] Port=1 RD @03
6211.50ns INFO [00006213] * RD COMPARE * port=0 adr=01 act=771F0F6D3CC9CDFA82 exp=771F0F6D3CC9CDFA82
6212.50ns INFO [00006214] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83
6212.50ns INFO [00006214] Port=0 WR @01=825D5FD8BB41C3EB8F
6212.50ns INFO [00006214] Port=1 RD @00
6213.50ns INFO [00006215] Port=1 RD @00
6214.50ns INFO [00006216] * RD COMPARE * port=1 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5
6214.50ns INFO [00006216] Port=0 WR @02=A1B78047D412F255EB
6214.50ns INFO [00006216] Port=1 RD @04
6215.50ns INFO [00006217] * RD COMPARE * port=1 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5
6215.50ns INFO [00006217] Port=0 WR @07=CC59E9B65B914071A6
6215.50ns INFO [00006217] Port=0 RD @00
6216.50ns INFO [00006218] * RD COMPARE * port=1 adr=04 act=2E5919109912161735 exp=2E5919109912161735
6216.50ns INFO [00006218] Port=0 RD @05
6217.50ns INFO [00006219] * RD COMPARE * port=0 adr=00 act=F86444F4AF6CE3DEE5 exp=F86444F4AF6CE3DEE5
6218.50ns INFO [00006220] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6
6218.50ns INFO [00006220] Port=0 WR @04=C2EAD91EDBE6F3D65C
6221.50ns INFO [00006223] Port=0 WR @00=6592441E2222B7ADB0
6221.50ns INFO [00006223] Port=0 RD @01
6221.50ns INFO [00006223] Port=1 RD @04
6222.50ns INFO [00006224] Port=0 RD @05
6222.50ns INFO [00006224] Port=1 RD @05
6223.50ns INFO [00006225] * RD COMPARE * port=0 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F
6223.50ns INFO [00006225] * RD COMPARE * port=1 adr=04 act=C2EAD91EDBE6F3D65C exp=C2EAD91EDBE6F3D65C
6223.50ns INFO [00006225] Port=0 WR @04=96919E1D5AC3C5D6D9
6223.50ns INFO [00006225] Port=0 RD @01
6223.50ns INFO [00006225] Port=1 RD @01
6224.50ns INFO [00006226] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6
6224.50ns INFO [00006226] * RD COMPARE * port=1 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6
6224.50ns INFO [00006226] Port=0 RD @05
6224.50ns INFO [00006226] Port=1 RD @00
6225.50ns INFO [00006227] * RD COMPARE * port=0 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F
6225.50ns INFO [00006227] * RD COMPARE * port=1 adr=01 act=825D5FD8BB41C3EB8F exp=825D5FD8BB41C3EB8F
6225.50ns INFO [00006227] Port=1 RD @03
6226.50ns INFO [00006228] * RD COMPARE * port=0 adr=05 act=81A53C1C4E76EDBBA6 exp=81A53C1C4E76EDBBA6
6226.50ns INFO [00006228] * RD COMPARE * port=1 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0
6227.50ns INFO [00006229] * RD COMPARE * port=1 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83
6227.50ns INFO [00006229] Port=0 WR @05=C28E3368422B47D1E6
6227.50ns INFO [00006229] Port=0 RD @06
6228.50ns INFO [00006230] Port=0 RD @00
6228.50ns INFO [00006230] Port=1 RD @00
6229.50ns INFO [00006231] * RD COMPARE * port=0 adr=06 act=E344335EF76A7A9E2C exp=E344335EF76A7A9E2C
6229.50ns INFO [00006231] Port=0 WR @06=568130506161996406
6229.50ns INFO [00006231] Port=1 RD @02
6230.50ns INFO [00006232] * RD COMPARE * port=0 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0
6230.50ns INFO [00006232] * RD COMPARE * port=1 adr=00 act=6592441E2222B7ADB0 exp=6592441E2222B7ADB0
6231.50ns INFO [00006233] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB
6231.50ns INFO [00006233] Port=1 RD @07
6232.50ns INFO [00006234] Port=0 RD @03
6232.50ns INFO [00006234] Port=1 RD @06
6233.50ns INFO [00006235] * RD COMPARE * port=1 adr=07 act=CC59E9B65B914071A6 exp=CC59E9B65B914071A6
6233.50ns INFO [00006235] Port=0 WR @00=9309ACF2D5E69D4C20
6233.50ns INFO [00006235] Port=0 RD @05
6234.50ns INFO [00006236] * RD COMPARE * port=0 adr=03 act=7D31130A77D17A2E83 exp=7D31130A77D17A2E83
6234.50ns INFO [00006236] * RD COMPARE * port=1 adr=06 act=568130506161996406 exp=568130506161996406
6234.50ns INFO [00006236] Port=0 WR @00=63779EF0D65B9BD7FD
6235.50ns INFO [00006237] * RD COMPARE * port=0 adr=05 act=C28E3368422B47D1E6 exp=C28E3368422B47D1E6
6236.50ns INFO [00006238] Port=0 WR @00=3C15B1EAD26B09FF1A
6236.50ns INFO [00006238] Port=1 RD @05
6237.50ns INFO [00006239] Port=1 RD @02
6238.50ns INFO [00006240] * RD COMPARE * port=1 adr=05 act=C28E3368422B47D1E6 exp=C28E3368422B47D1E6
6238.50ns INFO [00006240] Port=1 RD @02
6239.50ns INFO [00006241] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB
6239.50ns INFO [00006241] Port=0 WR @05=BAA529A06B657C8EAB
6239.50ns INFO [00006241] Port=1 RD @06
6240.50ns INFO [00006242] * RD COMPARE * port=1 adr=02 act=A1B78047D412F255EB exp=A1B78047D412F255EB
6240.50ns INFO [00006242] Port=0 WR @04=AB53F68423106B0775
6241.50ns INFO [00006243] * RD COMPARE * port=1 adr=06 act=568130506161996406 exp=568130506161996406
6241.50ns INFO [00006243] Port=0 WR @07=B1E61B673FE6DC9F95
6241.50ns INFO [00006243] Port=0 RD @06
6242.50ns INFO [00006244] Port=1 RD @00
6243.50ns INFO [00006245] * RD COMPARE * port=0 adr=06 act=568130506161996406 exp=568130506161996406
6243.50ns INFO [00006245] Port=0 WR @07=AF7E9BABEE88C3151F
6244.50ns INFO [00006246] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A
6244.50ns INFO [00006246] Port=0 WR @05=8419ED0710BDDD8EF1
6244.50ns INFO [00006246] Port=1 RD @04
6246.50ns INFO [00006248] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6247.50ns INFO [00006249] Port=1 RD @00
6248.50ns INFO [00006250] Port=0 RD @06
6249.50ns INFO [00006251] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A
6249.50ns INFO [00006251] Port=0 RD @05
6249.50ns INFO [00006251] Port=1 RD @00
6250.50ns INFO [00006252] * RD COMPARE * port=0 adr=06 act=568130506161996406 exp=568130506161996406
6250.50ns INFO [00006252] Port=0 RD @04
6251.50ns INFO [00006253] * RD COMPARE * port=0 adr=05 act=8419ED0710BDDD8EF1 exp=8419ED0710BDDD8EF1
6251.50ns INFO [00006253] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A
6252.50ns INFO [00006254] * RD COMPARE * port=0 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6252.50ns INFO [00006254] Port=1 RD @00
6253.50ns INFO [00006255] Port=0 WR @03=9F26B9EB709FA2B4B1
6254.50ns INFO [00006256] * RD COMPARE * port=1 adr=00 act=3C15B1EAD26B09FF1A exp=3C15B1EAD26B09FF1A
6254.50ns INFO [00006256] Port=0 WR @03=0AD305035F7FA40311
6254.50ns INFO [00006256] Port=0 RD @05
6255.50ns INFO [00006257] Port=0 WR @01=AFE930AFB6F0D1E747
6256.50ns INFO [00006258] * RD COMPARE * port=0 adr=05 act=8419ED0710BDDD8EF1 exp=8419ED0710BDDD8EF1
6256.50ns INFO [00006258] Port=0 WR @06=0D60E0DCFAD86BA4ED
6256.50ns INFO [00006258] Port=1 RD @07
6257.50ns INFO [00006259] Port=0 WR @02=46181DD03052FDAC92
6257.50ns INFO [00006259] Port=0 RD @03
6257.50ns INFO [00006259] Port=1 RD @01
6258.50ns INFO [00006260] * RD COMPARE * port=1 adr=07 act=AF7E9BABEE88C3151F exp=AF7E9BABEE88C3151F
6258.50ns INFO [00006260] Port=0 WR @03=663F787B5FC1F57085
6258.50ns INFO [00006260] Port=1 RD @07
6259.50ns INFO [00006261] * RD COMPARE * port=0 adr=03 act=0AD305035F7FA40311 exp=0AD305035F7FA40311
6259.50ns INFO [00006261] * RD COMPARE * port=1 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747
6259.50ns INFO [00006261] Port=0 RD @04
6260.50ns INFO [00006262] * RD COMPARE * port=1 adr=07 act=AF7E9BABEE88C3151F exp=AF7E9BABEE88C3151F
6260.50ns INFO [00006262] Port=0 WR @05=C872AB8DA3B1DA45CD
6261.50ns INFO [00006263] * RD COMPARE * port=0 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6261.50ns INFO [00006263] Port=0 WR @00=D6BF84AECEA2200AC0
6262.50ns INFO [00006264] Port=0 WR @07=A3A2FC884FDC1622D7
6262.50ns INFO [00006264] Port=0 RD @05
6262.50ns INFO [00006264] Port=1 RD @06
6263.50ns INFO [00006265] Port=0 WR @03=6FDD1FD2E1F952E7C5
6263.50ns INFO [00006265] Port=0 RD @02
6263.50ns INFO [00006265] Port=1 RD @00
6264.50ns INFO [00006266] * RD COMPARE * port=0 adr=05 act=C872AB8DA3B1DA45CD exp=C872AB8DA3B1DA45CD
6264.50ns INFO [00006266] * RD COMPARE * port=1 adr=06 act=0D60E0DCFAD86BA4ED exp=0D60E0DCFAD86BA4ED
6265.50ns INFO [00006267] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6265.50ns INFO [00006267] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6265.50ns INFO [00006267] Port=1 RD @00
6266.50ns INFO [00006268] Port=0 WR @06=0581BEC860A9323118
6266.50ns INFO [00006268] Port=0 RD @00
6266.50ns INFO [00006268] Port=1 RD @01
6267.50ns INFO [00006269] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6267.50ns INFO [00006269] Port=1 RD @07
6268.50ns INFO [00006270] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6268.50ns INFO [00006270] * RD COMPARE * port=1 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747
6268.50ns INFO [00006270] Port=0 WR @03=EB4D99CC40CE53F716
6268.50ns INFO [00006270] Port=0 RD @01
6268.50ns INFO [00006270] Port=1 RD @04
6269.50ns INFO [00006271] * RD COMPARE * port=1 adr=07 act=A3A2FC884FDC1622D7 exp=A3A2FC884FDC1622D7
6269.50ns INFO [00006271] Port=0 WR @07=CA75773F0EE7A86B16
6269.50ns INFO [00006271] Port=0 RD @00
6269.50ns INFO [00006271] Port=1 RD @04
6270.50ns INFO [00006272] * RD COMPARE * port=0 adr=01 act=AFE930AFB6F0D1E747 exp=AFE930AFB6F0D1E747
6270.50ns INFO [00006272] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6270.50ns INFO [00006272] Port=0 WR @05=DFAA8AA05815861346
6270.50ns INFO [00006272] Port=0 RD @00
6271.50ns INFO [00006273] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6271.50ns INFO [00006273] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6271.50ns INFO [00006273] Port=1 RD @04
6272.50ns INFO [00006274] * RD COMPARE * port=0 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6272.50ns INFO [00006274] Port=1 RD @02
6273.50ns INFO [00006275] * RD COMPARE * port=1 adr=04 act=AB53F68423106B0775 exp=AB53F68423106B0775
6274.50ns INFO [00006276] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6274.50ns INFO [00006276] Port=0 WR @01=FCD3EB904ED2F3C99B
6274.50ns INFO [00006276] Port=0 RD @02
6275.50ns INFO [00006277] Port=0 RD @05
6276.50ns INFO [00006278] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6276.50ns INFO [00006278] Port=1 RD @00
6277.50ns INFO [00006279] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346
6278.50ns INFO [00006280] * RD COMPARE * port=1 adr=00 act=D6BF84AECEA2200AC0 exp=D6BF84AECEA2200AC0
6279.50ns INFO [00006281] Port=1 RD @01
6280.50ns INFO [00006282] Port=0 RD @06
6281.50ns INFO [00006283] * RD COMPARE * port=1 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B
6281.50ns INFO [00006283] Port=1 RD @01
6282.50ns INFO [00006284] * RD COMPARE * port=0 adr=06 act=0581BEC860A9323118 exp=0581BEC860A9323118
6282.50ns INFO [00006284] Port=0 WR @06=BF62B64AC2B189DE89
6282.50ns INFO [00006284] Port=0 RD @07
6282.50ns INFO [00006284] Port=1 RD @07
6283.50ns INFO [00006285] * RD COMPARE * port=1 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B
6283.50ns INFO [00006285] Port=0 WR @04=1B8580B407CC4A988C
6283.50ns INFO [00006285] Port=0 RD @05
6283.50ns INFO [00006285] Port=1 RD @07
6284.50ns INFO [00006286] * RD COMPARE * port=0 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16
6284.50ns INFO [00006286] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16
6284.50ns INFO [00006286] Port=0 WR @04=3865A9FF0BF234C9FF
6284.50ns INFO [00006286] Port=0 RD @01
6285.50ns INFO [00006287] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346
6285.50ns INFO [00006287] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16
6285.50ns INFO [00006287] Port=0 WR @01=C86DE5D4698224D765
6285.50ns INFO [00006287] Port=1 RD @07
6286.50ns INFO [00006288] * RD COMPARE * port=0 adr=01 act=FCD3EB904ED2F3C99B exp=FCD3EB904ED2F3C99B
6286.50ns INFO [00006288] Port=0 WR @06=C05204BD05C793038E
6286.50ns INFO [00006288] Port=0 RD @01
6286.50ns INFO [00006288] Port=1 RD @03
6287.50ns INFO [00006289] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16
6287.50ns INFO [00006289] Port=0 WR @00=DBD6A8FECBD12ABCD2
6287.50ns INFO [00006289] Port=0 RD @03
6288.50ns INFO [00006290] * RD COMPARE * port=0 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765
6288.50ns INFO [00006290] * RD COMPARE * port=1 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716
6288.50ns INFO [00006290] Port=0 RD @04
6288.50ns INFO [00006290] Port=1 RD @06
6289.50ns INFO [00006291] * RD COMPARE * port=0 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716
6289.50ns INFO [00006291] Port=0 RD @05
6289.50ns INFO [00006291] Port=1 RD @07
6290.50ns INFO [00006292] * RD COMPARE * port=0 adr=04 act=3865A9FF0BF234C9FF exp=3865A9FF0BF234C9FF
6290.50ns INFO [00006292] * RD COMPARE * port=1 adr=06 act=C05204BD05C793038E exp=C05204BD05C793038E
6290.50ns INFO [00006292] Port=0 RD @01
6290.50ns INFO [00006292] Port=1 RD @02
6291.50ns INFO [00006293] * RD COMPARE * port=0 adr=05 act=DFAA8AA05815861346 exp=DFAA8AA05815861346
6291.50ns INFO [00006293] * RD COMPARE * port=1 adr=07 act=CA75773F0EE7A86B16 exp=CA75773F0EE7A86B16
6291.50ns INFO [00006293] Port=0 WR @04=8212EF54782C2AD896
6292.50ns INFO [00006294] * RD COMPARE * port=0 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765
6292.50ns INFO [00006294] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6292.50ns INFO [00006294] Port=1 RD @01
6294.50ns INFO [00006296] * RD COMPARE * port=1 adr=01 act=C86DE5D4698224D765 exp=C86DE5D4698224D765
6294.50ns INFO [00006296] Port=0 WR @05=4F143356B8EDA121A2
6294.50ns INFO [00006296] Port=0 RD @02
6295.50ns INFO [00006297] Port=0 RD @06
6296.50ns INFO [00006298] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6296.50ns INFO [00006298] Port=0 RD @03
6296.50ns INFO [00006298] Port=1 RD @03
6297.50ns INFO [00006299] * RD COMPARE * port=0 adr=06 act=C05204BD05C793038E exp=C05204BD05C793038E
6298.00ns INFO [00006300] [00006300] ...tick...
6298.50ns INFO [00006300] * RD COMPARE * port=0 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716
6298.50ns INFO [00006300] * RD COMPARE * port=1 adr=03 act=EB4D99CC40CE53F716 exp=EB4D99CC40CE53F716
6298.50ns INFO [00006300] Port=0 WR @06=0615B7271BC7CE75AA
6298.50ns INFO [00006300] Port=0 RD @00
6299.50ns INFO [00006301] Port=0 WR @01=A65DB63CB0D78857EF
6299.50ns INFO [00006301] Port=1 RD @05
6300.50ns INFO [00006302] * RD COMPARE * port=0 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2
6301.50ns INFO [00006303] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2
6301.50ns INFO [00006303] Port=0 WR @03=88F8042604FD09BFCB
6301.50ns INFO [00006303] Port=0 RD @06
6302.50ns INFO [00006304] Port=1 RD @00
6303.50ns INFO [00006305] * RD COMPARE * port=0 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6303.50ns INFO [00006305] Port=0 WR @07=1F3695213ACD4A2E02
6303.50ns INFO [00006305] Port=1 RD @06
6304.50ns INFO [00006306] * RD COMPARE * port=1 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2
6304.50ns INFO [00006306] Port=1 RD @07
6305.50ns INFO [00006307] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6305.50ns INFO [00006307] Port=0 WR @03=3352740CFC0E704C01
6305.50ns INFO [00006307] Port=0 RD @01
6306.50ns INFO [00006308] * RD COMPARE * port=1 adr=07 act=1F3695213ACD4A2E02 exp=1F3695213ACD4A2E02
6306.50ns INFO [00006308] Port=1 RD @05
6307.50ns INFO [00006309] * RD COMPARE * port=0 adr=01 act=A65DB63CB0D78857EF exp=A65DB63CB0D78857EF
6307.50ns INFO [00006309] Port=0 RD @06
6307.50ns INFO [00006309] Port=1 RD @02
6308.50ns INFO [00006310] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2
6308.50ns INFO [00006310] Port=0 WR @07=056B32FA35D469CE32
6308.50ns INFO [00006310] Port=0 RD @00
6308.50ns INFO [00006310] Port=1 RD @00
6309.50ns INFO [00006311] * RD COMPARE * port=0 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6309.50ns INFO [00006311] * RD COMPARE * port=1 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6309.50ns INFO [00006311] Port=0 WR @04=97DF34D19578F32900
6309.50ns INFO [00006311] Port=0 RD @01
6309.50ns INFO [00006311] Port=1 RD @06
6310.50ns INFO [00006312] * RD COMPARE * port=0 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2
6310.50ns INFO [00006312] * RD COMPARE * port=1 adr=00 act=DBD6A8FECBD12ABCD2 exp=DBD6A8FECBD12ABCD2
6310.50ns INFO [00006312] Port=0 WR @03=87E08705EEA5291ADB
6310.50ns INFO [00006312] Port=0 RD @02
6311.50ns INFO [00006313] * RD COMPARE * port=0 adr=01 act=A65DB63CB0D78857EF exp=A65DB63CB0D78857EF
6311.50ns INFO [00006313] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6311.50ns INFO [00006313] Port=0 WR @01=83EA5EE977767BA941
6311.50ns INFO [00006313] Port=0 RD @05
6312.50ns INFO [00006314] * RD COMPARE * port=0 adr=02 act=46181DD03052FDAC92 exp=46181DD03052FDAC92
6313.50ns INFO [00006315] * RD COMPARE * port=0 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2
6313.50ns INFO [00006315] Port=0 RD @04
6315.50ns INFO [00006317] * RD COMPARE * port=0 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900
6315.50ns INFO [00006317] Port=0 WR @02=36EFD645EF74194301
6315.50ns INFO [00006317] Port=1 RD @06
6316.50ns INFO [00006318] Port=0 WR @00=0D983F126341FFA306
6316.50ns INFO [00006318] Port=1 RD @06
6317.50ns INFO [00006319] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6317.50ns INFO [00006319] Port=0 WR @00=133C0FB3419A20A120
6318.50ns INFO [00006320] * RD COMPARE * port=1 adr=06 act=0615B7271BC7CE75AA exp=0615B7271BC7CE75AA
6318.50ns INFO [00006320] Port=0 WR @06=6679A6D6BFFD9865B4
6318.50ns INFO [00006320] Port=1 RD @01
6319.50ns INFO [00006321] Port=0 WR @07=786064B4AE805EC45D
6319.50ns INFO [00006321] Port=0 RD @04
6320.50ns INFO [00006322] * RD COMPARE * port=1 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6320.50ns INFO [00006322] Port=0 RD @05
6321.50ns INFO [00006323] * RD COMPARE * port=0 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900
6321.50ns INFO [00006323] Port=0 WR @02=AA96713476C48E62E8
6322.50ns INFO [00006324] * RD COMPARE * port=0 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2
6323.50ns INFO [00006325] Port=1 RD @02
6324.50ns INFO [00006326] Port=0 WR @06=DDD70794FAB6774B47
6324.50ns INFO [00006326] Port=0 RD @01
6324.50ns INFO [00006326] Port=1 RD @04
6325.50ns INFO [00006327] * RD COMPARE * port=1 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8
6325.50ns INFO [00006327] Port=0 WR @04=55D84BEB21441A7EC6
6325.50ns INFO [00006327] Port=0 RD @03
6326.50ns INFO [00006328] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6326.50ns INFO [00006328] * RD COMPARE * port=1 adr=04 act=97DF34D19578F32900 exp=97DF34D19578F32900
6326.50ns INFO [00006328] Port=0 RD @02
6327.50ns INFO [00006329] * RD COMPARE * port=0 adr=03 act=87E08705EEA5291ADB exp=87E08705EEA5291ADB
6327.50ns INFO [00006329] Port=1 RD @05
6328.50ns INFO [00006330] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8
6328.50ns INFO [00006330] Port=0 RD @02
6329.50ns INFO [00006331] * RD COMPARE * port=1 adr=05 act=4F143356B8EDA121A2 exp=4F143356B8EDA121A2
6329.50ns INFO [00006331] Port=0 WR @05=5A79A96EFCF6F10E11
6329.50ns INFO [00006331] Port=1 RD @00
6330.50ns INFO [00006332] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8
6330.50ns INFO [00006332] Port=0 RD @02
6331.50ns INFO [00006333] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120
6332.50ns INFO [00006334] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8
6332.50ns INFO [00006334] Port=0 WR @05=CFF5A3D5F469533672
6332.50ns INFO [00006334] Port=1 RD @03
6333.50ns INFO [00006335] Port=0 WR @05=A1CE5C647F6CEE6B75
6334.50ns INFO [00006336] * RD COMPARE * port=1 adr=03 act=87E08705EEA5291ADB exp=87E08705EEA5291ADB
6334.50ns INFO [00006336] Port=0 WR @04=1618C8C2500C4C0882
6334.50ns INFO [00006336] Port=1 RD @05
6335.50ns INFO [00006337] Port=0 RD @02
6336.50ns INFO [00006338] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75
6336.50ns INFO [00006338] Port=0 WR @02=998C99983AC5346B79
6336.50ns INFO [00006338] Port=1 RD @06
6337.50ns INFO [00006339] * RD COMPARE * port=0 adr=02 act=AA96713476C48E62E8 exp=AA96713476C48E62E8
6337.50ns INFO [00006339] Port=1 RD @02
6338.50ns INFO [00006340] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6338.50ns INFO [00006340] Port=0 WR @04=9E0C4317264224761A
6339.50ns INFO [00006341] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6340.50ns INFO [00006342] Port=0 RD @07
6340.50ns INFO [00006342] Port=1 RD @06
6342.50ns INFO [00006344] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6342.50ns INFO [00006344] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6342.50ns INFO [00006344] Port=0 RD @01
6342.50ns INFO [00006344] Port=1 RD @05
6344.50ns INFO [00006346] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6344.50ns INFO [00006346] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75
6344.50ns INFO [00006346] Port=1 RD @00
6345.50ns INFO [00006347] Port=0 RD @04
6345.50ns INFO [00006347] Port=1 RD @06
6346.50ns INFO [00006348] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120
6346.50ns INFO [00006348] Port=0 WR @03=6B02653CDE210F7206
6347.50ns INFO [00006349] * RD COMPARE * port=0 adr=04 act=9E0C4317264224761A exp=9E0C4317264224761A
6347.50ns INFO [00006349] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6347.50ns INFO [00006349] Port=1 RD @06
6349.50ns INFO [00006351] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6349.50ns INFO [00006351] Port=1 RD @00
6351.50ns INFO [00006353] * RD COMPARE * port=1 adr=00 act=133C0FB3419A20A120 exp=133C0FB3419A20A120
6352.50ns INFO [00006354] Port=1 RD @06
6353.50ns INFO [00006355] Port=0 WR @00=1982E90750B383F294
6353.50ns INFO [00006355] Port=0 RD @05
6353.50ns INFO [00006355] Port=1 RD @02
6354.50ns INFO [00006356] * RD COMPARE * port=1 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6354.50ns INFO [00006356] Port=0 RD @00
6354.50ns INFO [00006356] Port=1 RD @02
6355.50ns INFO [00006357] * RD COMPARE * port=0 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75
6355.50ns INFO [00006357] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6355.50ns INFO [00006357] Port=0 WR @03=5EE51DF24FC9B4E7EB
6355.50ns INFO [00006357] Port=0 RD @04
6355.50ns INFO [00006357] Port=1 RD @05
6356.50ns INFO [00006358] * RD COMPARE * port=0 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294
6356.50ns INFO [00006358] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6356.50ns INFO [00006358] Port=0 WR @05=A1580B85E7B40ADE6A
6356.50ns INFO [00006358] Port=0 RD @01
6357.50ns INFO [00006359] * RD COMPARE * port=0 adr=04 act=9E0C4317264224761A exp=9E0C4317264224761A
6357.50ns INFO [00006359] * RD COMPARE * port=1 adr=05 act=A1CE5C647F6CEE6B75 exp=A1CE5C647F6CEE6B75
6357.50ns INFO [00006359] Port=0 RD @02
6358.50ns INFO [00006360] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6358.50ns INFO [00006360] Port=1 RD @03
6359.50ns INFO [00006361] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6359.50ns INFO [00006361] Port=0 WR @04=CEEF99A1AA73383736
6359.50ns INFO [00006361] Port=0 RD @06
6360.50ns INFO [00006362] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB
6361.50ns INFO [00006363] * RD COMPARE * port=0 adr=06 act=DDD70794FAB6774B47 exp=DDD70794FAB6774B47
6361.50ns INFO [00006363] Port=1 RD @00
6362.50ns INFO [00006364] Port=1 RD @07
6363.50ns INFO [00006365] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294
6363.50ns INFO [00006365] Port=1 RD @00
6364.50ns INFO [00006366] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6364.50ns INFO [00006366] Port=0 RD @01
6364.50ns INFO [00006366] Port=1 RD @07
6365.50ns INFO [00006367] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294
6365.50ns INFO [00006367] Port=0 WR @06=DD8068A47858BAAD14
6365.50ns INFO [00006367] Port=1 RD @04
6366.50ns INFO [00006368] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6366.50ns INFO [00006368] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6366.50ns INFO [00006368] Port=1 RD @00
6367.50ns INFO [00006369] * RD COMPARE * port=1 adr=04 act=CEEF99A1AA73383736 exp=CEEF99A1AA73383736
6367.50ns INFO [00006369] Port=1 RD @06
6368.50ns INFO [00006370] * RD COMPARE * port=1 adr=00 act=1982E90750B383F294 exp=1982E90750B383F294
6369.50ns INFO [00006371] * RD COMPARE * port=1 adr=06 act=DD8068A47858BAAD14 exp=DD8068A47858BAAD14
6369.50ns INFO [00006371] Port=0 WR @04=7ED4DC7A1CEA70A2E2
6369.50ns INFO [00006371] Port=0 RD @02
6369.50ns INFO [00006371] Port=1 RD @03
6370.50ns INFO [00006372] Port=0 RD @03
6370.50ns INFO [00006372] Port=1 RD @01
6371.50ns INFO [00006373] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6371.50ns INFO [00006373] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB
6371.50ns INFO [00006373] Port=1 RD @02
6372.50ns INFO [00006374] * RD COMPARE * port=0 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB
6372.50ns INFO [00006374] * RD COMPARE * port=1 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6372.50ns INFO [00006374] Port=0 RD @01
6373.50ns INFO [00006375] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6373.50ns INFO [00006375] Port=1 RD @04
6374.50ns INFO [00006376] * RD COMPARE * port=0 adr=01 act=83EA5EE977767BA941 exp=83EA5EE977767BA941
6375.50ns INFO [00006377] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6375.50ns INFO [00006377] Port=1 RD @04
6376.50ns INFO [00006378] Port=0 RD @07
6376.50ns INFO [00006378] Port=1 RD @05
6377.50ns INFO [00006379] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6377.50ns INFO [00006379] Port=0 RD @07
6378.50ns INFO [00006380] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6378.50ns INFO [00006380] * RD COMPARE * port=1 adr=05 act=A1580B85E7B40ADE6A exp=A1580B85E7B40ADE6A
6378.50ns INFO [00006380] Port=0 WR @01=89C3BE7A31999D7C45
6379.50ns INFO [00006381] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6379.50ns INFO [00006381] Port=0 RD @06
6379.50ns INFO [00006381] Port=1 RD @07
6380.50ns INFO [00006382] Port=0 WR @05=B0332A5383CE16BCAF
6381.50ns INFO [00006383] * RD COMPARE * port=0 adr=06 act=DD8068A47858BAAD14 exp=DD8068A47858BAAD14
6381.50ns INFO [00006383] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6381.50ns INFO [00006383] Port=0 WR @06=D5BBB109A1F4F5627A
6381.50ns INFO [00006383] Port=0 RD @04
6381.50ns INFO [00006383] Port=1 RD @02
6382.50ns INFO [00006384] Port=0 WR @00=6D332D585437DB09D0
6382.50ns INFO [00006384] Port=1 RD @04
6383.50ns INFO [00006385] * RD COMPARE * port=0 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6383.50ns INFO [00006385] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6384.50ns INFO [00006386] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6384.50ns INFO [00006386] Port=0 RD @00
6385.50ns INFO [00006387] Port=0 RD @00
6386.50ns INFO [00006388] * RD COMPARE * port=0 adr=00 act=6D332D585437DB09D0 exp=6D332D585437DB09D0
6386.50ns INFO [00006388] Port=0 WR @05=CE9FCAF5A4C4512A45
6386.50ns INFO [00006388] Port=1 RD @02
6387.50ns INFO [00006389] * RD COMPARE * port=0 adr=00 act=6D332D585437DB09D0 exp=6D332D585437DB09D0
6387.50ns INFO [00006389] Port=0 RD @07
6388.50ns INFO [00006390] * RD COMPARE * port=1 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6388.50ns INFO [00006390] Port=0 WR @05=5F91CACA0C927E4CF9
6388.50ns INFO [00006390] Port=0 RD @03
6389.50ns INFO [00006391] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6389.50ns INFO [00006391] Port=0 WR @00=465B1D90867849C645
6389.50ns INFO [00006391] Port=0 RD @06
6390.50ns INFO [00006392] * RD COMPARE * port=0 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB
6390.50ns INFO [00006392] Port=0 RD @02
6391.50ns INFO [00006393] * RD COMPARE * port=0 adr=06 act=D5BBB109A1F4F5627A exp=D5BBB109A1F4F5627A
6392.50ns INFO [00006394] * RD COMPARE * port=0 adr=02 act=998C99983AC5346B79 exp=998C99983AC5346B79
6392.50ns INFO [00006394] Port=0 RD @07
6392.50ns INFO [00006394] Port=1 RD @04
6393.50ns INFO [00006395] Port=0 RD @01
6393.50ns INFO [00006395] Port=1 RD @06
6394.50ns INFO [00006396] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6394.50ns INFO [00006396] * RD COMPARE * port=1 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6394.50ns INFO [00006396] Port=0 WR @06=48E4A670378B583479
6395.50ns INFO [00006397] * RD COMPARE * port=0 adr=01 act=89C3BE7A31999D7C45 exp=89C3BE7A31999D7C45
6395.50ns INFO [00006397] * RD COMPARE * port=1 adr=06 act=D5BBB109A1F4F5627A exp=D5BBB109A1F4F5627A
6395.50ns INFO [00006397] Port=1 RD @03
6397.50ns INFO [00006399] * RD COMPARE * port=1 adr=03 act=5EE51DF24FC9B4E7EB exp=5EE51DF24FC9B4E7EB
6398.00ns INFO [00006400] [00006400] ...tick...
6398.50ns INFO [00006400] Port=0 RD @04
6399.50ns INFO [00006401] Port=0 RD @00
6400.50ns INFO [00006402] * RD COMPARE * port=0 adr=04 act=7ED4DC7A1CEA70A2E2 exp=7ED4DC7A1CEA70A2E2
6401.50ns INFO [00006403] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645
6403.50ns INFO [00006405] Port=0 WR @01=9204FA9E8C655E50CD
6403.50ns INFO [00006405] Port=0 RD @00
6404.50ns INFO [00006406] Port=0 WR @04=318F558B9DE6639125
6405.50ns INFO [00006407] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645
6405.50ns INFO [00006407] Port=0 WR @05=09A92E35A8E826ED2C
6407.50ns INFO [00006409] Port=0 RD @01
6407.50ns INFO [00006409] Port=1 RD @07
6409.50ns INFO [00006411] * RD COMPARE * port=0 adr=01 act=9204FA9E8C655E50CD exp=9204FA9E8C655E50CD
6409.50ns INFO [00006411] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6409.50ns INFO [00006411] Port=0 WR @06=4EDEFB8B713EEEE4AF
6409.50ns INFO [00006411] Port=0 RD @01
6410.50ns INFO [00006412] Port=1 RD @05
6411.50ns INFO [00006413] * RD COMPARE * port=0 adr=01 act=9204FA9E8C655E50CD exp=9204FA9E8C655E50CD
6411.50ns INFO [00006413] Port=0 WR @06=4BA87DC9D458A9CA22
6411.50ns INFO [00006413] Port=0 RD @07
6412.50ns INFO [00006414] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C
6412.50ns INFO [00006414] Port=1 RD @04
6413.50ns INFO [00006415] * RD COMPARE * port=0 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6414.50ns INFO [00006416] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6415.50ns INFO [00006417] Port=0 RD @04
6415.50ns INFO [00006417] Port=1 RD @05
6417.50ns INFO [00006419] * RD COMPARE * port=0 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6417.50ns INFO [00006419] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C
6418.50ns INFO [00006420] Port=1 RD @04
6419.50ns INFO [00006421] Port=0 WR @02=16169BBCEB39B6A316
6419.50ns INFO [00006421] Port=0 RD @04
6420.50ns INFO [00006422] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6420.50ns INFO [00006422] Port=1 RD @07
6421.50ns INFO [00006423] * RD COMPARE * port=0 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6421.50ns INFO [00006423] Port=0 WR @01=CF20A9B0D8C7819F85
6421.50ns INFO [00006423] Port=1 RD @04
6422.50ns INFO [00006424] * RD COMPARE * port=1 adr=07 act=786064B4AE805EC45D exp=786064B4AE805EC45D
6423.50ns INFO [00006425] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6423.50ns INFO [00006425] Port=0 WR @07=52E2AE54AE648B8A61
6425.50ns INFO [00006427] Port=0 RD @00
6425.50ns INFO [00006427] Port=1 RD @00
6426.50ns INFO [00006428] Port=1 RD @05
6427.50ns INFO [00006429] * RD COMPARE * port=0 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645
6427.50ns INFO [00006429] * RD COMPARE * port=1 adr=00 act=465B1D90867849C645 exp=465B1D90867849C645
6427.50ns INFO [00006429] Port=1 RD @05
6428.50ns INFO [00006430] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C
6428.50ns INFO [00006430] Port=0 WR @05=D7F0635C1C56804BD8
6428.50ns INFO [00006430] Port=1 RD @04
6429.50ns INFO [00006431] * RD COMPARE * port=1 adr=05 act=09A92E35A8E826ED2C exp=09A92E35A8E826ED2C
6429.50ns INFO [00006431] Port=1 RD @02
6430.50ns INFO [00006432] * RD COMPARE * port=1 adr=04 act=318F558B9DE6639125 exp=318F558B9DE6639125
6431.50ns INFO [00006433] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6431.50ns INFO [00006433] Port=0 WR @00=0E66A5C21DD78A97ED
6432.50ns INFO [00006434] Port=0 WR @00=55FD5344096CF88E25
6432.50ns INFO [00006434] Port=1 RD @02
6433.50ns INFO [00006435] Port=0 WR @04=F2FADA42F5F4A50F8F
6434.50ns INFO [00006436] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6434.50ns INFO [00006436] Port=0 WR @00=7C8AB4A661EF402619
6434.50ns INFO [00006436] Port=0 RD @06
6434.50ns INFO [00006436] Port=1 RD @07
6436.50ns INFO [00006438] * RD COMPARE * port=0 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22
6436.50ns INFO [00006438] * RD COMPARE * port=1 adr=07 act=52E2AE54AE648B8A61 exp=52E2AE54AE648B8A61
6436.50ns INFO [00006438] Port=0 RD @02
6437.50ns INFO [00006439] Port=0 RD @05
6437.50ns INFO [00006439] Port=1 RD @07
6438.50ns INFO [00006440] * RD COMPARE * port=0 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6438.50ns INFO [00006440] Port=0 WR @07=752F633C2F29C22F6F
6439.50ns INFO [00006441] * RD COMPARE * port=0 adr=05 act=D7F0635C1C56804BD8 exp=D7F0635C1C56804BD8
6439.50ns INFO [00006441] * RD COMPARE * port=1 adr=07 act=52E2AE54AE648B8A61 exp=52E2AE54AE648B8A61
6439.50ns INFO [00006441] Port=1 RD @07
6440.50ns INFO [00006442] Port=0 WR @03=322CBC929E45C836DC
6440.50ns INFO [00006442] Port=0 RD @05
6441.50ns INFO [00006443] * RD COMPARE * port=1 adr=07 act=752F633C2F29C22F6F exp=752F633C2F29C22F6F
6442.50ns INFO [00006444] * RD COMPARE * port=0 adr=05 act=D7F0635C1C56804BD8 exp=D7F0635C1C56804BD8
6442.50ns INFO [00006444] Port=1 RD @03
6443.50ns INFO [00006445] Port=0 WR @05=2FD92C34129209EECA
6443.50ns INFO [00006445] Port=0 RD @02
6443.50ns INFO [00006445] Port=1 RD @00
6444.50ns INFO [00006446] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6444.50ns INFO [00006446] Port=0 RD @03
6444.50ns INFO [00006446] Port=1 RD @00
6445.50ns INFO [00006447] * RD COMPARE * port=0 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6445.50ns INFO [00006447] * RD COMPARE * port=1 adr=00 act=7C8AB4A661EF402619 exp=7C8AB4A661EF402619
6446.50ns INFO [00006448] * RD COMPARE * port=0 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6446.50ns INFO [00006448] * RD COMPARE * port=1 adr=00 act=7C8AB4A661EF402619 exp=7C8AB4A661EF402619
6446.50ns INFO [00006448] Port=0 WR @00=9C8AE9824F1DD549A8
6446.50ns INFO [00006448] Port=1 RD @03
6447.50ns INFO [00006449] Port=0 WR @04=E0621046FC3E379382
6447.50ns INFO [00006449] Port=0 RD @07
6447.50ns INFO [00006449] Port=1 RD @05
6448.50ns INFO [00006450] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6448.50ns INFO [00006450] Port=0 RD @00
6448.50ns INFO [00006450] Port=1 RD @02
6449.50ns INFO [00006451] * RD COMPARE * port=0 adr=07 act=752F633C2F29C22F6F exp=752F633C2F29C22F6F
6449.50ns INFO [00006451] * RD COMPARE * port=1 adr=05 act=2FD92C34129209EECA exp=2FD92C34129209EECA
6449.50ns INFO [00006451] Port=1 RD @04
6450.50ns INFO [00006452] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6450.50ns INFO [00006452] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6451.50ns INFO [00006453] * RD COMPARE * port=1 adr=04 act=E0621046FC3E379382 exp=E0621046FC3E379382
6452.50ns INFO [00006454] Port=0 RD @00
6452.50ns INFO [00006454] Port=1 RD @05
6453.50ns INFO [00006455] Port=1 RD @00
6454.50ns INFO [00006456] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6454.50ns INFO [00006456] * RD COMPARE * port=1 adr=05 act=2FD92C34129209EECA exp=2FD92C34129209EECA
6455.50ns INFO [00006457] * RD COMPARE * port=1 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6455.50ns INFO [00006457] Port=0 WR @07=188D495B7DFF336737
6455.50ns INFO [00006457] Port=0 RD @03
6455.50ns INFO [00006457] Port=1 RD @03
6456.50ns INFO [00006458] Port=1 RD @06
6457.50ns INFO [00006459] * RD COMPARE * port=0 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6457.50ns INFO [00006459] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6457.50ns INFO [00006459] Port=0 WR @05=F3FEF644F8C2AC679A
6457.50ns INFO [00006459] Port=0 RD @06
6457.50ns INFO [00006459] Port=1 RD @00
6458.50ns INFO [00006460] * RD COMPARE * port=1 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22
6458.50ns INFO [00006460] Port=0 WR @04=EA5150E0837F78A5AC
6459.50ns INFO [00006461] * RD COMPARE * port=0 adr=06 act=4BA87DC9D458A9CA22 exp=4BA87DC9D458A9CA22
6459.50ns INFO [00006461] * RD COMPARE * port=1 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6459.50ns INFO [00006461] Port=1 RD @03
6460.50ns INFO [00006462] Port=0 RD @00
6461.50ns INFO [00006463] * RD COMPARE * port=1 adr=03 act=322CBC929E45C836DC exp=322CBC929E45C836DC
6461.50ns INFO [00006463] Port=0 RD @00
6462.50ns INFO [00006464] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6463.50ns INFO [00006465] * RD COMPARE * port=0 adr=00 act=9C8AE9824F1DD549A8 exp=9C8AE9824F1DD549A8
6463.50ns INFO [00006465] Port=0 WR @03=0FD360CE4FBFE66DE0
6463.50ns INFO [00006465] Port=0 RD @07
6464.50ns INFO [00006466] Port=0 WR @06=38BC879ED649963755
6465.50ns INFO [00006467] * RD COMPARE * port=0 adr=07 act=188D495B7DFF336737 exp=188D495B7DFF336737
6465.50ns INFO [00006467] Port=0 WR @07=F97D6B0D355AD28D1C
6465.50ns INFO [00006467] Port=1 RD @01
6466.50ns INFO [00006468] Port=0 WR @00=75AD89656A1CB7A073
6466.50ns INFO [00006468] Port=0 RD @07
6467.50ns INFO [00006469] * RD COMPARE * port=1 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85
6468.50ns INFO [00006470] * RD COMPARE * port=0 adr=07 act=F97D6B0D355AD28D1C exp=F97D6B0D355AD28D1C
6468.50ns INFO [00006470] Port=1 RD @00
6469.50ns INFO [00006471] Port=0 RD @00
6470.50ns INFO [00006472] * RD COMPARE * port=1 adr=00 act=75AD89656A1CB7A073 exp=75AD89656A1CB7A073
6471.50ns INFO [00006473] * RD COMPARE * port=0 adr=00 act=75AD89656A1CB7A073 exp=75AD89656A1CB7A073
6471.50ns INFO [00006473] Port=1 RD @05
6472.50ns INFO [00006474] Port=0 WR @05=53F87C04C110F2404A
6472.50ns INFO [00006474] Port=1 RD @01
6473.50ns INFO [00006475] * RD COMPARE * port=1 adr=05 act=F3FEF644F8C2AC679A exp=F3FEF644F8C2AC679A
6473.50ns INFO [00006475] Port=0 WR @07=341AE95B8F8FF983F8
6473.50ns INFO [00006475] Port=0 RD @06
6473.50ns INFO [00006475] Port=1 RD @06
6474.50ns INFO [00006476] * RD COMPARE * port=1 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85
6474.50ns INFO [00006476] Port=0 WR @00=B32EEB2F74ABEAD7A3
6475.50ns INFO [00006477] * RD COMPARE * port=0 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755
6475.50ns INFO [00006477] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755
6475.50ns INFO [00006477] Port=0 RD @00
6477.50ns INFO [00006479] * RD COMPARE * port=0 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3
6478.50ns INFO [00006480] Port=1 RD @06
6479.50ns INFO [00006481] Port=1 RD @03
6480.50ns INFO [00006482] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755
6481.50ns INFO [00006483] * RD COMPARE * port=1 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0
6481.50ns INFO [00006483] Port=0 RD @07
6481.50ns INFO [00006483] Port=1 RD @04
6483.50ns INFO [00006485] * RD COMPARE * port=0 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8
6483.50ns INFO [00006485] * RD COMPARE * port=1 adr=04 act=EA5150E0837F78A5AC exp=EA5150E0837F78A5AC
6487.50ns INFO [00006489] Port=0 RD @01
6487.50ns INFO [00006489] Port=1 RD @06
6488.50ns INFO [00006490] Port=1 RD @06
6489.50ns INFO [00006491] * RD COMPARE * port=0 adr=01 act=CF20A9B0D8C7819F85 exp=CF20A9B0D8C7819F85
6489.50ns INFO [00006491] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755
6489.50ns INFO [00006491] Port=1 RD @02
6490.50ns INFO [00006492] * RD COMPARE * port=1 adr=06 act=38BC879ED649963755 exp=38BC879ED649963755
6491.50ns INFO [00006493] * RD COMPARE * port=1 adr=02 act=16169BBCEB39B6A316 exp=16169BBCEB39B6A316
6491.50ns INFO [00006493] Port=0 WR @05=3D6F5202BD5C9C2CB1
6491.50ns INFO [00006493] Port=1 RD @00
6492.50ns INFO [00006494] Port=1 RD @00
6493.50ns INFO [00006495] * RD COMPARE * port=1 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3
6494.50ns INFO [00006496] * RD COMPARE * port=1 adr=00 act=B32EEB2F74ABEAD7A3 exp=B32EEB2F74ABEAD7A3
6494.50ns INFO [00006496] Port=0 RD @03
6494.50ns INFO [00006496] Port=1 RD @07
6495.50ns INFO [00006497] Port=0 WR @05=C0AAC4DEF52925E3F0
6496.50ns INFO [00006498] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0
6496.50ns INFO [00006498] * RD COMPARE * port=1 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8
6496.50ns INFO [00006498] Port=0 WR @01=5DB69967309D512F4D
6496.50ns INFO [00006498] Port=0 RD @03
6497.50ns INFO [00006499] Port=0 WR @06=7DE4D69F49FC93F753
6498.00ns INFO [00006500] [00006500] ...tick...
6498.50ns INFO [00006500] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0
6498.50ns INFO [00006500] Port=0 WR @05=BEDE4D5FDC283B2CC8
6498.50ns INFO [00006500] Port=1 RD @07
6500.50ns INFO [00006502] * RD COMPARE * port=1 adr=07 act=341AE95B8F8FF983F8 exp=341AE95B8F8FF983F8
6500.50ns INFO [00006502] Port=1 RD @01
6502.50ns INFO [00006504] * RD COMPARE * port=1 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D
6502.50ns INFO [00006504] Port=0 WR @07=C3C5047F56B02FEF1D
6503.50ns INFO [00006505] Port=0 WR @04=DC59987413E237B670
6503.50ns INFO [00006505] Port=0 RD @03
6504.50ns INFO [00006506] Port=1 RD @05
6505.50ns INFO [00006507] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0
6506.50ns INFO [00006508] * RD COMPARE * port=1 adr=05 act=BEDE4D5FDC283B2CC8 exp=BEDE4D5FDC283B2CC8
6506.50ns INFO [00006508] Port=0 WR @00=023CB9B2D88A42DC9A
6506.50ns INFO [00006508] Port=0 RD @03
6506.50ns INFO [00006508] Port=1 RD @07
6508.50ns INFO [00006510] * RD COMPARE * port=0 adr=03 act=0FD360CE4FBFE66DE0 exp=0FD360CE4FBFE66DE0
6508.50ns INFO [00006510] * RD COMPARE * port=1 adr=07 act=C3C5047F56B02FEF1D exp=C3C5047F56B02FEF1D
6509.50ns INFO [00006511] Port=1 RD @01
6511.50ns INFO [00006513] * RD COMPARE * port=1 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D
6511.50ns INFO [00006513] Port=0 RD @01
6512.50ns INFO [00006514] Port=0 WR @05=898E27118A9E4D4B08
6513.50ns INFO [00006515] * RD COMPARE * port=0 adr=01 act=5DB69967309D512F4D exp=5DB69967309D512F4D
6513.50ns INFO [00006515] Port=1 RD @07
6514.50ns INFO [00006516] Port=1 RD @06
6515.50ns INFO [00006517] * RD COMPARE * port=1 adr=07 act=C3C5047F56B02FEF1D exp=C3C5047F56B02FEF1D
6515.50ns INFO [00006517] Port=0 WR @00=18CC5EB16097F1F089
6516.50ns INFO [00006518] * RD COMPARE * port=1 adr=06 act=7DE4D69F49FC93F753 exp=7DE4D69F49FC93F753
6516.50ns INFO [00006518] Port=0 WR @05=D2C617BB8668FB7160
6516.50ns INFO [00006518] Port=1 RD @04
6517.50ns INFO [00006519] Port=0 WR @01=D8774631DB17F1EE27
6518.50ns INFO [00006520] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6518.50ns INFO [00006520] Port=0 RD @00
6519.50ns INFO [00006521] Port=1 RD @01
6520.50ns INFO [00006522] * RD COMPARE * port=0 adr=00 act=18CC5EB16097F1F089 exp=18CC5EB16097F1F089
6520.50ns INFO [00006522] Port=0 WR @06=779DD809470A027D88
6521.50ns INFO [00006523] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27
6521.50ns INFO [00006523] Port=0 WR @07=B1DE91E8CF1F4BC10C
6521.50ns INFO [00006523] Port=0 RD @06
6523.50ns INFO [00006525] * RD COMPARE * port=0 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88
6523.50ns INFO [00006525] Port=0 WR @03=F3CC1FDB537D39DAF5
6523.50ns INFO [00006525] Port=1 RD @06
6524.50ns INFO [00006526] Port=0 RD @04
6525.50ns INFO [00006527] * RD COMPARE * port=1 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88
6525.50ns INFO [00006527] Port=0 WR @05=CC913E953A0D14BB7A
6525.50ns INFO [00006527] Port=1 RD @04
6526.50ns INFO [00006528] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6526.50ns INFO [00006528] Port=0 RD @05
6526.50ns INFO [00006528] Port=1 RD @00
6527.50ns INFO [00006529] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6527.50ns INFO [00006529] Port=0 WR @00=E28513F09F898FA223
6527.50ns INFO [00006529] Port=1 RD @05
6528.50ns INFO [00006530] * RD COMPARE * port=0 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A
6528.50ns INFO [00006530] * RD COMPARE * port=1 adr=00 act=18CC5EB16097F1F089 exp=18CC5EB16097F1F089
6528.50ns INFO [00006530] Port=1 RD @05
6529.50ns INFO [00006531] * RD COMPARE * port=1 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A
6529.50ns INFO [00006531] Port=0 WR @00=0918A01E8CCB1295C5
6529.50ns INFO [00006531] Port=0 RD @03
6529.50ns INFO [00006531] Port=1 RD @03
6530.50ns INFO [00006532] * RD COMPARE * port=1 adr=05 act=CC913E953A0D14BB7A exp=CC913E953A0D14BB7A
6531.50ns INFO [00006533] * RD COMPARE * port=0 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5
6531.50ns INFO [00006533] * RD COMPARE * port=1 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5
6531.50ns INFO [00006533] Port=0 RD @07
6533.50ns INFO [00006535] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C
6533.50ns INFO [00006535] Port=1 RD @01
6535.50ns INFO [00006537] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27
6535.50ns INFO [00006537] Port=0 RD @03
6536.50ns INFO [00006538] Port=0 WR @02=0A685F21F725407E3F
6536.50ns INFO [00006538] Port=1 RD @01
6537.50ns INFO [00006539] * RD COMPARE * port=0 adr=03 act=F3CC1FDB537D39DAF5 exp=F3CC1FDB537D39DAF5
6537.50ns INFO [00006539] Port=1 RD @04
6538.50ns INFO [00006540] * RD COMPARE * port=1 adr=01 act=D8774631DB17F1EE27 exp=D8774631DB17F1EE27
6539.50ns INFO [00006541] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6539.50ns INFO [00006541] Port=0 WR @05=58592C4621B83C9B0E
6540.50ns INFO [00006542] Port=0 WR @05=2533AE58EA3709DC0F
6540.50ns INFO [00006542] Port=0 RD @02
6540.50ns INFO [00006542] Port=1 RD @06
6542.50ns INFO [00006544] * RD COMPARE * port=0 adr=02 act=0A685F21F725407E3F exp=0A685F21F725407E3F
6542.50ns INFO [00006544] * RD COMPARE * port=1 adr=06 act=779DD809470A027D88 exp=779DD809470A027D88
6542.50ns INFO [00006544] Port=0 WR @01=AE69A4B116B78BEFA9
6542.50ns INFO [00006544] Port=1 RD @05
6544.50ns INFO [00006546] * RD COMPARE * port=1 adr=05 act=2533AE58EA3709DC0F exp=2533AE58EA3709DC0F
6544.50ns INFO [00006546] Port=0 WR @03=39343A4C218815FFE5
6544.50ns INFO [00006546] Port=0 RD @01
6545.50ns INFO [00006547] Port=0 WR @05=B144BB6AE5832BE310
6545.50ns INFO [00006547] Port=1 RD @02
6546.50ns INFO [00006548] * RD COMPARE * port=0 adr=01 act=AE69A4B116B78BEFA9 exp=AE69A4B116B78BEFA9
6546.50ns INFO [00006548] Port=1 RD @03
6547.50ns INFO [00006549] * RD COMPARE * port=1 adr=02 act=0A685F21F725407E3F exp=0A685F21F725407E3F
6547.50ns INFO [00006549] Port=1 RD @04
6548.50ns INFO [00006550] * RD COMPARE * port=1 adr=03 act=39343A4C218815FFE5 exp=39343A4C218815FFE5
6548.50ns INFO [00006550] Port=0 RD @07
6548.50ns INFO [00006550] Port=1 RD @05
6549.50ns INFO [00006551] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6550.50ns INFO [00006552] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C
6550.50ns INFO [00006552] * RD COMPARE * port=1 adr=05 act=B144BB6AE5832BE310 exp=B144BB6AE5832BE310
6550.50ns INFO [00006552] Port=0 WR @02=F3B7E7FFD002563569
6550.50ns INFO [00006552] Port=0 RD @00
6552.50ns INFO [00006554] * RD COMPARE * port=0 adr=00 act=0918A01E8CCB1295C5 exp=0918A01E8CCB1295C5
6552.50ns INFO [00006554] Port=1 RD @02
6554.50ns INFO [00006556] * RD COMPARE * port=1 adr=02 act=F3B7E7FFD002563569 exp=F3B7E7FFD002563569
6555.50ns INFO [00006557] Port=0 WR @00=596056EBB68E32E35B
6555.50ns INFO [00006557] Port=1 RD @04
6556.50ns INFO [00006558] Port=0 WR @02=597C331433436C3AEF
6557.50ns INFO [00006559] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6557.50ns INFO [00006559] Port=1 RD @00
6558.50ns INFO [00006560] Port=0 WR @00=A51D21866273492A8E
6558.50ns INFO [00006560] Port=0 RD @07
6558.50ns INFO [00006560] Port=1 RD @07
6559.50ns INFO [00006561] * RD COMPARE * port=1 adr=00 act=596056EBB68E32E35B exp=596056EBB68E32E35B
6559.50ns INFO [00006561] Port=0 WR @05=F0F01F0D66E217C606
6559.50ns INFO [00006561] Port=0 RD @00
6559.50ns INFO [00006561] Port=1 RD @04
6560.50ns INFO [00006562] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C
6560.50ns INFO [00006562] * RD COMPARE * port=1 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C
6560.50ns INFO [00006562] Port=0 WR @06=F4C3433658816DE18C
6561.50ns INFO [00006563] * RD COMPARE * port=0 adr=00 act=A51D21866273492A8E exp=A51D21866273492A8E
6561.50ns INFO [00006563] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6562.50ns INFO [00006564] Port=0 RD @04
6562.50ns INFO [00006564] Port=1 RD @01
6563.50ns INFO [00006565] Port=0 RD @06
6564.50ns INFO [00006566] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6564.50ns INFO [00006566] * RD COMPARE * port=1 adr=01 act=AE69A4B116B78BEFA9 exp=AE69A4B116B78BEFA9
6564.50ns INFO [00006566] Port=0 WR @03=B4E07050B51BB31A24
6565.50ns INFO [00006567] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6565.50ns INFO [00006567] Port=0 RD @04
6566.50ns INFO [00006568] Port=0 RD @07
6567.50ns INFO [00006569] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6567.50ns INFO [00006569] Port=0 WR @03=EE6CE77D58D6E51D67
6568.50ns INFO [00006570] * RD COMPARE * port=0 adr=07 act=B1DE91E8CF1F4BC10C exp=B1DE91E8CF1F4BC10C
6568.50ns INFO [00006570] Port=0 WR @00=5D46C9D601EF88A55C
6569.50ns INFO [00006571] Port=0 WR @02=4C9752340BC449E23D
6570.50ns INFO [00006572] Port=0 WR @00=F0B4AEBDD7ED680594
6570.50ns INFO [00006572] Port=1 RD @03
6571.50ns INFO [00006573] Port=0 WR @02=428A77AEAA0D8B64DF
6572.50ns INFO [00006574] * RD COMPARE * port=1 adr=03 act=EE6CE77D58D6E51D67 exp=EE6CE77D58D6E51D67
6572.50ns INFO [00006574] Port=0 WR @00=B584AFAF27F7D17B21
6572.50ns INFO [00006574] Port=0 RD @02
6573.50ns INFO [00006575] Port=1 RD @00
6574.50ns INFO [00006576] * RD COMPARE * port=0 adr=02 act=428A77AEAA0D8B64DF exp=428A77AEAA0D8B64DF
6575.50ns INFO [00006577] * RD COMPARE * port=1 adr=00 act=B584AFAF27F7D17B21 exp=B584AFAF27F7D17B21
6576.50ns INFO [00006578] Port=0 WR @02=B4F97EFCEBC8F67544
6577.50ns INFO [00006579] Port=0 WR @01=0CCB47D71874922BAA
6577.50ns INFO [00006579] Port=0 RD @04
6577.50ns INFO [00006579] Port=1 RD @02
6578.50ns INFO [00006580] Port=0 WR @07=FFD6016F47D699C9AF
6579.50ns INFO [00006581] * RD COMPARE * port=0 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6579.50ns INFO [00006581] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6579.50ns INFO [00006581] Port=1 RD @04
6580.50ns INFO [00006582] Port=0 RD @06
6581.50ns INFO [00006583] * RD COMPARE * port=1 adr=04 act=DC59987413E237B670 exp=DC59987413E237B670
6581.50ns INFO [00006583] Port=0 WR @07=979B22E9F2D03EBEA1
6581.50ns INFO [00006583] Port=0 RD @01
6581.50ns INFO [00006583] Port=1 RD @06
6582.50ns INFO [00006584] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6583.50ns INFO [00006585] * RD COMPARE * port=0 adr=01 act=0CCB47D71874922BAA exp=0CCB47D71874922BAA
6583.50ns INFO [00006585] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6583.50ns INFO [00006585] Port=0 RD @06
6584.50ns INFO [00006586] Port=0 RD @03
6585.50ns INFO [00006587] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6585.50ns INFO [00006587] Port=0 WR @03=55F31BC30CB111E526
6585.50ns INFO [00006587] Port=0 RD @06
6586.50ns INFO [00006588] * RD COMPARE * port=0 adr=03 act=EE6CE77D58D6E51D67 exp=EE6CE77D58D6E51D67
6586.50ns INFO [00006588] Port=1 RD @02
6587.50ns INFO [00006589] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6588.50ns INFO [00006590] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6588.50ns INFO [00006590] Port=0 RD @07
6590.50ns INFO [00006592] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6590.50ns INFO [00006592] Port=0 WR @04=4D9D36491884EB16C1
6590.50ns INFO [00006592] Port=0 RD @02
6591.50ns INFO [00006593] Port=1 RD @06
6592.50ns INFO [00006594] * RD COMPARE * port=0 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6592.50ns INFO [00006594] Port=0 RD @02
6593.50ns INFO [00006595] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6593.50ns INFO [00006595] Port=0 RD @00
6594.50ns INFO [00006596] * RD COMPARE * port=0 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6594.50ns INFO [00006596] Port=0 WR @00=8E60FFC2176384B5D2
6594.50ns INFO [00006596] Port=0 RD @06
6595.50ns INFO [00006597] * RD COMPARE * port=0 adr=00 act=B584AFAF27F7D17B21 exp=B584AFAF27F7D17B21
6596.50ns INFO [00006598] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6597.50ns INFO [00006599] Port=1 RD @00
6598.00ns INFO [00006600] [00006600] ...tick...
6598.50ns INFO [00006600] Port=0 WR @01=F5553D1A3402E5E026
6598.50ns INFO [00006600] Port=0 RD @07
6599.50ns INFO [00006601] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6599.50ns INFO [00006601] Port=0 RD @00
6600.50ns INFO [00006602] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6600.50ns INFO [00006602] Port=0 RD @05
6600.50ns INFO [00006602] Port=1 RD @02
6601.50ns INFO [00006603] * RD COMPARE * port=0 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6602.50ns INFO [00006604] * RD COMPARE * port=0 adr=05 act=F0F01F0D66E217C606 exp=F0F01F0D66E217C606
6602.50ns INFO [00006604] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6602.50ns INFO [00006604] Port=1 RD @04
6603.50ns INFO [00006605] Port=1 RD @00
6604.50ns INFO [00006606] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6605.50ns INFO [00006607] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6607.50ns INFO [00006609] Port=0 RD @00
6608.50ns INFO [00006610] Port=0 RD @07
6608.50ns INFO [00006610] Port=1 RD @02
6609.50ns INFO [00006611] * RD COMPARE * port=0 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6609.50ns INFO [00006611] Port=0 WR @03=91226B44A6CEC1C5BD
6609.50ns INFO [00006611] Port=0 RD @06
6610.50ns INFO [00006612] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6610.50ns INFO [00006612] * RD COMPARE * port=1 adr=02 act=B4F97EFCEBC8F67544 exp=B4F97EFCEBC8F67544
6610.50ns INFO [00006612] Port=0 WR @05=9918CC358B7BE1481C
6610.50ns INFO [00006612] Port=1 RD @07
6611.50ns INFO [00006613] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6611.50ns INFO [00006613] Port=0 WR @02=9719B1FA36AACCD92F
6612.50ns INFO [00006614] * RD COMPARE * port=1 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6613.50ns INFO [00006615] Port=1 RD @07
6614.50ns INFO [00006616] Port=0 RD @04
6614.50ns INFO [00006616] Port=1 RD @01
6615.50ns INFO [00006617] * RD COMPARE * port=1 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6615.50ns INFO [00006617] Port=0 RD @07
6616.50ns INFO [00006618] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6616.50ns INFO [00006618] * RD COMPARE * port=1 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026
6616.50ns INFO [00006618] Port=0 WR @07=D6CCAC765DCBB49B32
6616.50ns INFO [00006618] Port=1 RD @00
6617.50ns INFO [00006619] * RD COMPARE * port=0 adr=07 act=979B22E9F2D03EBEA1 exp=979B22E9F2D03EBEA1
6617.50ns INFO [00006619] Port=0 RD @06
6618.50ns INFO [00006620] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6618.50ns INFO [00006620] Port=0 WR @03=B919FFB437318F4CC8
6618.50ns INFO [00006620] Port=0 RD @02
6618.50ns INFO [00006620] Port=1 RD @06
6619.50ns INFO [00006621] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6619.50ns INFO [00006621] Port=0 WR @02=74BD65A0BEEB6ADD4D
6619.50ns INFO [00006621] Port=1 RD @06
6620.50ns INFO [00006622] * RD COMPARE * port=0 adr=02 act=9719B1FA36AACCD92F exp=9719B1FA36AACCD92F
6620.50ns INFO [00006622] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6620.50ns INFO [00006622] Port=1 RD @05
6621.50ns INFO [00006623] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6621.50ns INFO [00006623] Port=0 RD @05
6622.50ns INFO [00006624] * RD COMPARE * port=1 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C
6622.50ns INFO [00006624] Port=1 RD @01
6623.50ns INFO [00006625] * RD COMPARE * port=0 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C
6623.50ns INFO [00006625] Port=0 RD @02
6624.50ns INFO [00006626] * RD COMPARE * port=1 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026
6624.50ns INFO [00006626] Port=1 RD @03
6625.50ns INFO [00006627] * RD COMPARE * port=0 adr=02 act=74BD65A0BEEB6ADD4D exp=74BD65A0BEEB6ADD4D
6625.50ns INFO [00006627] Port=0 WR @02=15F4BA4B36EE127D6A
6625.50ns INFO [00006627] Port=0 RD @01
6625.50ns INFO [00006627] Port=1 RD @03
6626.50ns INFO [00006628] * RD COMPARE * port=1 adr=03 act=B919FFB437318F4CC8 exp=B919FFB437318F4CC8
6626.50ns INFO [00006628] Port=0 RD @05
6627.50ns INFO [00006629] * RD COMPARE * port=0 adr=01 act=F5553D1A3402E5E026 exp=F5553D1A3402E5E026
6627.50ns INFO [00006629] * RD COMPARE * port=1 adr=03 act=B919FFB437318F4CC8 exp=B919FFB437318F4CC8
6627.50ns INFO [00006629] Port=0 WR @01=F7FFEB1FC8BD4A6328
6627.50ns INFO [00006629] Port=1 RD @07
6628.50ns INFO [00006630] * RD COMPARE * port=0 adr=05 act=9918CC358B7BE1481C exp=9918CC358B7BE1481C
6628.50ns INFO [00006630] Port=0 WR @02=E042914D9AA8838D4D
6628.50ns INFO [00006630] Port=0 RD @04
6628.50ns INFO [00006630] Port=1 RD @07
6629.50ns INFO [00006631] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32
6630.50ns INFO [00006632] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6630.50ns INFO [00006632] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32
6630.50ns INFO [00006632] Port=0 WR @05=26180575B55BDC7C6A
6633.50ns INFO [00006635] Port=0 RD @04
6635.50ns INFO [00006637] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6635.50ns INFO [00006637] Port=0 RD @04
6636.50ns INFO [00006638] Port=0 RD @02
6637.50ns INFO [00006639] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6637.50ns INFO [00006639] Port=0 WR @01=C7BDB717635731D349
6638.50ns INFO [00006640] * RD COMPARE * port=0 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D
6640.50ns INFO [00006642] Port=0 WR @03=03587FE0398304092E
6640.50ns INFO [00006642] Port=1 RD @07
6641.50ns INFO [00006643] Port=0 WR @07=08854AD1969E290693
6642.50ns INFO [00006644] * RD COMPARE * port=1 adr=07 act=D6CCAC765DCBB49B32 exp=D6CCAC765DCBB49B32
6642.50ns INFO [00006644] Port=0 WR @07=83FD43014C2E94920A
6642.50ns INFO [00006644] Port=1 RD @02
6643.50ns INFO [00006645] Port=0 RD @03
6643.50ns INFO [00006645] Port=1 RD @00
6644.50ns INFO [00006646] * RD COMPARE * port=1 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D
6645.50ns INFO [00006647] * RD COMPARE * port=0 adr=03 act=03587FE0398304092E exp=03587FE0398304092E
6645.50ns INFO [00006647] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6645.50ns INFO [00006647] Port=0 WR @03=751937B1D4323F8EDB
6645.50ns INFO [00006647] Port=1 RD @00
6646.50ns INFO [00006648] Port=0 RD @02
6646.50ns INFO [00006648] Port=1 RD @05
6647.50ns INFO [00006649] * RD COMPARE * port=1 adr=00 act=8E60FFC2176384B5D2 exp=8E60FFC2176384B5D2
6647.50ns INFO [00006649] Port=0 RD @04
6648.50ns INFO [00006650] * RD COMPARE * port=0 adr=02 act=E042914D9AA8838D4D exp=E042914D9AA8838D4D
6648.50ns INFO [00006650] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A
6648.50ns INFO [00006650] Port=0 WR @02=2DD702128EEE6EA55F
6648.50ns INFO [00006650] Port=0 RD @04
6648.50ns INFO [00006650] Port=1 RD @04
6649.50ns INFO [00006651] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6649.50ns INFO [00006651] Port=0 WR @01=293F8E1DDDAEFD1A37
6650.50ns INFO [00006652] * RD COMPARE * port=0 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6650.50ns INFO [00006652] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6650.50ns INFO [00006652] Port=0 RD @01
6650.50ns INFO [00006652] Port=1 RD @06
6651.50ns INFO [00006653] Port=0 RD @06
6651.50ns INFO [00006653] Port=1 RD @01
6652.50ns INFO [00006654] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37
6652.50ns INFO [00006654] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6652.50ns INFO [00006654] Port=0 WR @00=58A63B3BB376B3C0A3
6652.50ns INFO [00006654] Port=1 RD @06
6653.50ns INFO [00006655] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6653.50ns INFO [00006655] * RD COMPARE * port=1 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37
6653.50ns INFO [00006655] Port=0 WR @07=51E7EDE5FAA9E7876A
6653.50ns INFO [00006655] Port=1 RD @04
6654.50ns INFO [00006656] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6655.50ns INFO [00006657] * RD COMPARE * port=1 adr=04 act=4D9D36491884EB16C1 exp=4D9D36491884EB16C1
6655.50ns INFO [00006657] Port=1 RD @03
6656.50ns INFO [00006658] Port=0 RD @01
6657.50ns INFO [00006659] * RD COMPARE * port=1 adr=03 act=751937B1D4323F8EDB exp=751937B1D4323F8EDB
6657.50ns INFO [00006659] Port=0 RD @01
6658.50ns INFO [00006660] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37
6659.50ns INFO [00006661] * RD COMPARE * port=0 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37
6659.50ns INFO [00006661] Port=0 WR @00=CAA5C16B4548822B4F
6660.50ns INFO [00006662] Port=0 RD @00
6661.50ns INFO [00006663] Port=1 RD @01
6662.50ns INFO [00006664] * RD COMPARE * port=0 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F
6662.50ns INFO [00006664] Port=1 RD @07
6663.50ns INFO [00006665] * RD COMPARE * port=1 adr=01 act=293F8E1DDDAEFD1A37 exp=293F8E1DDDAEFD1A37
6663.50ns INFO [00006665] Port=0 WR @01=A1DEBE0B5E572D88EB
6663.50ns INFO [00006665] Port=1 RD @07
6664.50ns INFO [00006666] * RD COMPARE * port=1 adr=07 act=51E7EDE5FAA9E7876A exp=51E7EDE5FAA9E7876A
6664.50ns INFO [00006666] Port=0 WR @02=19DA58CC6B71957175
6664.50ns INFO [00006666] Port=0 RD @06
6664.50ns INFO [00006666] Port=1 RD @06
6665.50ns INFO [00006667] * RD COMPARE * port=1 adr=07 act=51E7EDE5FAA9E7876A exp=51E7EDE5FAA9E7876A
6665.50ns INFO [00006667] Port=0 WR @07=2400216D75046E8A1E
6665.50ns INFO [00006667] Port=1 RD @01
6666.50ns INFO [00006668] * RD COMPARE * port=0 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6666.50ns INFO [00006668] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6666.50ns INFO [00006668] Port=0 WR @03=1DACD6F7A765455FFE
6666.50ns INFO [00006668] Port=1 RD @06
6667.50ns INFO [00006669] * RD COMPARE * port=1 adr=01 act=A1DEBE0B5E572D88EB exp=A1DEBE0B5E572D88EB
6667.50ns INFO [00006669] Port=0 WR @07=03B61E1C91C80BCA3A
6668.50ns INFO [00006670] * RD COMPARE * port=1 adr=06 act=F4C3433658816DE18C exp=F4C3433658816DE18C
6668.50ns INFO [00006670] Port=0 WR @04=2B8033CDBD1DEAA95B
6669.50ns INFO [00006671] Port=1 RD @02
6670.50ns INFO [00006672] Port=0 WR @04=F88343FB9F12333458
6670.50ns INFO [00006672] Port=1 RD @05
6671.50ns INFO [00006673] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175
6671.50ns INFO [00006673] Port=1 RD @05
6672.50ns INFO [00006674] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A
6672.50ns INFO [00006674] Port=0 WR @04=35CCB006679D1C9149
6673.50ns INFO [00006675] * RD COMPARE * port=1 adr=05 act=26180575B55BDC7C6A exp=26180575B55BDC7C6A
6673.50ns INFO [00006675] Port=0 WR @06=F27ABBF6D9CFBCD574
6673.50ns INFO [00006675] Port=0 RD @04
6674.50ns INFO [00006676] Port=1 RD @03
6675.50ns INFO [00006677] * RD COMPARE * port=0 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149
6675.50ns INFO [00006677] Port=0 RD @01
6675.50ns INFO [00006677] Port=1 RD @04
6676.50ns INFO [00006678] * RD COMPARE * port=1 adr=03 act=1DACD6F7A765455FFE exp=1DACD6F7A765455FFE
6676.50ns INFO [00006678] Port=0 WR @01=FB1CD38302230E4CDA
6676.50ns INFO [00006678] Port=0 RD @04
6676.50ns INFO [00006678] Port=1 RD @02
6677.50ns INFO [00006679] * RD COMPARE * port=0 adr=01 act=A1DEBE0B5E572D88EB exp=A1DEBE0B5E572D88EB
6677.50ns INFO [00006679] * RD COMPARE * port=1 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149
6678.50ns INFO [00006680] * RD COMPARE * port=0 adr=04 act=35CCB006679D1C9149 exp=35CCB006679D1C9149
6678.50ns INFO [00006680] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175
6679.50ns INFO [00006681] Port=0 WR @03=D543BAFFBA847F2FDD
6679.50ns INFO [00006681] Port=0 RD @01
6680.50ns INFO [00006682] Port=0 WR @04=2706D06BD2E2CC9291
6680.50ns INFO [00006682] Port=1 RD @07
6681.50ns INFO [00006683] * RD COMPARE * port=0 adr=01 act=FB1CD38302230E4CDA exp=FB1CD38302230E4CDA
6681.50ns INFO [00006683] Port=0 WR @06=5213721CA382E91A9D
6681.50ns INFO [00006683] Port=0 RD @02
6681.50ns INFO [00006683] Port=1 RD @04
6682.50ns INFO [00006684] * RD COMPARE * port=1 adr=07 act=03B61E1C91C80BCA3A exp=03B61E1C91C80BCA3A
6682.50ns INFO [00006684] Port=0 WR @06=65F9F5F62A6AF9C855
6682.50ns INFO [00006684] Port=1 RD @01
6683.50ns INFO [00006685] * RD COMPARE * port=0 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175
6683.50ns INFO [00006685] * RD COMPARE * port=1 adr=04 act=2706D06BD2E2CC9291 exp=2706D06BD2E2CC9291
6683.50ns INFO [00006685] Port=0 WR @04=4E7005DB8A89E5795A
6683.50ns INFO [00006685] Port=0 RD @02
6683.50ns INFO [00006685] Port=1 RD @02
6684.50ns INFO [00006686] * RD COMPARE * port=1 adr=01 act=FB1CD38302230E4CDA exp=FB1CD38302230E4CDA
6685.50ns INFO [00006687] * RD COMPARE * port=0 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175
6685.50ns INFO [00006687] * RD COMPARE * port=1 adr=02 act=19DA58CC6B71957175 exp=19DA58CC6B71957175
6685.50ns INFO [00006687] Port=0 RD @03
6687.50ns INFO [00006689] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD
6688.50ns INFO [00006690] Port=1 RD @07
6689.50ns INFO [00006691] Port=0 WR @05=3F90A2A407A86B603A
6690.50ns INFO [00006692] * RD COMPARE * port=1 adr=07 act=03B61E1C91C80BCA3A exp=03B61E1C91C80BCA3A
6690.50ns INFO [00006692] Port=0 WR @05=1BCF11F6A1E2F89446
6690.50ns INFO [00006692] Port=1 RD @03
6691.50ns INFO [00006693] Port=0 WR @06=A584A057E4551FAA51
6691.50ns INFO [00006693] Port=0 RD @03
6692.50ns INFO [00006694] * RD COMPARE * port=1 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD
6693.50ns INFO [00006695] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD
6693.50ns INFO [00006695] Port=0 RD @04
6694.50ns INFO [00006696] Port=1 RD @06
6695.50ns INFO [00006697] * RD COMPARE * port=0 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6696.50ns INFO [00006698] * RD COMPARE * port=1 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51
6696.50ns INFO [00006698] Port=0 WR @01=989DCBFC94F4107203
6696.50ns INFO [00006698] Port=0 RD @03
6697.50ns INFO [00006699] Port=0 WR @02=64C674F8CAEB64D945
6697.50ns INFO [00006699] Port=0 RD @05
6697.50ns INFO [00006699] Port=1 RD @00
6698.00ns INFO [00006700] [00006700] ...tick...
6698.50ns INFO [00006700] * RD COMPARE * port=0 adr=03 act=D543BAFFBA847F2FDD exp=D543BAFFBA847F2FDD
6698.50ns INFO [00006700] Port=1 RD @01
6699.50ns INFO [00006701] * RD COMPARE * port=0 adr=05 act=1BCF11F6A1E2F89446 exp=1BCF11F6A1E2F89446
6699.50ns INFO [00006701] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F
6699.50ns INFO [00006701] Port=1 RD @04
6700.50ns INFO [00006702] * RD COMPARE * port=1 adr=01 act=989DCBFC94F4107203 exp=989DCBFC94F4107203
6700.50ns INFO [00006702] Port=0 WR @03=FACD0D0455025B6D42
6701.50ns INFO [00006703] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6701.50ns INFO [00006703] Port=0 WR @01=0ECC6BE9230F664A17
6701.50ns INFO [00006703] Port=0 RD @06
6701.50ns INFO [00006703] Port=1 RD @03
6702.50ns INFO [00006704] Port=0 RD @04
6702.50ns INFO [00006704] Port=1 RD @06
6703.50ns INFO [00006705] * RD COMPARE * port=0 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51
6703.50ns INFO [00006705] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6703.50ns INFO [00006705] Port=0 WR @07=5A22D37AE34B314B99
6704.50ns INFO [00006706] * RD COMPARE * port=0 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6704.50ns INFO [00006706] * RD COMPARE * port=1 adr=06 act=A584A057E4551FAA51 exp=A584A057E4551FAA51
6704.50ns INFO [00006706] Port=0 WR @01=86D50F3B18F867D7F7
6704.50ns INFO [00006706] Port=1 RD @04
6705.50ns INFO [00006707] Port=0 WR @01=0728139E651202E3A0
6705.50ns INFO [00006707] Port=1 RD @05
6706.50ns INFO [00006708] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6707.50ns INFO [00006709] * RD COMPARE * port=1 adr=05 act=1BCF11F6A1E2F89446 exp=1BCF11F6A1E2F89446
6707.50ns INFO [00006709] Port=0 WR @05=62F590837BAC9628FC
6707.50ns INFO [00006709] Port=0 RD @02
6708.50ns INFO [00006710] Port=1 RD @01
6709.50ns INFO [00006711] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945
6709.50ns INFO [00006711] Port=0 RD @02
6709.50ns INFO [00006711] Port=1 RD @03
6710.50ns INFO [00006712] * RD COMPARE * port=1 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0
6710.50ns INFO [00006712] Port=1 RD @07
6711.50ns INFO [00006713] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945
6711.50ns INFO [00006713] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6712.50ns INFO [00006714] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6712.50ns INFO [00006714] Port=0 WR @06=62683BF4BF9A7BEEFE
6712.50ns INFO [00006714] Port=1 RD @00
6714.50ns INFO [00006716] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F
6714.50ns INFO [00006716] Port=0 RD @01
6714.50ns INFO [00006716] Port=1 RD @00
6716.50ns INFO [00006718] * RD COMPARE * port=0 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0
6716.50ns INFO [00006718] * RD COMPARE * port=1 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F
6716.50ns INFO [00006718] Port=1 RD @07
6717.50ns INFO [00006719] Port=1 RD @06
6718.50ns INFO [00006720] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6718.50ns INFO [00006720] Port=0 WR @05=F77985C4FCCDFD1700
6718.50ns INFO [00006720] Port=1 RD @04
6719.50ns INFO [00006721] * RD COMPARE * port=1 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE
6719.50ns INFO [00006721] Port=1 RD @04
6720.50ns INFO [00006722] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6720.50ns INFO [00006722] Port=0 RD @05
6720.50ns INFO [00006722] Port=1 RD @01
6721.50ns INFO [00006723] * RD COMPARE * port=1 adr=04 act=4E7005DB8A89E5795A exp=4E7005DB8A89E5795A
6721.50ns INFO [00006723] Port=0 WR @04=27C9D96C4819D128F1
6722.50ns INFO [00006724] * RD COMPARE * port=0 adr=05 act=F77985C4FCCDFD1700 exp=F77985C4FCCDFD1700
6722.50ns INFO [00006724] * RD COMPARE * port=1 adr=01 act=0728139E651202E3A0 exp=0728139E651202E3A0
6723.50ns INFO [00006725] Port=0 RD @00
6725.50ns INFO [00006727] * RD COMPARE * port=0 adr=00 act=CAA5C16B4548822B4F exp=CAA5C16B4548822B4F
6725.50ns INFO [00006727] Port=0 RD @06
6726.50ns INFO [00006728] Port=0 WR @01=81B89A667D64B74774
6726.50ns INFO [00006728] Port=1 RD @07
6727.50ns INFO [00006729] * RD COMPARE * port=0 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE
6727.50ns INFO [00006729] Port=0 RD @06
6728.50ns INFO [00006730] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6728.50ns INFO [00006730] Port=0 WR @06=9B2C797D18D0A7CADE
6729.50ns INFO [00006731] * RD COMPARE * port=0 adr=06 act=62683BF4BF9A7BEEFE exp=62683BF4BF9A7BEEFE
6729.50ns INFO [00006731] Port=1 RD @02
6730.50ns INFO [00006732] Port=0 WR @00=AFEBC77DF62C0E0580
6731.50ns INFO [00006733] * RD COMPARE * port=1 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945
6731.50ns INFO [00006733] Port=0 WR @05=C43AD6532EF7FA881B
6731.50ns INFO [00006733] Port=1 RD @03
6732.50ns INFO [00006734] Port=0 RD @01
6733.50ns INFO [00006735] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6733.50ns INFO [00006735] Port=0 WR @06=B7A930016AFA51F483
6733.50ns INFO [00006735] Port=0 RD @00
6734.50ns INFO [00006736] * RD COMPARE * port=0 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774
6734.50ns INFO [00006736] Port=0 RD @00
6734.50ns INFO [00006736] Port=1 RD @02
6735.50ns INFO [00006737] * RD COMPARE * port=0 adr=00 act=AFEBC77DF62C0E0580 exp=AFEBC77DF62C0E0580
6735.50ns INFO [00006737] Port=1 RD @05
6736.50ns INFO [00006738] * RD COMPARE * port=0 adr=00 act=AFEBC77DF62C0E0580 exp=AFEBC77DF62C0E0580
6736.50ns INFO [00006738] * RD COMPARE * port=1 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945
6737.50ns INFO [00006739] * RD COMPARE * port=1 adr=05 act=C43AD6532EF7FA881B exp=C43AD6532EF7FA881B
6737.50ns INFO [00006739] Port=1 RD @01
6739.50ns INFO [00006741] * RD COMPARE * port=1 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774
6739.50ns INFO [00006741] Port=0 WR @04=78538256846231983E
6739.50ns INFO [00006741] Port=0 RD @02
6739.50ns INFO [00006741] Port=1 RD @01
6740.50ns INFO [00006742] Port=1 RD @05
6741.50ns INFO [00006743] * RD COMPARE * port=0 adr=02 act=64C674F8CAEB64D945 exp=64C674F8CAEB64D945
6741.50ns INFO [00006743] * RD COMPARE * port=1 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774
6741.50ns INFO [00006743] Port=1 RD @04
6742.50ns INFO [00006744] * RD COMPARE * port=1 adr=05 act=C43AD6532EF7FA881B exp=C43AD6532EF7FA881B
6742.50ns INFO [00006744] Port=0 RD @01
6743.50ns INFO [00006745] * RD COMPARE * port=1 adr=04 act=78538256846231983E exp=78538256846231983E
6744.50ns INFO [00006746] * RD COMPARE * port=0 adr=01 act=81B89A667D64B74774 exp=81B89A667D64B74774
6745.50ns INFO [00006747] Port=0 WR @01=29F5EF5019E741218D
6746.50ns INFO [00006748] Port=0 WR @04=24C455EFE32AF9B6A2
6748.50ns INFO [00006750] Port=0 WR @04=A1777BE498A7C5CC8B
6749.50ns INFO [00006751] Port=0 WR @00=D3D726581AC72EC0DE
6749.50ns INFO [00006751] Port=0 RD @04
6749.50ns INFO [00006751] Port=1 RD @03
6750.50ns INFO [00006752] Port=1 RD @00
6751.50ns INFO [00006753] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B
6751.50ns INFO [00006753] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6751.50ns INFO [00006753] Port=1 RD @06
6752.50ns INFO [00006754] * RD COMPARE * port=1 adr=00 act=D3D726581AC72EC0DE exp=D3D726581AC72EC0DE
6752.50ns INFO [00006754] Port=0 RD @03
6752.50ns INFO [00006754] Port=1 RD @06
6753.50ns INFO [00006755] * RD COMPARE * port=1 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483
6753.50ns INFO [00006755] Port=0 WR @05=AB07C679D9C35FB4B4
6753.50ns INFO [00006755] Port=0 RD @04
6754.50ns INFO [00006756] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6754.50ns INFO [00006756] * RD COMPARE * port=1 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483
6754.50ns INFO [00006756] Port=0 RD @03
6755.50ns INFO [00006757] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B
6755.50ns INFO [00006757] Port=0 WR @02=DB87FF510FF9339103
6755.50ns INFO [00006757] Port=0 RD @04
6755.50ns INFO [00006757] Port=1 RD @07
6756.50ns INFO [00006758] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6756.50ns INFO [00006758] Port=0 WR @01=50D333013E83F05050
6756.50ns INFO [00006758] Port=0 RD @06
6756.50ns INFO [00006758] Port=1 RD @07
6757.50ns INFO [00006759] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B
6757.50ns INFO [00006759] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6757.50ns INFO [00006759] Port=0 WR @01=CC4C235735597E2677
6758.50ns INFO [00006760] * RD COMPARE * port=0 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483
6758.50ns INFO [00006760] * RD COMPARE * port=1 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6758.50ns INFO [00006760] Port=1 RD @01
6759.50ns INFO [00006761] Port=0 WR @02=46BBC6EDF05F5A8A69
6759.50ns INFO [00006761] Port=0 RD @06
6760.50ns INFO [00006762] * RD COMPARE * port=1 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677
6760.50ns INFO [00006762] Port=0 WR @02=AB01B499BC34AB9930
6760.50ns INFO [00006762] Port=1 RD @03
6761.50ns INFO [00006763] * RD COMPARE * port=0 adr=06 act=B7A930016AFA51F483 exp=B7A930016AFA51F483
6762.50ns INFO [00006764] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6762.50ns INFO [00006764] Port=0 WR @00=6F478C1719C4CA04CE
6762.50ns INFO [00006764] Port=0 RD @07
6763.50ns INFO [00006765] Port=0 WR @07=5EFB7033CD7C667199
6763.50ns INFO [00006765] Port=0 RD @05
6764.50ns INFO [00006766] * RD COMPARE * port=0 adr=07 act=5A22D37AE34B314B99 exp=5A22D37AE34B314B99
6764.50ns INFO [00006766] Port=0 WR @00=DA8645AE0439414C23
6764.50ns INFO [00006766] Port=1 RD @05
6765.50ns INFO [00006767] * RD COMPARE * port=0 adr=05 act=AB07C679D9C35FB4B4 exp=AB07C679D9C35FB4B4
6765.50ns INFO [00006767] Port=0 WR @05=CD6CDEF9C927DAB8B7
6765.50ns INFO [00006767] Port=1 RD @07
6766.50ns INFO [00006768] * RD COMPARE * port=1 adr=05 act=AB07C679D9C35FB4B4 exp=AB07C679D9C35FB4B4
6766.50ns INFO [00006768] Port=0 WR @06=7B383ADD9C50DBDE68
6766.50ns INFO [00006768] Port=0 RD @04
6767.50ns INFO [00006769] * RD COMPARE * port=1 adr=07 act=5EFB7033CD7C667199 exp=5EFB7033CD7C667199
6767.50ns INFO [00006769] Port=1 RD @03
6768.50ns INFO [00006770] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B
6768.50ns INFO [00006770] Port=0 RD @04
6768.50ns INFO [00006770] Port=1 RD @06
6769.50ns INFO [00006771] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6770.50ns INFO [00006772] * RD COMPARE * port=0 adr=04 act=A1777BE498A7C5CC8B exp=A1777BE498A7C5CC8B
6770.50ns INFO [00006772] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68
6770.50ns INFO [00006772] Port=0 RD @01
6770.50ns INFO [00006772] Port=1 RD @02
6772.50ns INFO [00006774] * RD COMPARE * port=0 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677
6772.50ns INFO [00006774] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6772.50ns INFO [00006774] Port=0 RD @00
6772.50ns INFO [00006774] Port=1 RD @06
6773.50ns INFO [00006775] Port=0 WR @04=29D8F3CD7AAEAB77A5
6773.50ns INFO [00006775] Port=1 RD @02
6774.50ns INFO [00006776] * RD COMPARE * port=0 adr=00 act=DA8645AE0439414C23 exp=DA8645AE0439414C23
6774.50ns INFO [00006776] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68
6775.50ns INFO [00006777] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6775.50ns INFO [00006777] Port=1 RD @07
6776.50ns INFO [00006778] Port=0 RD @03
6777.50ns INFO [00006779] * RD COMPARE * port=1 adr=07 act=5EFB7033CD7C667199 exp=5EFB7033CD7C667199
6777.50ns INFO [00006779] Port=0 RD @00
6777.50ns INFO [00006779] Port=1 RD @01
6778.50ns INFO [00006780] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6778.50ns INFO [00006780] Port=1 RD @02
6779.50ns INFO [00006781] * RD COMPARE * port=0 adr=00 act=DA8645AE0439414C23 exp=DA8645AE0439414C23
6779.50ns INFO [00006781] * RD COMPARE * port=1 adr=01 act=CC4C235735597E2677 exp=CC4C235735597E2677
6779.50ns INFO [00006781] Port=1 RD @05
6780.50ns INFO [00006782] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6780.50ns INFO [00006782] Port=0 WR @01=A73D712B1ABF5025AE
6780.50ns INFO [00006782] Port=0 RD @04
6780.50ns INFO [00006782] Port=1 RD @04
6781.50ns INFO [00006783] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7
6781.50ns INFO [00006783] Port=0 RD @06
6782.50ns INFO [00006784] * RD COMPARE * port=0 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5
6782.50ns INFO [00006784] * RD COMPARE * port=1 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5
6782.50ns INFO [00006784] Port=1 RD @05
6783.50ns INFO [00006785] * RD COMPARE * port=0 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68
6783.50ns INFO [00006785] Port=0 WR @00=22C22CB2DEC7A03399
6784.50ns INFO [00006786] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7
6784.50ns INFO [00006786] Port=0 WR @00=6631DE534B36F521C7
6785.50ns INFO [00006787] Port=0 RD @04
6785.50ns INFO [00006787] Port=1 RD @03
6786.50ns INFO [00006788] Port=1 RD @05
6787.50ns INFO [00006789] * RD COMPARE * port=0 adr=04 act=29D8F3CD7AAEAB77A5 exp=29D8F3CD7AAEAB77A5
6787.50ns INFO [00006789] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6787.50ns INFO [00006789] Port=0 WR @04=08CB6A31802A6EDB9E
6787.50ns INFO [00006789] Port=1 RD @03
6788.50ns INFO [00006790] * RD COMPARE * port=1 adr=05 act=CD6CDEF9C927DAB8B7 exp=CD6CDEF9C927DAB8B7
6788.50ns INFO [00006790] Port=0 WR @05=709F977BA4F963D762
6788.50ns INFO [00006790] Port=1 RD @06
6789.50ns INFO [00006791] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6789.50ns INFO [00006791] Port=0 RD @05
6789.50ns INFO [00006791] Port=1 RD @05
6790.50ns INFO [00006792] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68
6790.50ns INFO [00006792] Port=0 WR @07=5F1475FC08ED393930
6791.50ns INFO [00006793] * RD COMPARE * port=0 adr=05 act=709F977BA4F963D762 exp=709F977BA4F963D762
6791.50ns INFO [00006793] * RD COMPARE * port=1 adr=05 act=709F977BA4F963D762 exp=709F977BA4F963D762
6791.50ns INFO [00006793] Port=0 WR @05=B5F0A0AD62E5D93347
6791.50ns INFO [00006793] Port=1 RD @06
6793.50ns INFO [00006795] * RD COMPARE * port=1 adr=06 act=7B383ADD9C50DBDE68 exp=7B383ADD9C50DBDE68
6793.50ns INFO [00006795] Port=0 WR @01=208095CC6AEBB3F1F3
6793.50ns INFO [00006795] Port=1 RD @04
6794.50ns INFO [00006796] Port=0 WR @00=674E491429951FD11B
6794.50ns INFO [00006796] Port=0 RD @04
6794.50ns INFO [00006796] Port=1 RD @03
6795.50ns INFO [00006797] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E
6795.50ns INFO [00006797] Port=0 WR @05=9F3752CE6155818064
6796.50ns INFO [00006798] * RD COMPARE * port=0 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E
6796.50ns INFO [00006798] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6796.50ns INFO [00006798] Port=0 WR @01=0DEA6D50763E388C7C
6796.50ns INFO [00006798] Port=0 RD @03
6796.50ns INFO [00006798] Port=1 RD @04
6798.00ns INFO [00006800] [00006800] ...tick...
6798.50ns INFO [00006800] * RD COMPARE * port=0 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6798.50ns INFO [00006800] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E
6798.50ns INFO [00006800] Port=1 RD @03
6799.50ns INFO [00006801] Port=0 WR @06=721028E7F9E6863A41
6800.50ns INFO [00006802] * RD COMPARE * port=1 adr=03 act=FACD0D0455025B6D42 exp=FACD0D0455025B6D42
6800.50ns INFO [00006802] Port=0 RD @05
6802.50ns INFO [00006804] * RD COMPARE * port=0 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064
6802.50ns INFO [00006804] Port=0 RD @00
6804.50ns INFO [00006806] * RD COMPARE * port=0 adr=00 act=674E491429951FD11B exp=674E491429951FD11B
6804.50ns INFO [00006806] Port=1 RD @02
6805.50ns INFO [00006807] Port=0 RD @01
6805.50ns INFO [00006807] Port=1 RD @06
6806.50ns INFO [00006808] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6806.50ns INFO [00006808] Port=0 RD @06
6806.50ns INFO [00006808] Port=1 RD @07
6807.50ns INFO [00006809] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C
6807.50ns INFO [00006809] * RD COMPARE * port=1 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41
6807.50ns INFO [00006809] Port=0 WR @00=6AC5BF35D8AE59FD02
6807.50ns INFO [00006809] Port=1 RD @04
6808.50ns INFO [00006810] * RD COMPARE * port=0 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41
6808.50ns INFO [00006810] * RD COMPARE * port=1 adr=07 act=5F1475FC08ED393930 exp=5F1475FC08ED393930
6808.50ns INFO [00006810] Port=0 RD @07
6809.50ns INFO [00006811] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E
6809.50ns INFO [00006811] Port=0 RD @00
6810.50ns INFO [00006812] * RD COMPARE * port=0 adr=07 act=5F1475FC08ED393930 exp=5F1475FC08ED393930
6810.50ns INFO [00006812] Port=0 WR @03=54679FBA8077E08572
6810.50ns INFO [00006812] Port=0 RD @01
6810.50ns INFO [00006812] Port=1 RD @02
6811.50ns INFO [00006813] * RD COMPARE * port=0 adr=00 act=6AC5BF35D8AE59FD02 exp=6AC5BF35D8AE59FD02
6811.50ns INFO [00006813] Port=1 RD @04
6812.50ns INFO [00006814] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C
6812.50ns INFO [00006814] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6812.50ns INFO [00006814] Port=1 RD @01
6813.50ns INFO [00006815] * RD COMPARE * port=1 adr=04 act=08CB6A31802A6EDB9E exp=08CB6A31802A6EDB9E
6813.50ns INFO [00006815] Port=0 WR @07=73B4798BEA13E899A0
6813.50ns INFO [00006815] Port=0 RD @06
6814.50ns INFO [00006816] * RD COMPARE * port=1 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C
6814.50ns INFO [00006816] Port=0 WR @00=F104798CA15FF67E04
6815.50ns INFO [00006817] * RD COMPARE * port=0 adr=06 act=721028E7F9E6863A41 exp=721028E7F9E6863A41
6815.50ns INFO [00006817] Port=0 WR @06=44C24048D8DE2502D2
6815.50ns INFO [00006817] Port=0 RD @07
6816.50ns INFO [00006818] Port=0 WR @04=148D16459AA70E65F5
6816.50ns INFO [00006818] Port=0 RD @03
6817.50ns INFO [00006819] * RD COMPARE * port=0 adr=07 act=73B4798BEA13E899A0 exp=73B4798BEA13E899A0
6817.50ns INFO [00006819] Port=0 WR @00=E241CE496C8970257A
6818.50ns INFO [00006820] * RD COMPARE * port=0 adr=03 act=54679FBA8077E08572 exp=54679FBA8077E08572
6818.50ns INFO [00006820] Port=0 RD @07
6819.50ns INFO [00006821] Port=0 RD @00
6819.50ns INFO [00006821] Port=1 RD @05
6820.50ns INFO [00006822] * RD COMPARE * port=0 adr=07 act=73B4798BEA13E899A0 exp=73B4798BEA13E899A0
6820.50ns INFO [00006822] Port=0 RD @05
6821.50ns INFO [00006823] * RD COMPARE * port=0 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A
6821.50ns INFO [00006823] * RD COMPARE * port=1 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064
6821.50ns INFO [00006823] Port=0 RD @01
6821.50ns INFO [00006823] Port=1 RD @01
6822.50ns INFO [00006824] * RD COMPARE * port=0 adr=05 act=9F3752CE6155818064 exp=9F3752CE6155818064
6822.50ns INFO [00006824] Port=0 WR @01=6151265892797481D6
6822.50ns INFO [00006824] Port=1 RD @00
6823.50ns INFO [00006825] * RD COMPARE * port=0 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C
6823.50ns INFO [00006825] * RD COMPARE * port=1 adr=01 act=0DEA6D50763E388C7C exp=0DEA6D50763E388C7C
6823.50ns INFO [00006825] Port=1 RD @02
6824.50ns INFO [00006826] * RD COMPARE * port=1 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A
6824.50ns INFO [00006826] Port=0 WR @05=8CF9DB01CC1C965376
6824.50ns INFO [00006826] Port=1 RD @02
6825.50ns INFO [00006827] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6825.50ns INFO [00006827] Port=1 RD @01
6826.50ns INFO [00006828] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6827.50ns INFO [00006829] * RD COMPARE * port=1 adr=01 act=6151265892797481D6 exp=6151265892797481D6
6828.50ns INFO [00006830] Port=0 WR @05=21C7290AD1531AC2A2
6828.50ns INFO [00006830] Port=0 RD @03
6829.50ns INFO [00006831] Port=0 WR @01=6DD4CBB3443289F75B
6829.50ns INFO [00006831] Port=0 RD @06
6829.50ns INFO [00006831] Port=1 RD @05
6830.50ns INFO [00006832] * RD COMPARE * port=0 adr=03 act=54679FBA8077E08572 exp=54679FBA8077E08572
6830.50ns INFO [00006832] Port=0 WR @03=0B0BB7396C707B7866
6830.50ns INFO [00006832] Port=0 RD @02
6831.50ns INFO [00006833] * RD COMPARE * port=0 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6831.50ns INFO [00006833] * RD COMPARE * port=1 adr=05 act=21C7290AD1531AC2A2 exp=21C7290AD1531AC2A2
6831.50ns INFO [00006833] Port=0 WR @03=C94782656628E88F0F
6831.50ns INFO [00006833] Port=0 RD @01
6831.50ns INFO [00006833] Port=1 RD @01
6832.50ns INFO [00006834] * RD COMPARE * port=0 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6832.50ns INFO [00006834] Port=0 WR @01=C38115ED21D92D038D
6832.50ns INFO [00006834] Port=1 RD @05
6833.50ns INFO [00006835] * RD COMPARE * port=0 adr=01 act=6DD4CBB3443289F75B exp=6DD4CBB3443289F75B
6833.50ns INFO [00006835] * RD COMPARE * port=1 adr=01 act=6DD4CBB3443289F75B exp=6DD4CBB3443289F75B
6833.50ns INFO [00006835] Port=0 WR @03=54DCEE1A6E99A42734
6834.50ns INFO [00006836] * RD COMPARE * port=1 adr=05 act=21C7290AD1531AC2A2 exp=21C7290AD1531AC2A2
6834.50ns INFO [00006836] Port=1 RD @01
6835.50ns INFO [00006837] Port=0 WR @07=1AB923DBBEEF07C2E5
6835.50ns INFO [00006837] Port=0 RD @03
6835.50ns INFO [00006837] Port=1 RD @06
6836.50ns INFO [00006838] * RD COMPARE * port=1 adr=01 act=C38115ED21D92D038D exp=C38115ED21D92D038D
6837.50ns INFO [00006839] * RD COMPARE * port=0 adr=03 act=54DCEE1A6E99A42734 exp=54DCEE1A6E99A42734
6837.50ns INFO [00006839] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6837.50ns INFO [00006839] Port=1 RD @02
6839.50ns INFO [00006841] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6839.50ns INFO [00006841] Port=0 WR @04=3A95D65CE4D395D370
6840.50ns INFO [00006842] Port=1 RD @06
6841.50ns INFO [00006843] Port=1 RD @06
6842.50ns INFO [00006844] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6843.50ns INFO [00006845] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6843.50ns INFO [00006845] Port=0 WR @01=934EE0511655C44B6D
6843.50ns INFO [00006845] Port=0 RD @07
6843.50ns INFO [00006845] Port=1 RD @06
6844.50ns INFO [00006846] Port=1 RD @04
6845.50ns INFO [00006847] * RD COMPARE * port=0 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5
6845.50ns INFO [00006847] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6846.50ns INFO [00006848] * RD COMPARE * port=1 adr=04 act=3A95D65CE4D395D370 exp=3A95D65CE4D395D370
6846.50ns INFO [00006848] Port=0 RD @01
6846.50ns INFO [00006848] Port=1 RD @06
6848.50ns INFO [00006850] * RD COMPARE * port=0 adr=01 act=934EE0511655C44B6D exp=934EE0511655C44B6D
6848.50ns INFO [00006850] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6848.50ns INFO [00006850] Port=0 WR @04=D5AE798F018753F9E2
6848.50ns INFO [00006850] Port=0 RD @07
6849.50ns INFO [00006851] Port=0 WR @05=0D11D507773A96B1BF
6850.50ns INFO [00006852] * RD COMPARE * port=0 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5
6850.50ns INFO [00006852] Port=1 RD @00
6851.50ns INFO [00006853] Port=0 WR @05=0321B30BFB58E86A33
6852.50ns INFO [00006854] * RD COMPARE * port=1 adr=00 act=E241CE496C8970257A exp=E241CE496C8970257A
6853.50ns INFO [00006855] Port=0 WR @03=F2B73C3274A2696FDE
6853.50ns INFO [00006855] Port=0 RD @01
6855.50ns INFO [00006857] * RD COMPARE * port=0 adr=01 act=934EE0511655C44B6D exp=934EE0511655C44B6D
6855.50ns INFO [00006857] Port=0 WR @00=C888AA0283EBC3C2A9
6855.50ns INFO [00006857] Port=1 RD @04
6856.50ns INFO [00006858] Port=0 WR @04=CFBA22549A70C65DEE
6856.50ns INFO [00006858] Port=1 RD @02
6857.50ns INFO [00006859] * RD COMPARE * port=1 adr=04 act=D5AE798F018753F9E2 exp=D5AE798F018753F9E2
6857.50ns INFO [00006859] Port=1 RD @02
6858.50ns INFO [00006860] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6859.50ns INFO [00006861] * RD COMPARE * port=1 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6861.50ns INFO [00006863] Port=1 RD @04
6862.50ns INFO [00006864] Port=0 RD @02
6863.50ns INFO [00006865] * RD COMPARE * port=1 adr=04 act=CFBA22549A70C65DEE exp=CFBA22549A70C65DEE
6864.50ns INFO [00006866] * RD COMPARE * port=0 adr=02 act=AB01B499BC34AB9930 exp=AB01B499BC34AB9930
6865.50ns INFO [00006867] Port=1 RD @07
6867.50ns INFO [00006869] * RD COMPARE * port=1 adr=07 act=1AB923DBBEEF07C2E5 exp=1AB923DBBEEF07C2E5
6867.50ns INFO [00006869] Port=0 WR @03=6CFC34BE43D8540F3E
6869.50ns INFO [00006871] Port=0 RD @04
6870.50ns INFO [00006872] Port=1 RD @05
6871.50ns INFO [00006873] * RD COMPARE * port=0 adr=04 act=CFBA22549A70C65DEE exp=CFBA22549A70C65DEE
6871.50ns INFO [00006873] Port=0 WR @02=BE7E77598402664A31
6872.50ns INFO [00006874] * RD COMPARE * port=1 adr=05 act=0321B30BFB58E86A33 exp=0321B30BFB58E86A33
6874.50ns INFO [00006876] Port=0 WR @07=0E8EA80314F60134F6
6876.50ns INFO [00006878] Port=0 WR @01=D7FDBBB014161696BE
6876.50ns INFO [00006878] Port=0 RD @07
6877.50ns INFO [00006879] Port=0 WR @03=02DE3AEEA215DD2DAD
6877.50ns INFO [00006879] Port=0 RD @02
6878.50ns INFO [00006880] * RD COMPARE * port=0 adr=07 act=0E8EA80314F60134F6 exp=0E8EA80314F60134F6
6878.50ns INFO [00006880] Port=0 WR @07=4F0559707C1B4BEB43
6878.50ns INFO [00006880] Port=0 RD @01
6878.50ns INFO [00006880] Port=1 RD @06
6879.50ns INFO [00006881] * RD COMPARE * port=0 adr=02 act=BE7E77598402664A31 exp=BE7E77598402664A31
6879.50ns INFO [00006881] Port=0 WR @05=BC53ED04B0D150339C
6879.50ns INFO [00006881] Port=1 RD @03
6880.50ns INFO [00006882] * RD COMPARE * port=0 adr=01 act=D7FDBBB014161696BE exp=D7FDBBB014161696BE
6880.50ns INFO [00006882] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6880.50ns INFO [00006882] Port=0 WR @07=9997E78D83A916CB72
6880.50ns INFO [00006882] Port=1 RD @06
6881.50ns INFO [00006883] * RD COMPARE * port=1 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD
6881.50ns INFO [00006883] Port=0 WR @01=004E3EF61ED89ECE66
6881.50ns INFO [00006883] Port=1 RD @03
6882.50ns INFO [00006884] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6882.50ns INFO [00006884] Port=0 WR @02=87075E707705A3DBBF
6882.50ns INFO [00006884] Port=0 RD @07
6883.50ns INFO [00006885] * RD COMPARE * port=1 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD
6883.50ns INFO [00006885] Port=1 RD @05
6884.50ns INFO [00006886] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6885.50ns INFO [00006887] * RD COMPARE * port=1 adr=05 act=BC53ED04B0D150339C exp=BC53ED04B0D150339C
6885.50ns INFO [00006887] Port=0 WR @04=4A40A43F9F1FBEE5D7
6885.50ns INFO [00006887] Port=0 RD @02
6885.50ns INFO [00006887] Port=1 RD @06
6886.50ns INFO [00006888] Port=0 WR @06=6DDE03289AAC579569
6886.50ns INFO [00006888] Port=0 RD @01
6886.50ns INFO [00006888] Port=1 RD @05
6887.50ns INFO [00006889] * RD COMPARE * port=0 adr=02 act=87075E707705A3DBBF exp=87075E707705A3DBBF
6887.50ns INFO [00006889] * RD COMPARE * port=1 adr=06 act=44C24048D8DE2502D2 exp=44C24048D8DE2502D2
6888.50ns INFO [00006890] * RD COMPARE * port=0 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66
6888.50ns INFO [00006890] * RD COMPARE * port=1 adr=05 act=BC53ED04B0D150339C exp=BC53ED04B0D150339C
6888.50ns INFO [00006890] Port=0 WR @02=CD6AB5B3856E4576F4
6888.50ns INFO [00006890] Port=1 RD @01
6889.50ns INFO [00006891] Port=1 RD @01
6890.50ns INFO [00006892] * RD COMPARE * port=1 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66
6891.50ns INFO [00006893] * RD COMPARE * port=1 adr=01 act=004E3EF61ED89ECE66 exp=004E3EF61ED89ECE66
6891.50ns INFO [00006893] Port=0 WR @01=41C48CE9400F2E2CB6
6891.50ns INFO [00006893] Port=0 RD @04
6892.50ns INFO [00006894] Port=1 RD @00
6893.50ns INFO [00006895] * RD COMPARE * port=0 adr=04 act=4A40A43F9F1FBEE5D7 exp=4A40A43F9F1FBEE5D7
6893.50ns INFO [00006895] Port=0 WR @04=FD6CAB6FEE17E0340C
6893.50ns INFO [00006895] Port=0 RD @03
6893.50ns INFO [00006895] Port=1 RD @00
6894.50ns INFO [00006896] * RD COMPARE * port=1 adr=00 act=C888AA0283EBC3C2A9 exp=C888AA0283EBC3C2A9
6894.50ns INFO [00006896] Port=1 RD @04
6895.50ns INFO [00006897] * RD COMPARE * port=0 adr=03 act=02DE3AEEA215DD2DAD exp=02DE3AEEA215DD2DAD
6895.50ns INFO [00006897] * RD COMPARE * port=1 adr=00 act=C888AA0283EBC3C2A9 exp=C888AA0283EBC3C2A9
6896.50ns INFO [00006898] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6896.50ns INFO [00006898] Port=0 WR @05=1764428A9CA70201C0
6896.50ns INFO [00006898] Port=0 RD @04
6898.00ns INFO [00006900] [00006900] ...tick...
6898.50ns INFO [00006900] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6898.50ns INFO [00006900] Port=0 WR @05=D3E8A8EF6CD2AF1333
6899.50ns INFO [00006901] Port=0 WR @03=2BEAFD79CF6E61C2B7
6899.50ns INFO [00006901] Port=0 RD @04
6901.50ns INFO [00006903] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6901.50ns INFO [00006903] Port=1 RD @01
6903.50ns INFO [00006905] * RD COMPARE * port=1 adr=01 act=41C48CE9400F2E2CB6 exp=41C48CE9400F2E2CB6
6904.50ns INFO [00006906] Port=0 WR @00=835EA7CD22514ABD2A
6905.50ns INFO [00006907] Port=0 RD @06
6906.50ns INFO [00006908] Port=1 RD @02
6907.50ns INFO [00006909] * RD COMPARE * port=0 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569
6907.50ns INFO [00006909] Port=0 WR @01=7BEA4A3B6E5B4F4467
6907.50ns INFO [00006909] Port=0 RD @04
6908.50ns INFO [00006910] * RD COMPARE * port=1 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4
6908.50ns INFO [00006910] Port=0 WR @03=26BA75AF6BACF52A73
6908.50ns INFO [00006910] Port=1 RD @02
6909.50ns INFO [00006911] * RD COMPARE * port=0 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6909.50ns INFO [00006911] Port=0 RD @00
6909.50ns INFO [00006911] Port=1 RD @03
6910.50ns INFO [00006912] * RD COMPARE * port=1 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4
6910.50ns INFO [00006912] Port=0 RD @02
6911.50ns INFO [00006913] * RD COMPARE * port=0 adr=00 act=835EA7CD22514ABD2A exp=835EA7CD22514ABD2A
6911.50ns INFO [00006913] * RD COMPARE * port=1 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73
6912.50ns INFO [00006914] * RD COMPARE * port=0 adr=02 act=CD6AB5B3856E4576F4 exp=CD6AB5B3856E4576F4
6914.50ns INFO [00006916] Port=0 WR @00=3ECFFD8C37F29A9435
6914.50ns INFO [00006916] Port=0 RD @07
6915.50ns INFO [00006917] Port=0 RD @07
6915.50ns INFO [00006917] Port=1 RD @03
6916.50ns INFO [00006918] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6916.50ns INFO [00006918] Port=0 WR @02=EF0952CF8C9778E58F
6916.50ns INFO [00006918] Port=1 RD @05
6917.50ns INFO [00006919] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6917.50ns INFO [00006919] * RD COMPARE * port=1 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73
6917.50ns INFO [00006919] Port=1 RD @04
6918.50ns INFO [00006920] * RD COMPARE * port=1 adr=05 act=D3E8A8EF6CD2AF1333 exp=D3E8A8EF6CD2AF1333
6918.50ns INFO [00006920] Port=0 WR @01=859C3797CB218917B0
6919.50ns INFO [00006921] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6919.50ns INFO [00006921] Port=0 WR @05=D3BD15C695BFEB1EA0
6919.50ns INFO [00006921] Port=0 RD @07
6921.50ns INFO [00006923] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6921.50ns INFO [00006923] Port=1 RD @00
6923.50ns INFO [00006925] * RD COMPARE * port=1 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435
6923.50ns INFO [00006925] Port=1 RD @04
6924.50ns INFO [00006926] Port=1 RD @06
6925.50ns INFO [00006927] * RD COMPARE * port=1 adr=04 act=FD6CAB6FEE17E0340C exp=FD6CAB6FEE17E0340C
6925.50ns INFO [00006927] Port=0 WR @04=EEBB6AF932C37CF823
6926.50ns INFO [00006928] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569
6926.50ns INFO [00006928] Port=0 WR @02=E5C000AD81FD5EE8BD
6927.50ns INFO [00006929] Port=0 RD @05
6928.50ns INFO [00006930] Port=0 WR @02=4571D248F4E35C3583
6928.50ns INFO [00006930] Port=0 RD @07
6929.50ns INFO [00006931] * RD COMPARE * port=0 adr=05 act=D3BD15C695BFEB1EA0 exp=D3BD15C695BFEB1EA0
6930.50ns INFO [00006932] * RD COMPARE * port=0 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6930.50ns INFO [00006932] Port=0 WR @04=DB24B98437B747A413
6930.50ns INFO [00006932] Port=0 RD @03
6931.50ns INFO [00006933] Port=0 RD @02
6932.50ns INFO [00006934] * RD COMPARE * port=0 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73
6932.50ns INFO [00006934] Port=0 RD @00
6932.50ns INFO [00006934] Port=1 RD @07
6933.50ns INFO [00006935] * RD COMPARE * port=0 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583
6933.50ns INFO [00006935] Port=1 RD @02
6934.50ns INFO [00006936] * RD COMPARE * port=0 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435
6934.50ns INFO [00006936] * RD COMPARE * port=1 adr=07 act=9997E78D83A916CB72 exp=9997E78D83A916CB72
6935.50ns INFO [00006937] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583
6935.50ns INFO [00006937] Port=0 WR @04=608C1963075048E25E
6935.50ns INFO [00006937] Port=1 RD @06
6936.50ns INFO [00006938] Port=0 RD @00
6936.50ns INFO [00006938] Port=1 RD @06
6937.50ns INFO [00006939] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569
6937.50ns INFO [00006939] Port=0 RD @01
6938.50ns INFO [00006940] * RD COMPARE * port=0 adr=00 act=3ECFFD8C37F29A9435 exp=3ECFFD8C37F29A9435
6938.50ns INFO [00006940] * RD COMPARE * port=1 adr=06 act=6DDE03289AAC579569 exp=6DDE03289AAC579569
6938.50ns INFO [00006940] Port=0 RD @01
6939.50ns INFO [00006941] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0
6939.50ns INFO [00006941] Port=0 WR @00=7278F263220E137BE1
6939.50ns INFO [00006941] Port=0 RD @01
6940.50ns INFO [00006942] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0
6940.50ns INFO [00006942] Port=0 WR @05=9BED4F9C2EF789CBC4
6941.50ns INFO [00006943] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0
6941.50ns INFO [00006943] Port=0 WR @06=FFB745F16763EDD5C0
6942.50ns INFO [00006944] Port=0 RD @06
6943.50ns INFO [00006945] Port=0 RD @06
6944.50ns INFO [00006946] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0
6944.50ns INFO [00006946] Port=0 WR @00=952950C91E42EA88B0
6945.50ns INFO [00006947] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0
6945.50ns INFO [00006947] Port=1 RD @05
6946.50ns INFO [00006948] Port=1 RD @02
6947.50ns INFO [00006949] * RD COMPARE * port=1 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4
6947.50ns INFO [00006949] Port=0 RD @00
6947.50ns INFO [00006949] Port=1 RD @05
6948.50ns INFO [00006950] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583
6948.50ns INFO [00006950] Port=0 WR @07=E2FC739A59EF0E81F2
6949.50ns INFO [00006951] * RD COMPARE * port=0 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0
6949.50ns INFO [00006951] * RD COMPARE * port=1 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4
6949.50ns INFO [00006951] Port=0 RD @03
6949.50ns INFO [00006951] Port=1 RD @04
6950.50ns INFO [00006952] Port=0 WR @03=F3AC366B41EE78C9D9
6950.50ns INFO [00006952] Port=1 RD @06
6951.50ns INFO [00006953] * RD COMPARE * port=0 adr=03 act=26BA75AF6BACF52A73 exp=26BA75AF6BACF52A73
6951.50ns INFO [00006953] * RD COMPARE * port=1 adr=04 act=608C1963075048E25E exp=608C1963075048E25E
6951.50ns INFO [00006953] Port=1 RD @00
6952.50ns INFO [00006954] * RD COMPARE * port=1 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0
6952.50ns INFO [00006954] Port=0 WR @03=4A2EA240B5A7DF905F
6952.50ns INFO [00006954] Port=1 RD @07
6953.50ns INFO [00006955] * RD COMPARE * port=1 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0
6953.50ns INFO [00006955] Port=0 WR @03=8D0D5D3149D55FEBCA
6954.50ns INFO [00006956] * RD COMPARE * port=1 adr=07 act=E2FC739A59EF0E81F2 exp=E2FC739A59EF0E81F2
6954.50ns INFO [00006956] Port=0 RD @01
6954.50ns INFO [00006956] Port=1 RD @02
6955.50ns INFO [00006957] Port=0 WR @07=B7B9F0012246FAB7A7
6956.50ns INFO [00006958] * RD COMPARE * port=0 adr=01 act=859C3797CB218917B0 exp=859C3797CB218917B0
6956.50ns INFO [00006958] * RD COMPARE * port=1 adr=02 act=4571D248F4E35C3583 exp=4571D248F4E35C3583
6956.50ns INFO [00006958] Port=0 RD @04
6958.50ns INFO [00006960] * RD COMPARE * port=0 adr=04 act=608C1963075048E25E exp=608C1963075048E25E
6958.50ns INFO [00006960] Port=0 RD @00
6959.50ns INFO [00006961] Port=0 RD @06
6960.50ns INFO [00006962] * RD COMPARE * port=0 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0
6960.50ns INFO [00006962] Port=0 WR @04=AFD3198ACDBDF03A55
6961.50ns INFO [00006963] * RD COMPARE * port=0 adr=06 act=FFB745F16763EDD5C0 exp=FFB745F16763EDD5C0
6961.50ns INFO [00006963] Port=0 WR @03=26047D234BC9FD9DDC
6962.50ns INFO [00006964] Port=0 WR @01=4B56DBA7803618EED6
6962.50ns INFO [00006964] Port=1 RD @00
6963.50ns INFO [00006965] Port=0 RD @05
6964.50ns INFO [00006966] * RD COMPARE * port=1 adr=00 act=952950C91E42EA88B0 exp=952950C91E42EA88B0
6964.50ns INFO [00006966] Port=0 WR @02=37AC6470EDA0AC26EF
6965.50ns INFO [00006967] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4
6965.50ns INFO [00006967] Port=0 RD @05
6966.50ns INFO [00006968] Port=0 WR @07=A8D8B61BA15D5F71E2
6966.50ns INFO [00006968] Port=0 RD @01
6967.50ns INFO [00006969] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4
6967.50ns INFO [00006969] Port=0 WR @04=0F0C302EF3BE06D2D0
6968.50ns INFO [00006970] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6968.50ns INFO [00006970] Port=1 RD @02
6970.50ns INFO [00006972] * RD COMPARE * port=1 adr=02 act=37AC6470EDA0AC26EF exp=37AC6470EDA0AC26EF
6972.50ns INFO [00006974] Port=0 WR @00=D2C7135AA35285829A
6972.50ns INFO [00006974] Port=0 RD @03
6973.50ns INFO [00006975] Port=1 RD @01
6974.50ns INFO [00006976] * RD COMPARE * port=0 adr=03 act=26047D234BC9FD9DDC exp=26047D234BC9FD9DDC
6974.50ns INFO [00006976] Port=0 WR @00=0685D47AD2BAB4D9B0
6974.50ns INFO [00006976] Port=0 RD @05
6975.50ns INFO [00006977] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6975.50ns INFO [00006977] Port=0 WR @03=2AA0C284A4AB837703
6976.50ns INFO [00006978] * RD COMPARE * port=0 adr=05 act=9BED4F9C2EF789CBC4 exp=9BED4F9C2EF789CBC4
6976.50ns INFO [00006978] Port=0 WR @04=974E7A4475BEDFF702
6976.50ns INFO [00006978] Port=0 RD @03
6977.50ns INFO [00006979] Port=0 WR @02=94CB80EFBCC9265E13
6977.50ns INFO [00006979] Port=0 RD @04
6977.50ns INFO [00006979] Port=1 RD @03
6978.50ns INFO [00006980] * RD COMPARE * port=0 adr=03 act=2AA0C284A4AB837703 exp=2AA0C284A4AB837703
6978.50ns INFO [00006980] Port=0 RD @02
6979.50ns INFO [00006981] * RD COMPARE * port=0 adr=04 act=974E7A4475BEDFF702 exp=974E7A4475BEDFF702
6979.50ns INFO [00006981] * RD COMPARE * port=1 adr=03 act=2AA0C284A4AB837703 exp=2AA0C284A4AB837703
6979.50ns INFO [00006981] Port=0 RD @00
6980.50ns INFO [00006982] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13
6980.50ns INFO [00006982] Port=0 WR @05=A7CD9CD9303FBBEF5B
6981.50ns INFO [00006983] * RD COMPARE * port=0 adr=00 act=0685D47AD2BAB4D9B0 exp=0685D47AD2BAB4D9B0
6983.50ns INFO [00006985] Port=0 WR @04=560C6F86D68CA5FABF
6984.50ns INFO [00006986] Port=0 RD @01
6984.50ns INFO [00006986] Port=1 RD @01
6985.50ns INFO [00006987] Port=0 WR @04=28AFBF69BF0B2EF23C
6986.50ns INFO [00006988] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6986.50ns INFO [00006988] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6986.50ns INFO [00006988] Port=0 RD @07
6987.50ns INFO [00006989] Port=0 WR @06=F1B5EED5E963EFD4A2
6987.50ns INFO [00006989] Port=0 RD @02
6987.50ns INFO [00006989] Port=1 RD @04
6988.50ns INFO [00006990] * RD COMPARE * port=0 adr=07 act=A8D8B61BA15D5F71E2 exp=A8D8B61BA15D5F71E2
6988.50ns INFO [00006990] Port=0 RD @02
6989.50ns INFO [00006991] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13
6989.50ns INFO [00006991] * RD COMPARE * port=1 adr=04 act=28AFBF69BF0B2EF23C exp=28AFBF69BF0B2EF23C
6989.50ns INFO [00006991] Port=0 WR @02=2F59D768202F6E069F
6989.50ns INFO [00006991] Port=1 RD @00
6990.50ns INFO [00006992] * RD COMPARE * port=0 adr=02 act=94CB80EFBCC9265E13 exp=94CB80EFBCC9265E13
6991.50ns INFO [00006993] * RD COMPARE * port=1 adr=00 act=0685D47AD2BAB4D9B0 exp=0685D47AD2BAB4D9B0
6991.50ns INFO [00006993] Port=0 RD @01
6991.50ns INFO [00006993] Port=1 RD @01
6993.50ns INFO [00006995] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6993.50ns INFO [00006995] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
6993.50ns INFO [00006995] Port=0 WR @05=1C206C2D596CF909EA
6993.50ns INFO [00006995] Port=0 RD @06
6995.50ns INFO [00006997] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2
6997.50ns INFO [00006999] Port=0 WR @03=30A83CDDDDFEF0A863
6998.00ns INFO [00007000] [00007000] ...tick...
6998.50ns INFO [00007000] Port=0 WR @04=5329460F45E9B631A2
6999.50ns INFO [00007001] Port=0 WR @00=59FE4126D51C68674C
6999.50ns INFO [00007001] Port=0 RD @03
6999.50ns INFO [00007001] Port=1 RD @03
7000.50ns INFO [00007002] Port=0 RD @05
7001.50ns INFO [00007003] * RD COMPARE * port=0 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863
7001.50ns INFO [00007003] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863
7001.50ns INFO [00007003] Port=0 WR @04=65365F08642E42D5FD
7001.50ns INFO [00007003] Port=1 RD @00
7002.50ns INFO [00007004] * RD COMPARE * port=0 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA
7002.50ns INFO [00007004] Port=0 RD @02
7003.50ns INFO [00007005] * RD COMPARE * port=1 adr=00 act=59FE4126D51C68674C exp=59FE4126D51C68674C
7003.50ns INFO [00007005] Port=1 RD @05
7004.50ns INFO [00007006] * RD COMPARE * port=0 adr=02 act=2F59D768202F6E069F exp=2F59D768202F6E069F
7004.50ns INFO [00007006] Port=0 RD @03
7004.50ns INFO [00007006] Port=1 RD @05
7005.50ns INFO [00007007] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA
7005.50ns INFO [00007007] Port=0 WR @07=9156822B49538DE770
7006.50ns INFO [00007008] * RD COMPARE * port=0 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863
7006.50ns INFO [00007008] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA
7006.50ns INFO [00007008] Port=1 RD @03
7007.50ns INFO [00007009] Port=0 RD @06
7007.50ns INFO [00007009] Port=1 RD @02
7008.50ns INFO [00007010] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863
7009.50ns INFO [00007011] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2
7009.50ns INFO [00007011] * RD COMPARE * port=1 adr=02 act=2F59D768202F6E069F exp=2F59D768202F6E069F
7010.50ns INFO [00007012] Port=1 RD @01
7012.50ns INFO [00007014] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7012.50ns INFO [00007014] Port=1 RD @03
7013.50ns INFO [00007015] Port=0 WR @03=9836780B1C3AFE90BC
7013.50ns INFO [00007015] Port=1 RD @06
7014.50ns INFO [00007016] * RD COMPARE * port=1 adr=03 act=30A83CDDDDFEF0A863 exp=30A83CDDDDFEF0A863
7014.50ns INFO [00007016] Port=1 RD @03
7015.50ns INFO [00007017] * RD COMPARE * port=1 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2
7015.50ns INFO [00007017] Port=0 RD @06
7015.50ns INFO [00007017] Port=1 RD @05
7016.50ns INFO [00007018] * RD COMPARE * port=1 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC
7016.50ns INFO [00007018] Port=0 WR @02=F5947D4673EE3232D2
7016.50ns INFO [00007018] Port=0 RD @03
7017.50ns INFO [00007019] * RD COMPARE * port=0 adr=06 act=F1B5EED5E963EFD4A2 exp=F1B5EED5E963EFD4A2
7017.50ns INFO [00007019] * RD COMPARE * port=1 adr=05 act=1C206C2D596CF909EA exp=1C206C2D596CF909EA
7017.50ns INFO [00007019] Port=0 WR @06=D58982CDE667ED98EF
7018.50ns INFO [00007020] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC
7019.50ns INFO [00007021] Port=1 RD @01
7020.50ns INFO [00007022] Port=0 RD @03
7021.50ns INFO [00007023] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7021.50ns INFO [00007023] Port=0 WR @00=5B8F5E0AFD9E2DCE79
7021.50ns INFO [00007023] Port=1 RD @01
7022.50ns INFO [00007024] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC
7022.50ns INFO [00007024] Port=0 WR @06=47651EF2C4FE727054
7023.50ns INFO [00007025] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7023.50ns INFO [00007025] Port=0 WR @05=CE22DAE535F3D41C68
7024.50ns INFO [00007026] Port=0 RD @02
7025.50ns INFO [00007027] Port=0 RD @02
7026.50ns INFO [00007028] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2
7026.50ns INFO [00007028] Port=1 RD @05
7027.50ns INFO [00007029] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2
7027.50ns INFO [00007029] Port=0 RD @06
7028.50ns INFO [00007030] * RD COMPARE * port=1 adr=05 act=CE22DAE535F3D41C68 exp=CE22DAE535F3D41C68
7028.50ns INFO [00007030] Port=0 RD @02
7029.50ns INFO [00007031] * RD COMPARE * port=0 adr=06 act=47651EF2C4FE727054 exp=47651EF2C4FE727054
7030.50ns INFO [00007032] * RD COMPARE * port=0 adr=02 act=F5947D4673EE3232D2 exp=F5947D4673EE3232D2
7031.50ns INFO [00007033] Port=0 RD @07
7032.50ns INFO [00007034] Port=0 WR @07=B7E092F82EA3CA8510
7032.50ns INFO [00007034] Port=0 RD @01
7032.50ns INFO [00007034] Port=1 RD @00
7033.50ns INFO [00007035] * RD COMPARE * port=0 adr=07 act=9156822B49538DE770 exp=9156822B49538DE770
7033.50ns INFO [00007035] Port=0 RD @06
7033.50ns INFO [00007035] Port=1 RD @04
7034.50ns INFO [00007036] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7034.50ns INFO [00007036] * RD COMPARE * port=1 adr=00 act=5B8F5E0AFD9E2DCE79 exp=5B8F5E0AFD9E2DCE79
7034.50ns INFO [00007036] Port=0 RD @03
7035.50ns INFO [00007037] * RD COMPARE * port=0 adr=06 act=47651EF2C4FE727054 exp=47651EF2C4FE727054
7035.50ns INFO [00007037] * RD COMPARE * port=1 adr=04 act=65365F08642E42D5FD exp=65365F08642E42D5FD
7035.50ns INFO [00007037] Port=0 WR @06=4B2BB2272166A04F7A
7036.50ns INFO [00007038] * RD COMPARE * port=0 adr=03 act=9836780B1C3AFE90BC exp=9836780B1C3AFE90BC
7036.50ns INFO [00007038] Port=0 WR @02=FC7AB9E29FF599B4C7
7036.50ns INFO [00007038] Port=1 RD @01
7038.50ns INFO [00007040] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7038.50ns INFO [00007040] Port=0 WR @03=74F779C2E99959CA51
7038.50ns INFO [00007040] Port=0 RD @01
7038.50ns INFO [00007040] Port=1 RD @04
7039.50ns INFO [00007041] Port=0 WR @04=D8CF03AFB195999D10
7039.50ns INFO [00007041] Port=1 RD @00
7040.50ns INFO [00007042] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7040.50ns INFO [00007042] * RD COMPARE * port=1 adr=04 act=65365F08642E42D5FD exp=65365F08642E42D5FD
7040.50ns INFO [00007042] Port=0 RD @01
7041.50ns INFO [00007043] * RD COMPARE * port=1 adr=00 act=5B8F5E0AFD9E2DCE79 exp=5B8F5E0AFD9E2DCE79
7042.50ns INFO [00007044] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7042.50ns INFO [00007044] Port=0 WR @07=F54341164CDFE2F0FD
7042.50ns INFO [00007044] Port=1 RD @06
7043.50ns INFO [00007045] Port=0 WR @00=9B6B0A039E4C580BFA
7044.50ns INFO [00007046] * RD COMPARE * port=1 adr=06 act=4B2BB2272166A04F7A exp=4B2BB2272166A04F7A
7044.50ns INFO [00007046] Port=1 RD @04
7046.50ns INFO [00007048] * RD COMPARE * port=1 adr=04 act=D8CF03AFB195999D10 exp=D8CF03AFB195999D10
7046.50ns INFO [00007048] Port=0 WR @02=A87BEA72BA4869CF59
7047.50ns INFO [00007049] Port=0 RD @01
7048.50ns INFO [00007050] Port=0 WR @06=432DB8A8CC65A66A4C
7049.50ns INFO [00007051] * RD COMPARE * port=0 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7049.50ns INFO [00007051] Port=0 WR @04=5F34ABE187014544DF
7049.50ns INFO [00007051] Port=1 RD @00
7050.50ns INFO [00007052] Port=0 WR @06=F4260059CDB735E996
7050.50ns INFO [00007052] Port=0 RD @05
7050.50ns INFO [00007052] Port=1 RD @04
7051.50ns INFO [00007053] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA
7051.50ns INFO [00007053] Port=0 RD @06
7052.50ns INFO [00007054] * RD COMPARE * port=0 adr=05 act=CE22DAE535F3D41C68 exp=CE22DAE535F3D41C68
7052.50ns INFO [00007054] * RD COMPARE * port=1 adr=04 act=5F34ABE187014544DF exp=5F34ABE187014544DF
7052.50ns INFO [00007054] Port=1 RD @03
7053.50ns INFO [00007055] * RD COMPARE * port=0 adr=06 act=F4260059CDB735E996 exp=F4260059CDB735E996
7054.50ns INFO [00007056] * RD COMPARE * port=1 adr=03 act=74F779C2E99959CA51 exp=74F779C2E99959CA51
7054.50ns INFO [00007056] Port=0 WR @03=4AC58AFEDDC7C9E86B
7054.50ns INFO [00007056] Port=0 RD @02
7054.50ns INFO [00007056] Port=1 RD @01
7055.50ns INFO [00007057] Port=0 RD @04
7056.50ns INFO [00007058] * RD COMPARE * port=0 adr=02 act=A87BEA72BA4869CF59 exp=A87BEA72BA4869CF59
7056.50ns INFO [00007058] * RD COMPARE * port=1 adr=01 act=4B56DBA7803618EED6 exp=4B56DBA7803618EED6
7056.50ns INFO [00007058] Port=0 WR @04=FF82F7257680C0128D
7056.50ns INFO [00007058] Port=1 RD @00
7057.50ns INFO [00007059] * RD COMPARE * port=0 adr=04 act=5F34ABE187014544DF exp=5F34ABE187014544DF
7057.50ns INFO [00007059] Port=1 RD @07
7058.50ns INFO [00007060] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA
7059.50ns INFO [00007061] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7059.50ns INFO [00007061] Port=0 WR @05=88ECB986674F2CD472
7062.50ns INFO [00007064] Port=0 WR @05=FD84E073B3F926B61B
7064.50ns INFO [00007066] Port=0 RD @07
7065.50ns INFO [00007067] Port=1 RD @00
7066.50ns INFO [00007068] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7066.50ns INFO [00007068] Port=0 RD @03
7067.50ns INFO [00007069] * RD COMPARE * port=1 adr=00 act=9B6B0A039E4C580BFA exp=9B6B0A039E4C580BFA
7067.50ns INFO [00007069] Port=0 RD @02
7068.50ns INFO [00007070] * RD COMPARE * port=0 adr=03 act=4AC58AFEDDC7C9E86B exp=4AC58AFEDDC7C9E86B
7068.50ns INFO [00007070] Port=0 WR @02=8A87CF6B827E7AB808
7069.50ns INFO [00007071] * RD COMPARE * port=0 adr=02 act=A87BEA72BA4869CF59 exp=A87BEA72BA4869CF59
7069.50ns INFO [00007071] Port=0 WR @01=7ED73B915F775C3697
7071.50ns INFO [00007073] Port=0 WR @00=FE627B14C83DB798A1
7071.50ns INFO [00007073] Port=0 RD @01
7072.50ns INFO [00007074] Port=0 RD @02
7072.50ns INFO [00007074] Port=1 RD @05
7073.50ns INFO [00007075] * RD COMPARE * port=0 adr=01 act=7ED73B915F775C3697 exp=7ED73B915F775C3697
7074.50ns INFO [00007076] * RD COMPARE * port=0 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808
7074.50ns INFO [00007076] * RD COMPARE * port=1 adr=05 act=FD84E073B3F926B61B exp=FD84E073B3F926B61B
7074.50ns INFO [00007076] Port=0 RD @05
7075.50ns INFO [00007077] Port=0 WR @04=D36D5D6230D80870F5
7076.50ns INFO [00007078] * RD COMPARE * port=0 adr=05 act=FD84E073B3F926B61B exp=FD84E073B3F926B61B
7076.50ns INFO [00007078] Port=0 RD @06
7076.50ns INFO [00007078] Port=1 RD @02
7077.50ns INFO [00007079] Port=0 WR @03=34759D83882A55B798
7078.50ns INFO [00007080] * RD COMPARE * port=0 adr=06 act=F4260059CDB735E996 exp=F4260059CDB735E996
7078.50ns INFO [00007080] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808
7078.50ns INFO [00007080] Port=1 RD @07
7080.50ns INFO [00007082] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7080.50ns INFO [00007082] Port=0 WR @01=508018CCB53E34C3FE
7080.50ns INFO [00007082] Port=0 RD @00
7081.50ns INFO [00007083] Port=0 WR @05=58E9FA44172558B02B
7081.50ns INFO [00007083] Port=0 RD @04
7082.50ns INFO [00007084] * RD COMPARE * port=0 adr=00 act=FE627B14C83DB798A1 exp=FE627B14C83DB798A1
7083.50ns INFO [00007085] * RD COMPARE * port=0 adr=04 act=D36D5D6230D80870F5 exp=D36D5D6230D80870F5
7083.50ns INFO [00007085] Port=0 RD @07
7084.50ns INFO [00007086] Port=1 RD @03
7085.50ns INFO [00007087] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7085.50ns INFO [00007087] Port=0 WR @00=649C71EB907E44F154
7085.50ns INFO [00007087] Port=1 RD @07
7086.50ns INFO [00007088] * RD COMPARE * port=1 adr=03 act=34759D83882A55B798 exp=34759D83882A55B798
7086.50ns INFO [00007088] Port=0 RD @00
7087.50ns INFO [00007089] * RD COMPARE * port=1 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7087.50ns INFO [00007089] Port=0 WR @04=F7400760753FAE76C4
7087.50ns INFO [00007089] Port=1 RD @02
7088.50ns INFO [00007090] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7089.50ns INFO [00007091] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808
7089.50ns INFO [00007091] Port=0 WR @06=89156372FF8D16276A
7089.50ns INFO [00007091] Port=1 RD @04
7091.50ns INFO [00007093] * RD COMPARE * port=1 adr=04 act=F7400760753FAE76C4 exp=F7400760753FAE76C4
7091.50ns INFO [00007093] Port=1 RD @02
7093.50ns INFO [00007095] * RD COMPARE * port=1 adr=02 act=8A87CF6B827E7AB808 exp=8A87CF6B827E7AB808
7094.50ns INFO [00007096] Port=0 RD @01
7095.50ns INFO [00007097] Port=0 RD @00
7096.50ns INFO [00007098] * RD COMPARE * port=0 adr=01 act=508018CCB53E34C3FE exp=508018CCB53E34C3FE
7096.50ns INFO [00007098] Port=0 RD @00
7096.50ns INFO [00007098] Port=1 RD @05
7097.50ns INFO [00007099] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7097.50ns INFO [00007099] Port=0 RD @01
7098.00ns INFO [00007100] [00007100] ...tick...
7098.50ns INFO [00007100] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7098.50ns INFO [00007100] * RD COMPARE * port=1 adr=05 act=58E9FA44172558B02B exp=58E9FA44172558B02B
7098.50ns INFO [00007100] Port=0 WR @02=328C92B9871F1AF048
7098.50ns INFO [00007100] Port=0 RD @00
7099.50ns INFO [00007101] * RD COMPARE * port=0 adr=01 act=508018CCB53E34C3FE exp=508018CCB53E34C3FE
7099.50ns INFO [00007101] Port=0 WR @02=665DF19F4E79C288D0
7099.50ns INFO [00007101] Port=1 RD @04
7100.50ns INFO [00007102] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7100.50ns INFO [00007102] Port=0 RD @00
7100.50ns INFO [00007102] Port=1 RD @02
7101.50ns INFO [00007103] * RD COMPARE * port=1 adr=04 act=F7400760753FAE76C4 exp=F7400760753FAE76C4
7101.50ns INFO [00007103] Port=0 WR @03=8B2394C9FFB983F6D2
7101.50ns INFO [00007103] Port=0 RD @06
7102.50ns INFO [00007104] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7102.50ns INFO [00007104] * RD COMPARE * port=1 adr=02 act=665DF19F4E79C288D0 exp=665DF19F4E79C288D0
7102.50ns INFO [00007104] Port=0 WR @05=007392DB79B863936B
7102.50ns INFO [00007104] Port=0 RD @03
7103.50ns INFO [00007105] * RD COMPARE * port=0 adr=06 act=89156372FF8D16276A exp=89156372FF8D16276A
7103.50ns INFO [00007105] Port=0 RD @06
7103.50ns INFO [00007105] Port=1 RD @05
7104.50ns INFO [00007106] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7104.50ns INFO [00007106] Port=0 WR @02=06523281D776F4968F
7104.50ns INFO [00007106] Port=1 RD @05
7105.50ns INFO [00007107] * RD COMPARE * port=0 adr=06 act=89156372FF8D16276A exp=89156372FF8D16276A
7105.50ns INFO [00007107] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B
7105.50ns INFO [00007107] Port=0 WR @02=01214C23CFDDCF9ACE
7105.50ns INFO [00007107] Port=0 RD @07
7106.50ns INFO [00007108] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B
7106.50ns INFO [00007108] Port=1 RD @05
7107.50ns INFO [00007109] * RD COMPARE * port=0 adr=07 act=F54341164CDFE2F0FD exp=F54341164CDFE2F0FD
7108.50ns INFO [00007110] * RD COMPARE * port=1 adr=05 act=007392DB79B863936B exp=007392DB79B863936B
7108.50ns INFO [00007110] Port=0 RD @00
7109.50ns INFO [00007111] Port=0 WR @05=0E0BF477E8053C44B6
7109.50ns INFO [00007111] Port=1 RD @03
7110.50ns INFO [00007112] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7110.50ns INFO [00007112] Port=0 WR @04=23BE1CB83B229D3EB9
7110.50ns INFO [00007112] Port=1 RD @03
7111.50ns INFO [00007113] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7111.50ns INFO [00007113] Port=1 RD @04
7112.50ns INFO [00007114] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7112.50ns INFO [00007114] Port=0 WR @06=36FC3F4BC02EB7C007
7113.50ns INFO [00007115] * RD COMPARE * port=1 adr=04 act=23BE1CB83B229D3EB9 exp=23BE1CB83B229D3EB9
7113.50ns INFO [00007115] Port=0 RD @03
7114.50ns INFO [00007116] Port=0 WR @07=7C8FC0684E853966C8
7115.50ns INFO [00007117] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7115.50ns INFO [00007117] Port=0 RD @05
7115.50ns INFO [00007117] Port=1 RD @06
7116.50ns INFO [00007118] Port=0 RD @00
7116.50ns INFO [00007118] Port=1 RD @04
7117.50ns INFO [00007119] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6
7117.50ns INFO [00007119] * RD COMPARE * port=1 adr=06 act=36FC3F4BC02EB7C007 exp=36FC3F4BC02EB7C007
7117.50ns INFO [00007119] Port=0 WR @04=FC6B5AFC4845F49AAE
7117.50ns INFO [00007119] Port=0 RD @02
7118.50ns INFO [00007120] * RD COMPARE * port=0 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7118.50ns INFO [00007120] * RD COMPARE * port=1 adr=04 act=23BE1CB83B229D3EB9 exp=23BE1CB83B229D3EB9
7118.50ns INFO [00007120] Port=0 RD @03
7119.50ns INFO [00007121] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7119.50ns INFO [00007121] Port=0 RD @04
7120.50ns INFO [00007122] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7120.50ns INFO [00007122] Port=0 WR @04=1F5F07CB8EFF77064E
7121.50ns INFO [00007123] * RD COMPARE * port=0 adr=04 act=FC6B5AFC4845F49AAE exp=FC6B5AFC4845F49AAE
7122.50ns INFO [00007124] Port=0 RD @05
7124.50ns INFO [00007126] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6
7124.50ns INFO [00007126] Port=0 RD @04
7126.50ns INFO [00007128] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E
7126.50ns INFO [00007128] Port=1 RD @07
7127.50ns INFO [00007129] Port=0 WR @01=F6C4E56725944F727E
7127.50ns INFO [00007129] Port=0 RD @05
7127.50ns INFO [00007129] Port=1 RD @06
7128.50ns INFO [00007130] * RD COMPARE * port=1 adr=07 act=7C8FC0684E853966C8 exp=7C8FC0684E853966C8
7129.50ns INFO [00007131] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6
7129.50ns INFO [00007131] * RD COMPARE * port=1 adr=06 act=36FC3F4BC02EB7C007 exp=36FC3F4BC02EB7C007
7130.50ns INFO [00007132] Port=0 WR @07=FA21AF4927C23A0D54
7130.50ns INFO [00007132] Port=0 RD @04
7132.50ns INFO [00007134] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E
7132.50ns INFO [00007134] Port=1 RD @02
7133.50ns INFO [00007135] Port=0 WR @06=8B063AE6D9BBDB381F
7133.50ns INFO [00007135] Port=0 RD @01
7134.50ns INFO [00007136] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7134.50ns INFO [00007136] Port=1 RD @02
7135.50ns INFO [00007137] * RD COMPARE * port=0 adr=01 act=F6C4E56725944F727E exp=F6C4E56725944F727E
7135.50ns INFO [00007137] Port=1 RD @00
7136.50ns INFO [00007138] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7136.50ns INFO [00007138] Port=0 RD @03
7137.50ns INFO [00007139] * RD COMPARE * port=1 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7137.50ns INFO [00007139] Port=0 RD @04
7137.50ns INFO [00007139] Port=1 RD @05
7138.50ns INFO [00007140] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7138.50ns INFO [00007140] Port=0 WR @01=D16CACF0FBDC11B502
7138.50ns INFO [00007140] Port=0 RD @05
7139.50ns INFO [00007141] * RD COMPARE * port=0 adr=04 act=1F5F07CB8EFF77064E exp=1F5F07CB8EFF77064E
7139.50ns INFO [00007141] * RD COMPARE * port=1 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6
7139.50ns INFO [00007141] Port=0 WR @01=825D66A5C6C260853E
7139.50ns INFO [00007141] Port=1 RD @07
7140.50ns INFO [00007142] * RD COMPARE * port=0 adr=05 act=0E0BF477E8053C44B6 exp=0E0BF477E8053C44B6
7140.50ns INFO [00007142] Port=0 RD @02
7141.50ns INFO [00007143] * RD COMPARE * port=1 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54
7141.50ns INFO [00007143] Port=0 WR @05=AF0ACEADEE55DDBC67
7142.50ns INFO [00007144] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7142.50ns INFO [00007144] Port=0 RD @03
7142.50ns INFO [00007144] Port=1 RD @02
7143.50ns INFO [00007145] Port=0 WR @04=6EB3528AA2BFC96B8F
7143.50ns INFO [00007145] Port=0 RD @05
7144.50ns INFO [00007146] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7144.50ns INFO [00007146] * RD COMPARE * port=1 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7145.50ns INFO [00007147] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67
7146.50ns INFO [00007148] Port=1 RD @01
7148.50ns INFO [00007150] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E
7148.50ns INFO [00007150] Port=1 RD @00
7149.50ns INFO [00007151] Port=0 WR @00=71D70AFD628AEC4EC9
7149.50ns INFO [00007151] Port=0 RD @05
7150.50ns INFO [00007152] * RD COMPARE * port=1 adr=00 act=649C71EB907E44F154 exp=649C71EB907E44F154
7150.50ns INFO [00007152] Port=0 WR @06=BFD48FFE9BC1C506B6
7150.50ns INFO [00007152] Port=0 RD @02
7151.50ns INFO [00007153] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67
7151.50ns INFO [00007153] Port=0 RD @02
7152.50ns INFO [00007154] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7152.50ns INFO [00007154] Port=0 RD @06
7153.50ns INFO [00007155] * RD COMPARE * port=0 adr=02 act=01214C23CFDDCF9ACE exp=01214C23CFDDCF9ACE
7153.50ns INFO [00007155] Port=0 RD @06
7154.50ns INFO [00007156] * RD COMPARE * port=0 adr=06 act=BFD48FFE9BC1C506B6 exp=BFD48FFE9BC1C506B6
7155.50ns INFO [00007157] * RD COMPARE * port=0 adr=06 act=BFD48FFE9BC1C506B6 exp=BFD48FFE9BC1C506B6
7155.50ns INFO [00007157] Port=0 WR @02=2B4EAA4D819920CD1A
7155.50ns INFO [00007157] Port=0 RD @03
7156.50ns INFO [00007158] Port=0 WR @06=0EF4914F5E8C81967F
7157.50ns INFO [00007159] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7158.50ns INFO [00007160] Port=0 WR @04=9E34069B507532A6E6
7158.50ns INFO [00007160] Port=0 RD @05
7160.50ns INFO [00007162] * RD COMPARE * port=0 adr=05 act=AF0ACEADEE55DDBC67 exp=AF0ACEADEE55DDBC67
7160.50ns INFO [00007162] Port=1 RD @01
7162.50ns INFO [00007164] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E
7162.50ns INFO [00007164] Port=0 WR @05=F516AD64800B54B4F4
7162.50ns INFO [00007164] Port=1 RD @04
7163.50ns INFO [00007165] Port=0 RD @03
7164.50ns INFO [00007166] * RD COMPARE * port=1 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6
7164.50ns INFO [00007166] Port=0 RD @02
7164.50ns INFO [00007166] Port=1 RD @03
7165.50ns INFO [00007167] * RD COMPARE * port=0 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7166.50ns INFO [00007168] * RD COMPARE * port=0 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A
7166.50ns INFO [00007168] * RD COMPARE * port=1 adr=03 act=8B2394C9FFB983F6D2 exp=8B2394C9FFB983F6D2
7166.50ns INFO [00007168] Port=0 RD @00
7167.50ns INFO [00007169] Port=0 WR @05=20248A7BB782FB89BE
7167.50ns INFO [00007169] Port=1 RD @02
7168.50ns INFO [00007170] * RD COMPARE * port=0 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9
7168.50ns INFO [00007170] Port=0 WR @06=1E71CB24963E5CD306
7168.50ns INFO [00007170] Port=0 RD @04
7169.50ns INFO [00007171] * RD COMPARE * port=1 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A
7170.50ns INFO [00007172] * RD COMPARE * port=0 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6
7170.50ns INFO [00007172] Port=0 WR @06=203F8EDE43C8BA31CE
7170.50ns INFO [00007172] Port=0 RD @00
7170.50ns INFO [00007172] Port=1 RD @02
7171.50ns INFO [00007173] Port=0 WR @03=65DAD2C864E8B3D7C1
7171.50ns INFO [00007173] Port=1 RD @01
7172.50ns INFO [00007174] * RD COMPARE * port=0 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9
7172.50ns INFO [00007174] * RD COMPARE * port=1 adr=02 act=2B4EAA4D819920CD1A exp=2B4EAA4D819920CD1A
7172.50ns INFO [00007174] Port=0 WR @05=DB9046D5C70466F8A7
7173.50ns INFO [00007175] * RD COMPARE * port=1 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E
7173.50ns INFO [00007175] Port=0 WR @02=9A918763DF5EE48BAC
7173.50ns INFO [00007175] Port=0 RD @04
7175.50ns INFO [00007177] * RD COMPARE * port=0 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6
7175.50ns INFO [00007177] Port=0 RD @01
7177.50ns INFO [00007179] * RD COMPARE * port=0 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E
7177.50ns INFO [00007179] Port=1 RD @00
7178.50ns INFO [00007180] Port=0 WR @03=7F6EA1BEB88A10D7DB
7178.50ns INFO [00007180] Port=0 RD @02
7179.50ns INFO [00007181] * RD COMPARE * port=1 adr=00 act=71D70AFD628AEC4EC9 exp=71D70AFD628AEC4EC9
7179.50ns INFO [00007181] Port=0 RD @02
7179.50ns INFO [00007181] Port=1 RD @05
7180.50ns INFO [00007182] * RD COMPARE * port=0 adr=02 act=9A918763DF5EE48BAC exp=9A918763DF5EE48BAC
7180.50ns INFO [00007182] Port=0 RD @01
7180.50ns INFO [00007182] Port=1 RD @04
7181.50ns INFO [00007183] * RD COMPARE * port=0 adr=02 act=9A918763DF5EE48BAC exp=9A918763DF5EE48BAC
7181.50ns INFO [00007183] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7
7182.50ns INFO [00007184] * RD COMPARE * port=0 adr=01 act=825D66A5C6C260853E exp=825D66A5C6C260853E
7182.50ns INFO [00007184] * RD COMPARE * port=1 adr=04 act=9E34069B507532A6E6 exp=9E34069B507532A6E6
7182.50ns INFO [00007184] Port=1 RD @06
7183.50ns INFO [00007185] Port=0 WR @01=20884795935120DB17
7183.50ns INFO [00007185] Port=1 RD @05
7184.50ns INFO [00007186] * RD COMPARE * port=1 adr=06 act=203F8EDE43C8BA31CE exp=203F8EDE43C8BA31CE
7185.50ns INFO [00007187] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7
7185.50ns INFO [00007187] Port=0 RD @01
7185.50ns INFO [00007187] Port=1 RD @05
7187.50ns INFO [00007189] * RD COMPARE * port=0 adr=01 act=20884795935120DB17 exp=20884795935120DB17
7187.50ns INFO [00007189] * RD COMPARE * port=1 adr=05 act=DB9046D5C70466F8A7 exp=DB9046D5C70466F8A7
7187.50ns INFO [00007189] Port=0 WR @02=F72FB6256AED860E54
7187.50ns INFO [00007189] Port=1 RD @01
7188.50ns INFO [00007190] Port=0 WR @02=2D5A510BE20CD3CA08
7189.50ns INFO [00007191] * RD COMPARE * port=1 adr=01 act=20884795935120DB17 exp=20884795935120DB17
7189.50ns INFO [00007191] Port=0 RD @07
7189.50ns INFO [00007191] Port=1 RD @07
7190.50ns INFO [00007192] Port=0 WR @02=1665A5152A5AF6BC76
7191.50ns INFO [00007193] * RD COMPARE * port=0 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54
7191.50ns INFO [00007193] * RD COMPARE * port=1 adr=07 act=FA21AF4927C23A0D54 exp=FA21AF4927C23A0D54
7191.50ns INFO [00007193] Port=0 WR @05=CBE5A961A99D0C2777
7191.50ns INFO [00007193] Port=1 RD @06
7192.50ns INFO [00007194] Port=0 WR @00=82547A766518B67848
7193.50ns INFO [00007195] * RD COMPARE * port=1 adr=06 act=203F8EDE43C8BA31CE exp=203F8EDE43C8BA31CE
7193.50ns INFO [00007195] Port=0 WR @07=F9CB3F6CE97397BB22
7195.50ns INFO [00007197] Port=0 WR @06=0D0AB6FFE34324ED7C
7197.50ns INFO [00007199] Port=0 WR @04=1C49215405E80B7343
7198.00ns INFO [00007200] [00007200] ...tick...
7198.50ns INFO [00007200] Port=0 WR @06=1AC19B85F328272178
7198.50ns INFO [00007200] Port=0 RD @04
7198.50ns INFO [00007200] Port=1 RD @00
7199.50ns INFO [00007201] Port=0 WR @06=0E10F53EE31605F4DD
7200.50ns INFO [00007202] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7200.50ns INFO [00007202] * RD COMPARE * port=1 adr=00 act=82547A766518B67848 exp=82547A766518B67848
7200.50ns INFO [00007202] Port=0 WR @00=FBF28B0159D242F24B
7200.50ns INFO [00007202] Port=0 RD @05
7202.50ns INFO [00007204] * RD COMPARE * port=0 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777
7202.50ns INFO [00007204] Port=1 RD @02
7203.50ns INFO [00007205] Port=0 RD @05
7204.50ns INFO [00007206] * RD COMPARE * port=1 adr=02 act=1665A5152A5AF6BC76 exp=1665A5152A5AF6BC76
7205.50ns INFO [00007207] * RD COMPARE * port=0 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777
7205.50ns INFO [00007207] Port=0 WR @01=52EDE872EDF853126A
7205.50ns INFO [00007207] Port=1 RD @06
7207.50ns INFO [00007209] * RD COMPARE * port=1 adr=06 act=0E10F53EE31605F4DD exp=0E10F53EE31605F4DD
7207.50ns INFO [00007209] Port=0 WR @06=FB72124EF4C19A8B30
7207.50ns INFO [00007209] Port=0 RD @07
7208.50ns INFO [00007210] Port=0 RD @04
7209.50ns INFO [00007211] * RD COMPARE * port=0 adr=07 act=F9CB3F6CE97397BB22 exp=F9CB3F6CE97397BB22
7209.50ns INFO [00007211] Port=1 RD @01
7210.50ns INFO [00007212] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7210.50ns INFO [00007212] Port=0 RD @04
7211.50ns INFO [00007213] * RD COMPARE * port=1 adr=01 act=52EDE872EDF853126A exp=52EDE872EDF853126A
7211.50ns INFO [00007213] Port=1 RD @03
7212.50ns INFO [00007214] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7212.50ns INFO [00007214] Port=0 WR @01=692C7A58D1C3AB2AE9
7212.50ns INFO [00007214] Port=1 RD @03
7213.50ns INFO [00007215] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB
7213.50ns INFO [00007215] Port=0 WR @07=5FE5ABAF7223F93F1C
7213.50ns INFO [00007215] Port=0 RD @00
7213.50ns INFO [00007215] Port=1 RD @04
7214.50ns INFO [00007216] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB
7214.50ns INFO [00007216] Port=0 WR @00=1751C7EEA7A22A8FC4
7214.50ns INFO [00007216] Port=1 RD @03
7215.50ns INFO [00007217] * RD COMPARE * port=0 adr=00 act=FBF28B0159D242F24B exp=FBF28B0159D242F24B
7215.50ns INFO [00007217] * RD COMPARE * port=1 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7215.50ns INFO [00007217] Port=0 RD @00
7215.50ns INFO [00007217] Port=1 RD @05
7216.50ns INFO [00007218] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB
7216.50ns INFO [00007218] Port=1 RD @03
7217.50ns INFO [00007219] * RD COMPARE * port=0 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4
7217.50ns INFO [00007219] * RD COMPARE * port=1 adr=05 act=CBE5A961A99D0C2777 exp=CBE5A961A99D0C2777
7218.50ns INFO [00007220] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB
7218.50ns INFO [00007220] Port=0 WR @06=EDA2F2BDA4C9C14AA7
7218.50ns INFO [00007220] Port=1 RD @07
7219.50ns INFO [00007221] Port=0 WR @07=16B1E249A8D13E1126
7219.50ns INFO [00007221] Port=1 RD @03
7220.50ns INFO [00007222] * RD COMPARE * port=1 adr=07 act=5FE5ABAF7223F93F1C exp=5FE5ABAF7223F93F1C
7220.50ns INFO [00007222] Port=0 WR @02=51108D6208EF44DA32
7220.50ns INFO [00007222] Port=1 RD @06
7221.50ns INFO [00007223] * RD COMPARE * port=1 adr=03 act=7F6EA1BEB88A10D7DB exp=7F6EA1BEB88A10D7DB
7221.50ns INFO [00007223] Port=0 RD @04
7222.50ns INFO [00007224] * RD COMPARE * port=1 adr=06 act=EDA2F2BDA4C9C14AA7 exp=EDA2F2BDA4C9C14AA7
7222.50ns INFO [00007224] Port=0 RD @06
7222.50ns INFO [00007224] Port=1 RD @00
7223.50ns INFO [00007225] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7223.50ns INFO [00007225] Port=1 RD @07
7224.50ns INFO [00007226] * RD COMPARE * port=0 adr=06 act=EDA2F2BDA4C9C14AA7 exp=EDA2F2BDA4C9C14AA7
7224.50ns INFO [00007226] * RD COMPARE * port=1 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4
7224.50ns INFO [00007226] Port=0 WR @06=F21E877011D75E3C65
7224.50ns INFO [00007226] Port=0 RD @02
7225.50ns INFO [00007227] * RD COMPARE * port=1 adr=07 act=16B1E249A8D13E1126 exp=16B1E249A8D13E1126
7225.50ns INFO [00007227] Port=0 WR @02=88F0DF61F4998889DC
7226.50ns INFO [00007228] * RD COMPARE * port=0 adr=02 act=51108D6208EF44DA32 exp=51108D6208EF44DA32
7226.50ns INFO [00007228] Port=0 RD @06
7227.50ns INFO [00007229] Port=0 WR @03=1E54CDB1AC52D6E9A2
7227.50ns INFO [00007229] Port=1 RD @02
7228.50ns INFO [00007230] * RD COMPARE * port=0 adr=06 act=F21E877011D75E3C65 exp=F21E877011D75E3C65
7229.50ns INFO [00007231] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC
7229.50ns INFO [00007231] Port=0 WR @06=CCD4F70581188E7671
7229.50ns INFO [00007231] Port=0 RD @04
7230.50ns INFO [00007232] Port=1 RD @03
7231.50ns INFO [00007233] * RD COMPARE * port=0 adr=04 act=1C49215405E80B7343 exp=1C49215405E80B7343
7232.50ns INFO [00007234] * RD COMPARE * port=1 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2
7232.50ns INFO [00007234] Port=0 WR @04=77D54D32B07BFB9B3A
7232.50ns INFO [00007234] Port=1 RD @07
7233.50ns INFO [00007235] Port=0 RD @01
7234.50ns INFO [00007236] * RD COMPARE * port=1 adr=07 act=16B1E249A8D13E1126 exp=16B1E249A8D13E1126
7234.50ns INFO [00007236] Port=0 RD @03
7235.50ns INFO [00007237] * RD COMPARE * port=0 adr=01 act=692C7A58D1C3AB2AE9 exp=692C7A58D1C3AB2AE9
7235.50ns INFO [00007237] Port=0 RD @03
7236.50ns INFO [00007238] * RD COMPARE * port=0 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2
7236.50ns INFO [00007238] Port=0 WR @05=6F417C1C4E0223F296
7236.50ns INFO [00007238] Port=1 RD @01
7237.50ns INFO [00007239] * RD COMPARE * port=0 adr=03 act=1E54CDB1AC52D6E9A2 exp=1E54CDB1AC52D6E9A2
7237.50ns INFO [00007239] Port=0 WR @07=5639F390AB8D020BAE
7237.50ns INFO [00007239] Port=0 RD @04
7238.50ns INFO [00007240] * RD COMPARE * port=1 adr=01 act=692C7A58D1C3AB2AE9 exp=692C7A58D1C3AB2AE9
7238.50ns INFO [00007240] Port=0 WR @07=E0ADB5A41D7380CCF2
7238.50ns INFO [00007240] Port=0 RD @04
7238.50ns INFO [00007240] Port=1 RD @00
7239.50ns INFO [00007241] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A
7240.50ns INFO [00007242] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A
7240.50ns INFO [00007242] * RD COMPARE * port=1 adr=00 act=1751C7EEA7A22A8FC4 exp=1751C7EEA7A22A8FC4
7242.50ns INFO [00007244] Port=0 WR @03=68465271202FDD79A9
7242.50ns INFO [00007244] Port=0 RD @02
7243.50ns INFO [00007245] Port=0 WR @06=ADD8A4848F0D4E84DE
7243.50ns INFO [00007245] Port=0 RD @02
7243.50ns INFO [00007245] Port=1 RD @02
7244.50ns INFO [00007246] * RD COMPARE * port=0 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC
7244.50ns INFO [00007246] Port=0 WR @06=481EABAEECA8B2B2C1
7244.50ns INFO [00007246] Port=1 RD @03
7245.50ns INFO [00007247] * RD COMPARE * port=0 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC
7245.50ns INFO [00007247] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC
7245.50ns INFO [00007247] Port=0 RD @03
7245.50ns INFO [00007247] Port=1 RD @04
7246.50ns INFO [00007248] * RD COMPARE * port=1 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9
7246.50ns INFO [00007248] Port=0 WR @01=FD8A7C4D336AD7012C
7246.50ns INFO [00007248] Port=0 RD @04
7247.50ns INFO [00007249] * RD COMPARE * port=0 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9
7247.50ns INFO [00007249] * RD COMPARE * port=1 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A
7247.50ns INFO [00007249] Port=0 WR @00=3DA3EDC00E9E0345A7
7247.50ns INFO [00007249] Port=0 RD @07
7247.50ns INFO [00007249] Port=1 RD @01
7248.50ns INFO [00007250] * RD COMPARE * port=0 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A
7248.50ns INFO [00007250] Port=0 WR @01=3E63DF0B547C87C6BF
7248.50ns INFO [00007250] Port=0 RD @00
7249.50ns INFO [00007251] * RD COMPARE * port=0 adr=07 act=E0ADB5A41D7380CCF2 exp=E0ADB5A41D7380CCF2
7249.50ns INFO [00007251] * RD COMPARE * port=1 adr=01 act=FD8A7C4D336AD7012C exp=FD8A7C4D336AD7012C
7249.50ns INFO [00007251] Port=0 WR @06=CBE44D6FBEB1C629FC
7250.50ns INFO [00007252] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7250.50ns INFO [00007252] Port=0 RD @00
7251.50ns INFO [00007253] Port=0 WR @06=3DC2C740034EDFD2F8
7252.50ns INFO [00007254] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7253.50ns INFO [00007255] Port=0 RD @00
7254.50ns INFO [00007256] Port=0 WR @07=9D3D9CDA29FF82E0CE
7254.50ns INFO [00007256] Port=1 RD @05
7255.50ns INFO [00007257] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7255.50ns INFO [00007257] Port=0 RD @07
7255.50ns INFO [00007257] Port=1 RD @02
7256.50ns INFO [00007258] * RD COMPARE * port=1 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296
7256.50ns INFO [00007258] Port=1 RD @03
7257.50ns INFO [00007259] * RD COMPARE * port=0 adr=07 act=9D3D9CDA29FF82E0CE exp=9D3D9CDA29FF82E0CE
7257.50ns INFO [00007259] * RD COMPARE * port=1 adr=02 act=88F0DF61F4998889DC exp=88F0DF61F4998889DC
7258.50ns INFO [00007260] * RD COMPARE * port=1 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9
7258.50ns INFO [00007260] Port=0 WR @06=30691193A7022D5BCC
7258.50ns INFO [00007260] Port=0 RD @07
7258.50ns INFO [00007260] Port=1 RD @01
7259.50ns INFO [00007261] Port=0 RD @06
7260.50ns INFO [00007262] * RD COMPARE * port=0 adr=07 act=9D3D9CDA29FF82E0CE exp=9D3D9CDA29FF82E0CE
7260.50ns INFO [00007262] * RD COMPARE * port=1 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF
7260.50ns INFO [00007262] Port=0 WR @06=13A5C71ED5CA020A37
7260.50ns INFO [00007262] Port=0 RD @01
7260.50ns INFO [00007262] Port=1 RD @00
7261.50ns INFO [00007263] * RD COMPARE * port=0 adr=06 act=30691193A7022D5BCC exp=30691193A7022D5BCC
7261.50ns INFO [00007263] Port=0 RD @03
7261.50ns INFO [00007263] Port=1 RD @04
7262.50ns INFO [00007264] * RD COMPARE * port=0 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF
7262.50ns INFO [00007264] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7263.50ns INFO [00007265] * RD COMPARE * port=0 adr=03 act=68465271202FDD79A9 exp=68465271202FDD79A9
7263.50ns INFO [00007265] * RD COMPARE * port=1 adr=04 act=77D54D32B07BFB9B3A exp=77D54D32B07BFB9B3A
7264.50ns INFO [00007266] Port=0 WR @03=2E0324078306616435
7265.50ns INFO [00007267] Port=0 WR @04=A775D2E54427AEC7E9
7265.50ns INFO [00007267] Port=0 RD @00
7266.50ns INFO [00007268] Port=1 RD @00
7267.50ns INFO [00007269] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7267.50ns INFO [00007269] Port=0 WR @03=ED12E14746F21A9823
7268.50ns INFO [00007270] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7269.50ns INFO [00007271] Port=0 RD @01
7270.50ns INFO [00007272] Port=0 WR @03=1344E8DD44C3AFCC02
7271.50ns INFO [00007273] * RD COMPARE * port=0 adr=01 act=3E63DF0B547C87C6BF exp=3E63DF0B547C87C6BF
7271.50ns INFO [00007273] Port=1 RD @03
7272.50ns INFO [00007274] Port=0 WR @02=47A76865B5508ACA62
7272.50ns INFO [00007274] Port=1 RD @03
7273.50ns INFO [00007275] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02
7273.50ns INFO [00007275] Port=0 WR @07=7E1B05714A6ED1E908
7273.50ns INFO [00007275] Port=1 RD @06
7274.50ns INFO [00007276] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02
7274.50ns INFO [00007276] Port=0 WR @01=32983BDE930DF294E1
7274.50ns INFO [00007276] Port=0 RD @03
7274.50ns INFO [00007276] Port=1 RD @05
7275.50ns INFO [00007277] * RD COMPARE * port=1 adr=06 act=13A5C71ED5CA020A37 exp=13A5C71ED5CA020A37
7275.50ns INFO [00007277] Port=0 RD @05
7276.50ns INFO [00007278] * RD COMPARE * port=0 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02
7276.50ns INFO [00007278] * RD COMPARE * port=1 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296
7276.50ns INFO [00007278] Port=0 WR @05=1DC8AF207DD84A2A3F
7276.50ns INFO [00007278] Port=0 RD @01
7276.50ns INFO [00007278] Port=1 RD @03
7277.50ns INFO [00007279] * RD COMPARE * port=0 adr=05 act=6F417C1C4E0223F296 exp=6F417C1C4E0223F296
7277.50ns INFO [00007279] Port=1 RD @01
7278.50ns INFO [00007280] * RD COMPARE * port=0 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1
7278.50ns INFO [00007280] * RD COMPARE * port=1 adr=03 act=1344E8DD44C3AFCC02 exp=1344E8DD44C3AFCC02
7279.50ns INFO [00007281] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1
7279.50ns INFO [00007281] Port=1 RD @00
7280.50ns INFO [00007282] Port=0 WR @07=EEA9E3369F4365E17C
7280.50ns INFO [00007282] Port=1 RD @04
7281.50ns INFO [00007283] * RD COMPARE * port=1 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7281.50ns INFO [00007283] Port=1 RD @07
7282.50ns INFO [00007284] * RD COMPARE * port=1 adr=04 act=A775D2E54427AEC7E9 exp=A775D2E54427AEC7E9
7282.50ns INFO [00007284] Port=0 WR @03=8D5E68158FEE502B85
7283.50ns INFO [00007285] * RD COMPARE * port=1 adr=07 act=EEA9E3369F4365E17C exp=EEA9E3369F4365E17C
7283.50ns INFO [00007285] Port=0 RD @00
7284.50ns INFO [00007286] Port=0 WR @07=BC6928E8F9EBEBEB86
7284.50ns INFO [00007286] Port=0 RD @01
7285.50ns INFO [00007287] * RD COMPARE * port=0 adr=00 act=3DA3EDC00E9E0345A7 exp=3DA3EDC00E9E0345A7
7286.50ns INFO [00007288] * RD COMPARE * port=0 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1
7286.50ns INFO [00007288] Port=0 WR @04=17A77318736FCA3B73
7287.50ns INFO [00007289] Port=0 WR @02=80C02632B6A84B31D9
7288.50ns INFO [00007290] Port=0 WR @04=DC19E861B0A2A5F997
7288.50ns INFO [00007290] Port=0 RD @05
7289.50ns INFO [00007291] Port=0 WR @04=82B7C99BF0C70FA4BB
7290.50ns INFO [00007292] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7290.50ns INFO [00007292] Port=0 WR @04=E7D781DC23BFCA2BF1
7290.50ns INFO [00007292] Port=0 RD @02
7290.50ns INFO [00007292] Port=1 RD @01
7291.50ns INFO [00007293] Port=0 RD @04
7291.50ns INFO [00007293] Port=1 RD @01
7292.50ns INFO [00007294] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9
7292.50ns INFO [00007294] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1
7292.50ns INFO [00007294] Port=0 WR @01=D40F3098341FC862E8
7293.50ns INFO [00007295] * RD COMPARE * port=0 adr=04 act=E7D781DC23BFCA2BF1 exp=E7D781DC23BFCA2BF1
7293.50ns INFO [00007295] * RD COMPARE * port=1 adr=01 act=32983BDE930DF294E1 exp=32983BDE930DF294E1
7293.50ns INFO [00007295] Port=1 RD @07
7294.50ns INFO [00007296] Port=0 RD @04
7294.50ns INFO [00007296] Port=1 RD @07
7295.50ns INFO [00007297] * RD COMPARE * port=1 adr=07 act=BC6928E8F9EBEBEB86 exp=BC6928E8F9EBEBEB86
7296.50ns INFO [00007298] * RD COMPARE * port=0 adr=04 act=E7D781DC23BFCA2BF1 exp=E7D781DC23BFCA2BF1
7296.50ns INFO [00007298] * RD COMPARE * port=1 adr=07 act=BC6928E8F9EBEBEB86 exp=BC6928E8F9EBEBEB86
7296.50ns INFO [00007298] Port=0 WR @07=AF9DCFEFA1DD78EA21
7296.50ns INFO [00007298] Port=0 RD @02
7296.50ns INFO [00007298] Port=1 RD @02
7297.50ns INFO [00007299] Port=0 WR @04=7BE13349DB9212EA2D
7297.50ns INFO [00007299] Port=0 RD @03
7297.50ns INFO [00007299] Port=1 RD @07
7298.00ns INFO [00007300] [00007300] ...tick...
7298.50ns INFO [00007300] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9
7298.50ns INFO [00007300] * RD COMPARE * port=1 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9
7299.50ns INFO [00007301] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85
7299.50ns INFO [00007301] * RD COMPARE * port=1 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21
7299.50ns INFO [00007301] Port=0 WR @06=2FB402E34D187219AB
7300.50ns INFO [00007302] Port=0 WR @00=D267EF023E309F03D0
7300.50ns INFO [00007302] Port=0 RD @04
7301.50ns INFO [00007303] Port=1 RD @00
7302.50ns INFO [00007304] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7302.50ns INFO [00007304] Port=1 RD @04
7303.50ns INFO [00007305] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7303.50ns INFO [00007305] Port=0 RD @02
7303.50ns INFO [00007305] Port=1 RD @03
7304.50ns INFO [00007306] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7304.50ns INFO [00007306] Port=0 RD @04
7305.50ns INFO [00007307] * RD COMPARE * port=0 adr=02 act=80C02632B6A84B31D9 exp=80C02632B6A84B31D9
7305.50ns INFO [00007307] * RD COMPARE * port=1 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85
7305.50ns INFO [00007307] Port=0 WR @06=00854C20A7D716BCD1
7305.50ns INFO [00007307] Port=0 RD @01
7306.50ns INFO [00007308] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7307.50ns INFO [00007309] * RD COMPARE * port=0 adr=01 act=D40F3098341FC862E8 exp=D40F3098341FC862E8
7307.50ns INFO [00007309] Port=0 RD @03
7307.50ns INFO [00007309] Port=1 RD @07
7308.50ns INFO [00007310] Port=0 RD @06
7308.50ns INFO [00007310] Port=1 RD @05
7309.50ns INFO [00007311] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85
7309.50ns INFO [00007311] * RD COMPARE * port=1 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21
7310.50ns INFO [00007312] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1
7310.50ns INFO [00007312] * RD COMPARE * port=1 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7310.50ns INFO [00007312] Port=0 WR @02=0B192E7AFB10E4B300
7312.50ns INFO [00007314] Port=1 RD @00
7313.50ns INFO [00007315] Port=0 RD @03
7313.50ns INFO [00007315] Port=1 RD @04
7314.50ns INFO [00007316] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7314.50ns INFO [00007316] Port=1 RD @06
7315.50ns INFO [00007317] * RD COMPARE * port=0 adr=03 act=8D5E68158FEE502B85 exp=8D5E68158FEE502B85
7315.50ns INFO [00007317] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7316.50ns INFO [00007318] * RD COMPARE * port=1 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1
7317.50ns INFO [00007319] Port=0 RD @07
7318.50ns INFO [00007320] Port=0 RD @02
7318.50ns INFO [00007320] Port=1 RD @01
7319.50ns INFO [00007321] * RD COMPARE * port=0 adr=07 act=AF9DCFEFA1DD78EA21 exp=AF9DCFEFA1DD78EA21
7319.50ns INFO [00007321] Port=0 WR @03=134E3154C33DEB7CAB
7319.50ns INFO [00007321] Port=0 RD @05
7320.50ns INFO [00007322] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300
7320.50ns INFO [00007322] * RD COMPARE * port=1 adr=01 act=D40F3098341FC862E8 exp=D40F3098341FC862E8
7320.50ns INFO [00007322] Port=0 WR @07=4E9A77E289585FB37A
7320.50ns INFO [00007322] Port=0 RD @00
7320.50ns INFO [00007322] Port=1 RD @03
7321.50ns INFO [00007323] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7321.50ns INFO [00007323] Port=1 RD @05
7322.50ns INFO [00007324] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7322.50ns INFO [00007324] * RD COMPARE * port=1 adr=03 act=134E3154C33DEB7CAB exp=134E3154C33DEB7CAB
7323.50ns INFO [00007325] * RD COMPARE * port=1 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7323.50ns INFO [00007325] Port=0 WR @01=493E896C8A2256DCF8
7323.50ns INFO [00007325] Port=0 RD @02
7324.50ns INFO [00007326] Port=0 RD @02
7324.50ns INFO [00007326] Port=1 RD @00
7325.50ns INFO [00007327] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300
7325.50ns INFO [00007327] Port=0 RD @05
7326.50ns INFO [00007328] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300
7326.50ns INFO [00007328] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7326.50ns INFO [00007328] Port=0 WR @03=57AEB73FA821BDA855
7326.50ns INFO [00007328] Port=0 RD @07
7327.50ns INFO [00007329] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7327.50ns INFO [00007329] Port=0 WR @01=F238D42ECA445D5B43
7327.50ns INFO [00007329] Port=0 RD @02
7327.50ns INFO [00007329] Port=1 RD @00
7328.50ns INFO [00007330] * RD COMPARE * port=0 adr=07 act=4E9A77E289585FB37A exp=4E9A77E289585FB37A
7328.50ns INFO [00007330] Port=0 RD @01
7329.50ns INFO [00007331] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300
7329.50ns INFO [00007331] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7329.50ns INFO [00007331] Port=0 RD @05
7330.50ns INFO [00007332] * RD COMPARE * port=0 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7330.50ns INFO [00007332] Port=0 WR @05=4C7C1E480FF4F11755
7330.50ns INFO [00007332] Port=0 RD @00
7331.50ns INFO [00007333] * RD COMPARE * port=0 adr=05 act=1DC8AF207DD84A2A3F exp=1DC8AF207DD84A2A3F
7331.50ns INFO [00007333] Port=0 RD @02
7332.50ns INFO [00007334] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7332.50ns INFO [00007334] Port=1 RD @03
7333.50ns INFO [00007335] * RD COMPARE * port=0 adr=02 act=0B192E7AFB10E4B300 exp=0B192E7AFB10E4B300
7333.50ns INFO [00007335] Port=0 RD @04
7334.50ns INFO [00007336] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855
7334.50ns INFO [00007336] Port=1 RD @01
7335.50ns INFO [00007337] * RD COMPARE * port=0 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7335.50ns INFO [00007337] Port=1 RD @04
7336.50ns INFO [00007338] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7336.50ns INFO [00007338] Port=1 RD @04
7337.50ns INFO [00007339] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7337.50ns INFO [00007339] Port=0 WR @05=1600432FDCCA29A839
7338.50ns INFO [00007340] * RD COMPARE * port=1 adr=04 act=7BE13349DB9212EA2D exp=7BE13349DB9212EA2D
7338.50ns INFO [00007340] Port=1 RD @01
7339.50ns INFO [00007341] Port=0 RD @06
7339.50ns INFO [00007341] Port=1 RD @05
7340.50ns INFO [00007342] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7340.50ns INFO [00007342] Port=0 RD @03
7341.50ns INFO [00007343] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1
7341.50ns INFO [00007343] * RD COMPARE * port=1 adr=05 act=1600432FDCCA29A839 exp=1600432FDCCA29A839
7341.50ns INFO [00007343] Port=1 RD @07
7342.50ns INFO [00007344] * RD COMPARE * port=0 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855
7342.50ns INFO [00007344] Port=0 WR @07=BA6FBDD4C26AF279B2
7342.50ns INFO [00007344] Port=1 RD @00
7343.50ns INFO [00007345] * RD COMPARE * port=1 adr=07 act=4E9A77E289585FB37A exp=4E9A77E289585FB37A
7344.50ns INFO [00007346] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7344.50ns INFO [00007346] Port=0 WR @04=3BBF4496F861718899
7345.50ns INFO [00007347] Port=1 RD @07
7346.50ns INFO [00007348] Port=1 RD @01
7347.50ns INFO [00007349] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7347.50ns INFO [00007349] Port=0 WR @04=32A935E997D4771954
7347.50ns INFO [00007349] Port=0 RD @01
7348.50ns INFO [00007350] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7348.50ns INFO [00007350] Port=1 RD @03
7349.50ns INFO [00007351] * RD COMPARE * port=0 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7349.50ns INFO [00007351] Port=1 RD @01
7350.50ns INFO [00007352] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855
7350.50ns INFO [00007352] Port=0 WR @02=72E46F7D256F3DBE83
7350.50ns INFO [00007352] Port=0 RD @06
7351.50ns INFO [00007353] * RD COMPARE * port=1 adr=01 act=F238D42ECA445D5B43 exp=F238D42ECA445D5B43
7351.50ns INFO [00007353] Port=0 WR @01=45F47958620DC44BB7
7351.50ns INFO [00007353] Port=0 RD @00
7352.50ns INFO [00007354] * RD COMPARE * port=0 adr=06 act=00854C20A7D716BCD1 exp=00854C20A7D716BCD1
7352.50ns INFO [00007354] Port=0 WR @04=25AAA26ADB70715868
7352.50ns INFO [00007354] Port=0 RD @07
7352.50ns INFO [00007354] Port=1 RD @00
7353.50ns INFO [00007355] * RD COMPARE * port=0 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7354.50ns INFO [00007356] * RD COMPARE * port=0 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7354.50ns INFO [00007356] * RD COMPARE * port=1 adr=00 act=D267EF023E309F03D0 exp=D267EF023E309F03D0
7354.50ns INFO [00007356] Port=0 WR @04=A2B71B74F5C80B432C
7354.50ns INFO [00007356] Port=0 RD @07
7354.50ns INFO [00007356] Port=1 RD @07
7355.50ns INFO [00007357] Port=1 RD @07
7356.50ns INFO [00007358] * RD COMPARE * port=0 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7356.50ns INFO [00007358] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7356.50ns INFO [00007358] Port=0 WR @02=4AC6D93BDC1369F86A
7356.50ns INFO [00007358] Port=0 RD @01
7357.50ns INFO [00007359] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7357.50ns INFO [00007359] Port=0 WR @00=1B828ED40C271A53B2
7357.50ns INFO [00007359] Port=0 RD @03
7358.50ns INFO [00007360] * RD COMPARE * port=0 adr=01 act=45F47958620DC44BB7 exp=45F47958620DC44BB7
7358.50ns INFO [00007360] Port=0 WR @06=5B4AFAFD46B969AFDF
7358.50ns INFO [00007360] Port=1 RD @07
7359.50ns INFO [00007361] * RD COMPARE * port=0 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855
7359.50ns INFO [00007361] Port=0 WR @01=45D975AC46472B0848
7360.50ns INFO [00007362] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7360.50ns INFO [00007362] Port=1 RD @03
7361.50ns INFO [00007363] Port=0 WR @04=53A7968CA82DFD043A
7362.50ns INFO [00007364] * RD COMPARE * port=1 adr=03 act=57AEB73FA821BDA855 exp=57AEB73FA821BDA855
7362.50ns INFO [00007364] Port=0 WR @01=FC30DB383A8665E0BF
7362.50ns INFO [00007364] Port=0 RD @06
7363.50ns INFO [00007365] Port=0 RD @01
7363.50ns INFO [00007365] Port=1 RD @04
7364.50ns INFO [00007366] * RD COMPARE * port=0 adr=06 act=5B4AFAFD46B969AFDF exp=5B4AFAFD46B969AFDF
7364.50ns INFO [00007366] Port=0 WR @03=FFDC3409E9DED823CC
7364.50ns INFO [00007366] Port=0 RD @05
7365.50ns INFO [00007367] * RD COMPARE * port=0 adr=01 act=FC30DB383A8665E0BF exp=FC30DB383A8665E0BF
7365.50ns INFO [00007367] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A
7365.50ns INFO [00007367] Port=1 RD @06
7366.50ns INFO [00007368] * RD COMPARE * port=0 adr=05 act=1600432FDCCA29A839 exp=1600432FDCCA29A839
7366.50ns INFO [00007368] Port=1 RD @00
7367.50ns INFO [00007369] * RD COMPARE * port=1 adr=06 act=5B4AFAFD46B969AFDF exp=5B4AFAFD46B969AFDF
7367.50ns INFO [00007369] Port=0 WR @01=ACAA546AEF13E4C1B1
7367.50ns INFO [00007369] Port=1 RD @04
7368.50ns INFO [00007370] * RD COMPARE * port=1 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2
7368.50ns INFO [00007370] Port=0 WR @06=BB5B39D7A940A740D1
7368.50ns INFO [00007370] Port=1 RD @00
7369.50ns INFO [00007371] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A
7369.50ns INFO [00007371] Port=0 RD @01
7369.50ns INFO [00007371] Port=1 RD @07
7370.50ns INFO [00007372] * RD COMPARE * port=1 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2
7371.50ns INFO [00007373] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7371.50ns INFO [00007373] * RD COMPARE * port=1 adr=07 act=BA6FBDD4C26AF279B2 exp=BA6FBDD4C26AF279B2
7371.50ns INFO [00007373] Port=0 WR @07=47126A0681998BDF9F
7372.50ns INFO [00007374] Port=0 RD @00
7374.50ns INFO [00007376] * RD COMPARE * port=0 adr=00 act=1B828ED40C271A53B2 exp=1B828ED40C271A53B2
7374.50ns INFO [00007376] Port=0 WR @03=D00C62BEFE7833B4A4
7374.50ns INFO [00007376] Port=1 RD @02
7375.50ns INFO [00007377] Port=0 RD @04
7375.50ns INFO [00007377] Port=1 RD @02
7376.50ns INFO [00007378] * RD COMPARE * port=1 adr=02 act=4AC6D93BDC1369F86A exp=4AC6D93BDC1369F86A
7376.50ns INFO [00007378] Port=1 RD @03
7377.50ns INFO [00007379] * RD COMPARE * port=0 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A
7377.50ns INFO [00007379] * RD COMPARE * port=1 adr=02 act=4AC6D93BDC1369F86A exp=4AC6D93BDC1369F86A
7377.50ns INFO [00007379] Port=0 WR @02=37D26972BE177D8365
7377.50ns INFO [00007379] Port=1 RD @04
7378.50ns INFO [00007380] * RD COMPARE * port=1 adr=03 act=D00C62BEFE7833B4A4 exp=D00C62BEFE7833B4A4
7378.50ns INFO [00007380] Port=0 WR @03=23DA47BF4FA47CEF04
7378.50ns INFO [00007380] Port=0 RD @04
7379.50ns INFO [00007381] * RD COMPARE * port=1 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A
7380.50ns INFO [00007382] * RD COMPARE * port=0 adr=04 act=53A7968CA82DFD043A exp=53A7968CA82DFD043A
7380.50ns INFO [00007382] Port=0 WR @00=715CE650C39B259DCA
7380.50ns INFO [00007382] Port=1 RD @01
7381.50ns INFO [00007383] Port=1 RD @02
7382.50ns INFO [00007384] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7382.50ns INFO [00007384] Port=0 WR @04=385127AC0815854115
7382.50ns INFO [00007384] Port=0 RD @06
7383.50ns INFO [00007385] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365
7383.50ns INFO [00007385] Port=0 RD @01
7384.50ns INFO [00007386] * RD COMPARE * port=0 adr=06 act=BB5B39D7A940A740D1 exp=BB5B39D7A940A740D1
7384.50ns INFO [00007386] Port=0 WR @07=3979768F788D2281EE
7384.50ns INFO [00007386] Port=0 RD @01
7385.50ns INFO [00007387] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7385.50ns INFO [00007387] Port=0 WR @05=4D14663AF2742618BF
7385.50ns INFO [00007387] Port=0 RD @06
7385.50ns INFO [00007387] Port=1 RD @02
7386.50ns INFO [00007388] * RD COMPARE * port=0 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7387.50ns INFO [00007389] * RD COMPARE * port=0 adr=06 act=BB5B39D7A940A740D1 exp=BB5B39D7A940A740D1
7387.50ns INFO [00007389] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365
7387.50ns INFO [00007389] Port=0 WR @06=2AA53171976CF80205
7388.50ns INFO [00007390] Port=0 RD @00
7390.50ns INFO [00007392] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA
7390.50ns INFO [00007392] Port=0 RD @00
7390.50ns INFO [00007392] Port=1 RD @01
7391.50ns INFO [00007393] Port=0 RD @07
7392.50ns INFO [00007394] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA
7392.50ns INFO [00007394] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7392.50ns INFO [00007394] Port=0 WR @06=834102C73989FD7D33
7392.50ns INFO [00007394] Port=1 RD @00
7393.50ns INFO [00007395] * RD COMPARE * port=0 adr=07 act=3979768F788D2281EE exp=3979768F788D2281EE
7393.50ns INFO [00007395] Port=0 WR @05=BA052966182B43D301
7394.50ns INFO [00007396] * RD COMPARE * port=1 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA
7394.50ns INFO [00007396] Port=0 WR @06=C3C0FE5C73F2FAEACA
7395.50ns INFO [00007397] Port=0 WR @04=B3A71540EE59E59487
7395.50ns INFO [00007397] Port=1 RD @00
7396.50ns INFO [00007398] Port=0 RD @00
7397.50ns INFO [00007399] * RD COMPARE * port=1 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA
7398.00ns INFO [00007400] [00007400] ...tick...
7398.50ns INFO [00007400] * RD COMPARE * port=0 adr=00 act=715CE650C39B259DCA exp=715CE650C39B259DCA
7399.50ns INFO [00007401] Port=1 RD @02
7400.50ns INFO [00007402] Port=0 RD @05
7400.50ns INFO [00007402] Port=1 RD @01
7401.50ns INFO [00007403] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365
7401.50ns INFO [00007403] Port=0 WR @01=ABBC080437D2181135
7401.50ns INFO [00007403] Port=1 RD @03
7402.50ns INFO [00007404] * RD COMPARE * port=0 adr=05 act=BA052966182B43D301 exp=BA052966182B43D301
7402.50ns INFO [00007404] * RD COMPARE * port=1 adr=01 act=ACAA546AEF13E4C1B1 exp=ACAA546AEF13E4C1B1
7402.50ns INFO [00007404] Port=0 WR @06=1C68B4B21E7BFF2884
7403.50ns INFO [00007405] * RD COMPARE * port=1 adr=03 act=23DA47BF4FA47CEF04 exp=23DA47BF4FA47CEF04
7403.50ns INFO [00007405] Port=0 WR @03=EEF929F532BC4EB0D0
7404.50ns INFO [00007406] Port=0 WR @07=3A0ACD280F5E65F48A
7404.50ns INFO [00007406] Port=1 RD @06
7405.50ns INFO [00007407] Port=0 WR @00=CEB12DF23C1D186C58
7406.50ns INFO [00007408] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7406.50ns INFO [00007408] Port=0 RD @07
7406.50ns INFO [00007408] Port=1 RD @07
7408.50ns INFO [00007410] * RD COMPARE * port=0 adr=07 act=3A0ACD280F5E65F48A exp=3A0ACD280F5E65F48A
7408.50ns INFO [00007410] * RD COMPARE * port=1 adr=07 act=3A0ACD280F5E65F48A exp=3A0ACD280F5E65F48A
7408.50ns INFO [00007410] Port=0 WR @07=1A9DA4031854746B0B
7408.50ns INFO [00007410] Port=0 RD @02
7409.50ns INFO [00007411] Port=0 RD @01
7410.50ns INFO [00007412] * RD COMPARE * port=0 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365
7410.50ns INFO [00007412] Port=0 WR @03=6E8CFF218954948595
7410.50ns INFO [00007412] Port=1 RD @04
7411.50ns INFO [00007413] * RD COMPARE * port=0 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135
7411.50ns INFO [00007413] Port=0 RD @07
7412.50ns INFO [00007414] * RD COMPARE * port=1 adr=04 act=B3A71540EE59E59487 exp=B3A71540EE59E59487
7412.50ns INFO [00007414] Port=0 RD @06
7412.50ns INFO [00007414] Port=1 RD @06
7413.50ns INFO [00007415] * RD COMPARE * port=0 adr=07 act=1A9DA4031854746B0B exp=1A9DA4031854746B0B
7413.50ns INFO [00007415] Port=1 RD @02
7414.50ns INFO [00007416] * RD COMPARE * port=0 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7414.50ns INFO [00007416] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7414.50ns INFO [00007416] Port=0 WR @03=3E0D2353BA611BB5E6
7415.50ns INFO [00007417] * RD COMPARE * port=1 adr=02 act=37D26972BE177D8365 exp=37D26972BE177D8365
7415.50ns INFO [00007417] Port=0 WR @02=21F4C12C0F00348D72
7415.50ns INFO [00007417] Port=0 RD @05
7416.50ns INFO [00007418] Port=0 WR @03=1823F2383740236581
7417.50ns INFO [00007419] * RD COMPARE * port=0 adr=05 act=BA052966182B43D301 exp=BA052966182B43D301
7418.50ns INFO [00007420] Port=0 RD @01
7419.50ns INFO [00007421] Port=1 RD @01
7420.50ns INFO [00007422] * RD COMPARE * port=0 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135
7420.50ns INFO [00007422] Port=0 WR @04=FBB25D51244EF0120A
7420.50ns INFO [00007422] Port=1 RD @06
7421.50ns INFO [00007423] * RD COMPARE * port=1 adr=01 act=ABBC080437D2181135 exp=ABBC080437D2181135
7421.50ns INFO [00007423] Port=1 RD @06
7422.50ns INFO [00007424] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7422.50ns INFO [00007424] Port=1 RD @06
7423.50ns INFO [00007425] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7423.50ns INFO [00007425] Port=0 WR @01=E53FA4696E3BABF424
7423.50ns INFO [00007425] Port=0 RD @00
7423.50ns INFO [00007425] Port=1 RD @07
7424.50ns INFO [00007426] * RD COMPARE * port=1 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7424.50ns INFO [00007426] Port=0 WR @03=834710DF889F56FF47
7424.50ns INFO [00007426] Port=1 RD @01
7425.50ns INFO [00007427] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7425.50ns INFO [00007427] * RD COMPARE * port=1 adr=07 act=1A9DA4031854746B0B exp=1A9DA4031854746B0B
7425.50ns INFO [00007427] Port=0 WR @05=F8AF16969B505890AC
7425.50ns INFO [00007427] Port=0 RD @03
7425.50ns INFO [00007427] Port=1 RD @01
7426.50ns INFO [00007428] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424
7426.50ns INFO [00007428] Port=0 RD @06
7426.50ns INFO [00007428] Port=1 RD @00
7427.50ns INFO [00007429] * RD COMPARE * port=0 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47
7427.50ns INFO [00007429] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424
7427.50ns INFO [00007429] Port=0 WR @04=8EDD9338BB4E180FBC
7427.50ns INFO [00007429] Port=1 RD @00
7428.50ns INFO [00007430] * RD COMPARE * port=0 adr=06 act=1C68B4B21E7BFF2884 exp=1C68B4B21E7BFF2884
7428.50ns INFO [00007430] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7428.50ns INFO [00007430] Port=0 WR @04=82B555605CB23604BC
7428.50ns INFO [00007430] Port=1 RD @02
7429.50ns INFO [00007431] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7430.50ns INFO [00007432] * RD COMPARE * port=1 adr=02 act=21F4C12C0F00348D72 exp=21F4C12C0F00348D72
7430.50ns INFO [00007432] Port=0 RD @04
7431.50ns INFO [00007433] Port=0 RD @04
7432.50ns INFO [00007434] * RD COMPARE * port=0 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7433.50ns INFO [00007435] * RD COMPARE * port=0 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7433.50ns INFO [00007435] Port=0 RD @03
7433.50ns INFO [00007435] Port=1 RD @04
7434.50ns INFO [00007436] Port=1 RD @04
7435.50ns INFO [00007437] * RD COMPARE * port=0 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47
7435.50ns INFO [00007437] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7435.50ns INFO [00007437] Port=0 WR @02=A1630F5157ED753F1A
7435.50ns INFO [00007437] Port=1 RD @01
7436.50ns INFO [00007438] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7437.50ns INFO [00007439] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424
7437.50ns INFO [00007439] Port=0 WR @07=915A8E6EC23847C036
7437.50ns INFO [00007439] Port=1 RD @04
7438.50ns INFO [00007440] Port=0 WR @05=D7952F93E91D431697
7439.50ns INFO [00007441] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7439.50ns INFO [00007441] Port=0 RD @01
7439.50ns INFO [00007441] Port=1 RD @04
7440.50ns INFO [00007442] Port=0 WR @05=6BE667EB63E571C19B
7441.50ns INFO [00007443] * RD COMPARE * port=0 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424
7441.50ns INFO [00007443] * RD COMPARE * port=1 adr=04 act=82B555605CB23604BC exp=82B555605CB23604BC
7441.50ns INFO [00007443] Port=0 WR @04=80BB57B87298366429
7441.50ns INFO [00007443] Port=0 RD @07
7442.50ns INFO [00007444] Port=0 WR @07=E038AD5BCA3E6CD387
7442.50ns INFO [00007444] Port=0 RD @00
7442.50ns INFO [00007444] Port=1 RD @01
7443.50ns INFO [00007445] * RD COMPARE * port=0 adr=07 act=915A8E6EC23847C036 exp=915A8E6EC23847C036
7443.50ns INFO [00007445] Port=0 WR @06=D33C38A44A5B270D4B
7443.50ns INFO [00007445] Port=0 RD @04
7443.50ns INFO [00007445] Port=1 RD @00
7444.50ns INFO [00007446] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7444.50ns INFO [00007446] * RD COMPARE * port=1 adr=01 act=E53FA4696E3BABF424 exp=E53FA4696E3BABF424
7444.50ns INFO [00007446] Port=0 WR @01=BB569DA3A531624013
7445.50ns INFO [00007447] * RD COMPARE * port=0 adr=04 act=80BB57B87298366429 exp=80BB57B87298366429
7445.50ns INFO [00007447] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7445.50ns INFO [00007447] Port=0 WR @06=F85CEC028AE867A375
7445.50ns INFO [00007447] Port=0 RD @00
7445.50ns INFO [00007447] Port=1 RD @05
7446.50ns INFO [00007448] Port=0 WR @04=8D0A3B470EE1239C8C
7446.50ns INFO [00007448] Port=1 RD @06
7447.50ns INFO [00007449] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7447.50ns INFO [00007449] * RD COMPARE * port=1 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B
7447.50ns INFO [00007449] Port=0 RD @05
7447.50ns INFO [00007449] Port=1 RD @06
7448.50ns INFO [00007450] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7448.50ns INFO [00007450] Port=0 RD @06
7448.50ns INFO [00007450] Port=1 RD @00
7449.50ns INFO [00007451] * RD COMPARE * port=0 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B
7449.50ns INFO [00007451] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7449.50ns INFO [00007451] Port=1 RD @02
7450.50ns INFO [00007452] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7450.50ns INFO [00007452] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7450.50ns INFO [00007452] Port=1 RD @05
7451.50ns INFO [00007453] * RD COMPARE * port=1 adr=02 act=A1630F5157ED753F1A exp=A1630F5157ED753F1A
7451.50ns INFO [00007453] Port=0 WR @01=7D996FFBE419983D09
7451.50ns INFO [00007453] Port=0 RD @04
7451.50ns INFO [00007453] Port=1 RD @00
7452.50ns INFO [00007454] * RD COMPARE * port=1 adr=05 act=6BE667EB63E571C19B exp=6BE667EB63E571C19B
7452.50ns INFO [00007454] Port=0 WR @05=D7B0AEFB083D7B3FFC
7452.50ns INFO [00007454] Port=0 RD @00
7452.50ns INFO [00007454] Port=1 RD @00
7453.50ns INFO [00007455] * RD COMPARE * port=0 adr=04 act=8D0A3B470EE1239C8C exp=8D0A3B470EE1239C8C
7453.50ns INFO [00007455] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7453.50ns INFO [00007455] Port=0 WR @07=AB844BDE7A5FBDFB50
7453.50ns INFO [00007455] Port=1 RD @03
7454.50ns INFO [00007456] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7454.50ns INFO [00007456] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7454.50ns INFO [00007456] Port=0 WR @07=1F8834D03DBFB59702
7455.50ns INFO [00007457] * RD COMPARE * port=1 adr=03 act=834710DF889F56FF47 exp=834710DF889F56FF47
7456.50ns INFO [00007458] Port=0 WR @03=11C7A5917F54984371
7456.50ns INFO [00007458] Port=1 RD @00
7457.50ns INFO [00007459] Port=0 WR @01=A1F2D4D36CA99DCEE6
7457.50ns INFO [00007459] Port=1 RD @06
7458.50ns INFO [00007460] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7458.50ns INFO [00007460] Port=0 WR @03=59CC1300FF21DF33B7
7458.50ns INFO [00007460] Port=0 RD @05
7458.50ns INFO [00007460] Port=1 RD @06
7459.50ns INFO [00007461] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7459.50ns INFO [00007461] Port=1 RD @06
7460.50ns INFO [00007462] * RD COMPARE * port=0 adr=05 act=D7B0AEFB083D7B3FFC exp=D7B0AEFB083D7B3FFC
7460.50ns INFO [00007462] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7461.50ns INFO [00007463] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7463.50ns INFO [00007465] Port=0 WR @07=2F3A1DB2E8BFC0C2CF
7463.50ns INFO [00007465] Port=0 RD @06
7463.50ns INFO [00007465] Port=1 RD @06
7465.50ns INFO [00007467] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7465.50ns INFO [00007467] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7466.50ns INFO [00007468] Port=0 WR @01=A518352ABC5E599FB2
7467.50ns INFO [00007469] Port=0 WR @04=4D46D5A75DAA1D64F3
7468.50ns INFO [00007470] Port=0 WR @05=30C621E7E9BF5AD8F2
7469.50ns INFO [00007471] Port=1 RD @00
7470.50ns INFO [00007472] Port=0 WR @05=47C9FBEC3DD4EFA659
7471.50ns INFO [00007473] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7472.50ns INFO [00007474] Port=0 RD @07
7473.50ns INFO [00007475] Port=0 RD @00
7474.50ns INFO [00007476] * RD COMPARE * port=0 adr=07 act=2F3A1DB2E8BFC0C2CF exp=2F3A1DB2E8BFC0C2CF
7474.50ns INFO [00007476] Port=1 RD @01
7475.50ns INFO [00007477] * RD COMPARE * port=0 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7475.50ns INFO [00007477] Port=0 WR @07=027A9F20F99043FB8F
7475.50ns INFO [00007477] Port=0 RD @06
7476.50ns INFO [00007478] * RD COMPARE * port=1 adr=01 act=A518352ABC5E599FB2 exp=A518352ABC5E599FB2
7476.50ns INFO [00007478] Port=1 RD @00
7477.50ns INFO [00007479] * RD COMPARE * port=0 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7477.50ns INFO [00007479] Port=1 RD @04
7478.50ns INFO [00007480] * RD COMPARE * port=1 adr=00 act=CEB12DF23C1D186C58 exp=CEB12DF23C1D186C58
7479.50ns INFO [00007481] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3
7480.50ns INFO [00007482] Port=1 RD @04
7481.50ns INFO [00007483] Port=0 WR @00=827222CC3BF5B9E59F
7481.50ns INFO [00007483] Port=1 RD @03
7482.50ns INFO [00007484] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3
7482.50ns INFO [00007484] Port=0 WR @02=2153CFD8BDAB55E37E
7482.50ns INFO [00007484] Port=0 RD @04
7482.50ns INFO [00007484] Port=1 RD @06
7483.50ns INFO [00007485] * RD COMPARE * port=1 adr=03 act=59CC1300FF21DF33B7 exp=59CC1300FF21DF33B7
7483.50ns INFO [00007485] Port=1 RD @07
7484.50ns INFO [00007486] * RD COMPARE * port=0 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3
7484.50ns INFO [00007486] * RD COMPARE * port=1 adr=06 act=F85CEC028AE867A375 exp=F85CEC028AE867A375
7484.50ns INFO [00007486] Port=0 WR @06=306CC011872E09CA2F
7484.50ns INFO [00007486] Port=1 RD @04
7485.50ns INFO [00007487] * RD COMPARE * port=1 adr=07 act=027A9F20F99043FB8F exp=027A9F20F99043FB8F
7486.50ns INFO [00007488] * RD COMPARE * port=1 adr=04 act=4D46D5A75DAA1D64F3 exp=4D46D5A75DAA1D64F3
7486.50ns INFO [00007488] Port=1 RD @02
7487.50ns INFO [00007489] Port=0 WR @04=640E54D3C013677E1D
7487.50ns INFO [00007489] Port=0 RD @00
7487.50ns INFO [00007489] Port=1 RD @03
7488.50ns INFO [00007490] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7488.50ns INFO [00007490] Port=0 WR @03=2A1A33DD4EB32341C7
7488.50ns INFO [00007490] Port=0 RD @02
7488.50ns INFO [00007490] Port=1 RD @02
7489.50ns INFO [00007491] * RD COMPARE * port=0 adr=00 act=827222CC3BF5B9E59F exp=827222CC3BF5B9E59F
7489.50ns INFO [00007491] * RD COMPARE * port=1 adr=03 act=59CC1300FF21DF33B7 exp=59CC1300FF21DF33B7
7489.50ns INFO [00007491] Port=1 RD @02
7490.50ns INFO [00007492] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7490.50ns INFO [00007492] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7490.50ns INFO [00007492] Port=1 RD @04
7491.50ns INFO [00007493] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7492.50ns INFO [00007494] * RD COMPARE * port=1 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D
7492.50ns INFO [00007494] Port=0 WR @06=8708AEFCD2680DACEF
7493.50ns INFO [00007495] Port=1 RD @02
7495.50ns INFO [00007497] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7497.50ns INFO [00007499] Port=0 WR @00=3D7326CF254645C655
7497.50ns INFO [00007499] Port=0 RD @05
7497.50ns INFO [00007499] Port=1 RD @01
7498.00ns INFO [00007500] [00007500] ...tick...
7498.50ns INFO [00007500] Port=0 WR @00=76B40AA73B6267F441
7499.50ns INFO [00007501] * RD COMPARE * port=0 adr=05 act=47C9FBEC3DD4EFA659 exp=47C9FBEC3DD4EFA659
7499.50ns INFO [00007501] * RD COMPARE * port=1 adr=01 act=A518352ABC5E599FB2 exp=A518352ABC5E599FB2
7499.50ns INFO [00007501] Port=0 RD @06
7500.50ns INFO [00007502] Port=0 WR @05=2C89FC555AC40669AF
7501.50ns INFO [00007503] * RD COMPARE * port=0 adr=06 act=8708AEFCD2680DACEF exp=8708AEFCD2680DACEF
7503.50ns INFO [00007505] Port=0 WR @06=340D1CEEAD9670FC70
7504.50ns INFO [00007506] Port=0 WR @05=386DE075580C491C43
7505.50ns INFO [00007507] Port=1 RD @03
7506.50ns INFO [00007508] Port=0 WR @05=9B48C9184C95CC1072
7506.50ns INFO [00007508] Port=1 RD @03
7507.50ns INFO [00007509] * RD COMPARE * port=1 adr=03 act=2A1A33DD4EB32341C7 exp=2A1A33DD4EB32341C7
7507.50ns INFO [00007509] Port=0 WR @03=2D02A589BC270BC1CA
7507.50ns INFO [00007509] Port=0 RD @02
7507.50ns INFO [00007509] Port=1 RD @07
7508.50ns INFO [00007510] * RD COMPARE * port=1 adr=03 act=2A1A33DD4EB32341C7 exp=2A1A33DD4EB32341C7
7509.50ns INFO [00007511] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7509.50ns INFO [00007511] * RD COMPARE * port=1 adr=07 act=027A9F20F99043FB8F exp=027A9F20F99043FB8F
7509.50ns INFO [00007511] Port=0 WR @01=0A967BE4EC2F1D6A6B
7509.50ns INFO [00007511] Port=0 RD @04
7509.50ns INFO [00007511] Port=1 RD @02
7510.50ns INFO [00007512] Port=0 WR @07=1E85D79EABE2020ED2
7511.50ns INFO [00007513] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D
7511.50ns INFO [00007513] * RD COMPARE * port=1 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7514.50ns INFO [00007516] Port=1 RD @03
7515.50ns INFO [00007517] Port=0 WR @00=C9BE5CA88DEA444DE0
7516.50ns INFO [00007518] * RD COMPARE * port=1 adr=03 act=2D02A589BC270BC1CA exp=2D02A589BC270BC1CA
7516.50ns INFO [00007518] Port=0 WR @07=B5067C7EB2C124AAEC
7516.50ns INFO [00007518] Port=0 RD @02
7517.50ns INFO [00007519] Port=0 RD @06
7518.50ns INFO [00007520] * RD COMPARE * port=0 adr=02 act=2153CFD8BDAB55E37E exp=2153CFD8BDAB55E37E
7519.50ns INFO [00007521] * RD COMPARE * port=0 adr=06 act=340D1CEEAD9670FC70 exp=340D1CEEAD9670FC70
7520.50ns INFO [00007522] Port=0 WR @00=249E4849E283408F4F
7521.50ns INFO [00007523] Port=0 WR @02=92119845AA64C83185
7521.50ns INFO [00007523] Port=1 RD @00
7522.50ns INFO [00007524] Port=0 WR @06=70361A3A0CE16C58C6
7522.50ns INFO [00007524] Port=0 RD @02
7522.50ns INFO [00007524] Port=1 RD @00
7523.50ns INFO [00007525] * RD COMPARE * port=1 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F
7523.50ns INFO [00007525] Port=0 WR @03=7DC841F159965A759E
7523.50ns INFO [00007525] Port=0 RD @05
7523.50ns INFO [00007525] Port=1 RD @02
7524.50ns INFO [00007526] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185
7524.50ns INFO [00007526] * RD COMPARE * port=1 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F
7524.50ns INFO [00007526] Port=0 RD @00
7525.50ns INFO [00007527] * RD COMPARE * port=0 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072
7525.50ns INFO [00007527] * RD COMPARE * port=1 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185
7525.50ns INFO [00007527] Port=0 RD @06
7526.50ns INFO [00007528] * RD COMPARE * port=0 adr=00 act=249E4849E283408F4F exp=249E4849E283408F4F
7526.50ns INFO [00007528] Port=0 WR @00=844249C4F76452686E
7527.50ns INFO [00007529] * RD COMPARE * port=0 adr=06 act=70361A3A0CE16C58C6 exp=70361A3A0CE16C58C6
7527.50ns INFO [00007529] Port=0 RD @06
7528.50ns INFO [00007530] Port=0 WR @07=5455FBDE08455E01F4
7528.50ns INFO [00007530] Port=1 RD @01
7529.50ns INFO [00007531] * RD COMPARE * port=0 adr=06 act=70361A3A0CE16C58C6 exp=70361A3A0CE16C58C6
7529.50ns INFO [00007531] Port=0 WR @01=D1D8E14F0AF3CE08FB
7530.50ns INFO [00007532] * RD COMPARE * port=1 adr=01 act=0A967BE4EC2F1D6A6B exp=0A967BE4EC2F1D6A6B
7530.50ns INFO [00007532] Port=0 RD @02
7532.50ns INFO [00007534] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185
7532.50ns INFO [00007534] Port=0 WR @01=11C7471499872809F6
7535.50ns INFO [00007537] Port=1 RD @05
7536.50ns INFO [00007538] Port=0 WR @06=B1D073F2F512CA842B
7536.50ns INFO [00007538] Port=1 RD @02
7537.50ns INFO [00007539] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072
7537.50ns INFO [00007539] Port=0 WR @00=2E5E545113C269206A
7538.50ns INFO [00007540] * RD COMPARE * port=1 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185
7538.50ns INFO [00007540] Port=0 RD @04
7539.50ns INFO [00007541] Port=0 RD @00
7539.50ns INFO [00007541] Port=1 RD @04
7540.50ns INFO [00007542] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D
7540.50ns INFO [00007542] Port=0 RD @04
7540.50ns INFO [00007542] Port=1 RD @06
7541.50ns INFO [00007543] * RD COMPARE * port=0 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A
7541.50ns INFO [00007543] * RD COMPARE * port=1 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D
7542.50ns INFO [00007544] * RD COMPARE * port=0 adr=04 act=640E54D3C013677E1D exp=640E54D3C013677E1D
7542.50ns INFO [00007544] * RD COMPARE * port=1 adr=06 act=B1D073F2F512CA842B exp=B1D073F2F512CA842B
7544.50ns INFO [00007546] Port=1 RD @03
7545.50ns INFO [00007547] Port=0 WR @04=33CF3CD79C9DE329D0
7545.50ns INFO [00007547] Port=1 RD @05
7546.50ns INFO [00007548] * RD COMPARE * port=1 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E
7547.50ns INFO [00007549] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072
7547.50ns INFO [00007549] Port=0 RD @02
7547.50ns INFO [00007549] Port=1 RD @01
7548.50ns INFO [00007550] Port=0 WR @02=3E122839DD56968132
7549.50ns INFO [00007551] * RD COMPARE * port=0 adr=02 act=92119845AA64C83185 exp=92119845AA64C83185
7549.50ns INFO [00007551] * RD COMPARE * port=1 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6
7549.50ns INFO [00007551] Port=1 RD @04
7550.50ns INFO [00007552] Port=0 RD @04
7550.50ns INFO [00007552] Port=1 RD @05
7551.50ns INFO [00007553] * RD COMPARE * port=1 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0
7551.50ns INFO [00007553] Port=0 WR @07=93E7F2C11D016A01C0
7552.50ns INFO [00007554] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0
7552.50ns INFO [00007554] * RD COMPARE * port=1 adr=05 act=9B48C9184C95CC1072 exp=9B48C9184C95CC1072
7552.50ns INFO [00007554] Port=0 WR @06=CC5A67223C1B0D4B3B
7553.50ns INFO [00007555] Port=0 WR @05=D5455FF81D0EDAEEA1
7553.50ns INFO [00007555] Port=1 RD @00
7554.50ns INFO [00007556] Port=0 WR @02=FBCC94A108BE59EC23
7554.50ns INFO [00007556] Port=1 RD @00
7555.50ns INFO [00007557] * RD COMPARE * port=1 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A
7555.50ns INFO [00007557] Port=0 RD @04
7556.50ns INFO [00007558] * RD COMPARE * port=1 adr=00 act=2E5E545113C269206A exp=2E5E545113C269206A
7556.50ns INFO [00007558] Port=0 RD @04
7556.50ns INFO [00007558] Port=1 RD @02
7557.50ns INFO [00007559] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0
7557.50ns INFO [00007559] Port=0 WR @05=59DEA90BA81365697B
7557.50ns INFO [00007559] Port=0 RD @03
7557.50ns INFO [00007559] Port=1 RD @07
7558.50ns INFO [00007560] * RD COMPARE * port=0 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0
7558.50ns INFO [00007560] * RD COMPARE * port=1 adr=02 act=FBCC94A108BE59EC23 exp=FBCC94A108BE59EC23
7558.50ns INFO [00007560] Port=0 WR @00=9A32F05432E57FADB5
7558.50ns INFO [00007560] Port=0 RD @01
7558.50ns INFO [00007560] Port=1 RD @05
7559.50ns INFO [00007561] * RD COMPARE * port=0 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E
7559.50ns INFO [00007561] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7559.50ns INFO [00007561] Port=0 RD @07
7559.50ns INFO [00007561] Port=1 RD @07
7560.50ns INFO [00007562] * RD COMPARE * port=0 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6
7560.50ns INFO [00007562] * RD COMPARE * port=1 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B
7561.50ns INFO [00007563] * RD COMPARE * port=0 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7561.50ns INFO [00007563] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7561.50ns INFO [00007563] Port=0 WR @02=07A9DE9C4A44755E2D
7561.50ns INFO [00007563] Port=0 RD @05
7563.50ns INFO [00007565] * RD COMPARE * port=0 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B
7563.50ns INFO [00007565] Port=0 RD @03
7565.50ns INFO [00007567] * RD COMPARE * port=0 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E
7566.50ns INFO [00007568] Port=0 RD @01
7566.50ns INFO [00007568] Port=1 RD @01
7567.50ns INFO [00007569] Port=0 WR @06=925D214B0B874CAD98
7567.50ns INFO [00007569] Port=1 RD @04
7568.50ns INFO [00007570] * RD COMPARE * port=0 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6
7568.50ns INFO [00007570] * RD COMPARE * port=1 adr=01 act=11C7471499872809F6 exp=11C7471499872809F6
7568.50ns INFO [00007570] Port=0 RD @06
7569.50ns INFO [00007571] * RD COMPARE * port=1 adr=04 act=33CF3CD79C9DE329D0 exp=33CF3CD79C9DE329D0
7570.50ns INFO [00007572] * RD COMPARE * port=0 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98
7570.50ns INFO [00007572] Port=1 RD @07
7571.50ns INFO [00007573] Port=0 WR @01=08D52123FC91EFF0DD
7572.50ns INFO [00007574] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7572.50ns INFO [00007574] Port=0 RD @01
7572.50ns INFO [00007574] Port=1 RD @00
7574.50ns INFO [00007576] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD
7574.50ns INFO [00007576] * RD COMPARE * port=1 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5
7574.50ns INFO [00007576] Port=1 RD @01
7576.50ns INFO [00007578] * RD COMPARE * port=1 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD
7576.50ns INFO [00007578] Port=0 RD @00
7577.50ns INFO [00007579] Port=0 RD @00
7577.50ns INFO [00007579] Port=1 RD @03
7578.50ns INFO [00007580] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5
7578.50ns INFO [00007580] Port=0 WR @03=9577494BC7210C2FA6
7579.50ns INFO [00007581] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5
7579.50ns INFO [00007581] * RD COMPARE * port=1 adr=03 act=7DC841F159965A759E exp=7DC841F159965A759E
7579.50ns INFO [00007581] Port=0 WR @04=2B607FC739F7A93DE5
7579.50ns INFO [00007581] Port=0 RD @01
7579.50ns INFO [00007581] Port=1 RD @06
7580.50ns INFO [00007582] Port=0 RD @05
7581.50ns INFO [00007583] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD
7581.50ns INFO [00007583] * RD COMPARE * port=1 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98
7582.50ns INFO [00007584] * RD COMPARE * port=0 adr=05 act=59DEA90BA81365697B exp=59DEA90BA81365697B
7584.50ns INFO [00007586] Port=0 RD @04
7585.50ns INFO [00007587] Port=1 RD @03
7586.50ns INFO [00007588] * RD COMPARE * port=0 adr=04 act=2B607FC739F7A93DE5 exp=2B607FC739F7A93DE5
7586.50ns INFO [00007588] Port=0 RD @01
7586.50ns INFO [00007588] Port=1 RD @03
7587.50ns INFO [00007589] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7588.50ns INFO [00007590] * RD COMPARE * port=0 adr=01 act=08D52123FC91EFF0DD exp=08D52123FC91EFF0DD
7588.50ns INFO [00007590] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7588.50ns INFO [00007590] Port=1 RD @02
7590.50ns INFO [00007592] * RD COMPARE * port=1 adr=02 act=07A9DE9C4A44755E2D exp=07A9DE9C4A44755E2D
7590.50ns INFO [00007592] Port=0 WR @05=89C5DE78129B9334E0
7592.50ns INFO [00007594] Port=1 RD @06
7593.50ns INFO [00007595] Port=0 WR @02=CD0E5D99A948165280
7594.50ns INFO [00007596] * RD COMPARE * port=1 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98
7594.50ns INFO [00007596] Port=0 WR @01=D36CB908C1E8410905
7594.50ns INFO [00007596] Port=0 RD @02
7595.50ns INFO [00007597] Port=0 WR @02=E1E5143D7049AD0A80
7596.50ns INFO [00007598] * RD COMPARE * port=0 adr=02 act=CD0E5D99A948165280 exp=CD0E5D99A948165280
7596.50ns INFO [00007598] Port=0 RD @00
7596.50ns INFO [00007598] Port=1 RD @03
7597.50ns INFO [00007599] Port=0 WR @04=837F134C7F09DC31F8
7598.00ns INFO [00007600] [00007600] ...tick...
7598.50ns INFO [00007600] * RD COMPARE * port=0 adr=00 act=9A32F05432E57FADB5 exp=9A32F05432E57FADB5
7598.50ns INFO [00007600] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7598.50ns INFO [00007600] Port=0 RD @06
7599.50ns INFO [00007601] Port=1 RD @07
7600.50ns INFO [00007602] * RD COMPARE * port=0 adr=06 act=925D214B0B874CAD98 exp=925D214B0B874CAD98
7600.50ns INFO [00007602] Port=0 WR @05=CD307915FC5A742313
7600.50ns INFO [00007602] Port=1 RD @04
7601.50ns INFO [00007603] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7601.50ns INFO [00007603] Port=0 RD @05
7602.50ns INFO [00007604] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8
7602.50ns INFO [00007604] Port=1 RD @02
7603.50ns INFO [00007605] * RD COMPARE * port=0 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313
7603.50ns INFO [00007605] Port=0 WR @06=CAA70483BBD4E07C4A
7604.50ns INFO [00007606] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7604.50ns INFO [00007606] Port=1 RD @06
7605.50ns INFO [00007607] Port=0 RD @02
7605.50ns INFO [00007607] Port=1 RD @06
7606.50ns INFO [00007608] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A
7607.50ns INFO [00007609] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7607.50ns INFO [00007609] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A
7609.50ns INFO [00007611] Port=1 RD @05
7611.50ns INFO [00007613] * RD COMPARE * port=1 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313
7611.50ns INFO [00007613] Port=0 WR @01=C0894F50B9CC72A4BC
7612.50ns INFO [00007614] Port=0 WR @00=5847F12069E942AF3E
7612.50ns INFO [00007614] Port=0 RD @05
7613.50ns INFO [00007615] Port=0 WR @05=8C30764D2AD96C65AA
7613.50ns INFO [00007615] Port=0 RD @00
7613.50ns INFO [00007615] Port=1 RD @00
7614.50ns INFO [00007616] * RD COMPARE * port=0 adr=05 act=CD307915FC5A742313 exp=CD307915FC5A742313
7615.50ns INFO [00007617] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E
7615.50ns INFO [00007617] * RD COMPARE * port=1 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E
7615.50ns INFO [00007617] Port=0 WR @01=12589A4B54A624BC9A
7615.50ns INFO [00007617] Port=1 RD @04
7616.50ns INFO [00007618] Port=0 RD @00
7617.50ns INFO [00007619] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8
7617.50ns INFO [00007619] Port=0 RD @07
7618.50ns INFO [00007620] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E
7618.50ns INFO [00007620] Port=1 RD @07
7619.50ns INFO [00007621] * RD COMPARE * port=0 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7619.50ns INFO [00007621] Port=0 RD @00
7620.50ns INFO [00007622] * RD COMPARE * port=1 adr=07 act=93E7F2C11D016A01C0 exp=93E7F2C11D016A01C0
7620.50ns INFO [00007622] Port=1 RD @06
7621.50ns INFO [00007623] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E
7621.50ns INFO [00007623] Port=0 WR @07=2C2C03CD90C609EDD1
7621.50ns INFO [00007623] Port=0 RD @01
7621.50ns INFO [00007623] Port=1 RD @02
7622.50ns INFO [00007624] * RD COMPARE * port=1 adr=06 act=CAA70483BBD4E07C4A exp=CAA70483BBD4E07C4A
7622.50ns INFO [00007624] Port=0 RD @00
7622.50ns INFO [00007624] Port=1 RD @07
7623.50ns INFO [00007625] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7623.50ns INFO [00007625] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7623.50ns INFO [00007625] Port=0 WR @06=1D00F102F2C0A76EE6
7623.50ns INFO [00007625] Port=1 RD @07
7624.50ns INFO [00007626] * RD COMPARE * port=0 adr=00 act=5847F12069E942AF3E exp=5847F12069E942AF3E
7624.50ns INFO [00007626] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1
7624.50ns INFO [00007626] Port=0 RD @02
7624.50ns INFO [00007626] Port=1 RD @05
7625.50ns INFO [00007627] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1
7626.50ns INFO [00007628] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7626.50ns INFO [00007628] * RD COMPARE * port=1 adr=05 act=8C30764D2AD96C65AA exp=8C30764D2AD96C65AA
7626.50ns INFO [00007628] Port=0 WR @00=3D30A19EBA59FD9B21
7626.50ns INFO [00007628] Port=1 RD @03
7628.50ns INFO [00007630] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7628.50ns INFO [00007630] Port=1 RD @07
7629.50ns INFO [00007631] Port=0 RD @06
7630.50ns INFO [00007632] * RD COMPARE * port=1 adr=07 act=2C2C03CD90C609EDD1 exp=2C2C03CD90C609EDD1
7630.50ns INFO [00007632] Port=1 RD @04
7631.50ns INFO [00007633] * RD COMPARE * port=0 adr=06 act=1D00F102F2C0A76EE6 exp=1D00F102F2C0A76EE6
7632.50ns INFO [00007634] * RD COMPARE * port=1 adr=04 act=837F134C7F09DC31F8 exp=837F134C7F09DC31F8
7632.50ns INFO [00007634] Port=0 WR @06=9AB5327A8EC7471E5C
7633.50ns INFO [00007635] Port=0 WR @04=D70FCE8D5B47A0B7FA
7633.50ns INFO [00007635] Port=0 RD @02
7633.50ns INFO [00007635] Port=1 RD @02
7635.50ns INFO [00007637] * RD COMPARE * port=0 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7635.50ns INFO [00007637] * RD COMPARE * port=1 adr=02 act=E1E5143D7049AD0A80 exp=E1E5143D7049AD0A80
7635.50ns INFO [00007637] Port=0 WR @06=2FEE7B17C97F6EAEE3
7635.50ns INFO [00007637] Port=1 RD @04
7636.50ns INFO [00007638] Port=0 WR @07=BD3F11C3EC20220C5D
7636.50ns INFO [00007638] Port=0 RD @01
7637.50ns INFO [00007639] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7637.50ns INFO [00007639] Port=0 WR @00=BFC3EED0889E954391
7637.50ns INFO [00007639] Port=0 RD @03
7638.50ns INFO [00007640] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7638.50ns INFO [00007640] Port=1 RD @05
7639.50ns INFO [00007641] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7639.50ns INFO [00007641] Port=0 WR @02=A6B31BC6728C18F8DE
7639.50ns INFO [00007641] Port=0 RD @00
7639.50ns INFO [00007641] Port=1 RD @04
7640.50ns INFO [00007642] * RD COMPARE * port=1 adr=05 act=8C30764D2AD96C65AA exp=8C30764D2AD96C65AA
7640.50ns INFO [00007642] Port=1 RD @06
7641.50ns INFO [00007643] * RD COMPARE * port=0 adr=00 act=BFC3EED0889E954391 exp=BFC3EED0889E954391
7641.50ns INFO [00007643] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7641.50ns INFO [00007643] Port=0 RD @00
7642.50ns INFO [00007644] * RD COMPARE * port=1 adr=06 act=2FEE7B17C97F6EAEE3 exp=2FEE7B17C97F6EAEE3
7642.50ns INFO [00007644] Port=0 RD @02
7643.50ns INFO [00007645] * RD COMPARE * port=0 adr=00 act=BFC3EED0889E954391 exp=BFC3EED0889E954391
7643.50ns INFO [00007645] Port=1 RD @04
7644.50ns INFO [00007646] * RD COMPARE * port=0 adr=02 act=A6B31BC6728C18F8DE exp=A6B31BC6728C18F8DE
7644.50ns INFO [00007646] Port=1 RD @04
7645.50ns INFO [00007647] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7645.50ns INFO [00007647] Port=0 RD @04
7646.50ns INFO [00007648] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7646.50ns INFO [00007648] Port=0 WR @06=F3411CC3D7535F9906
7647.50ns INFO [00007649] * RD COMPARE * port=0 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7647.50ns INFO [00007649] Port=0 WR @02=6813577022F6EE23B4
7648.50ns INFO [00007650] Port=0 WR @00=FDDFB9CBE1AFBED0E8
7649.50ns INFO [00007651] Port=0 WR @07=80CB56C3CA0E7EC170
7649.50ns INFO [00007651] Port=0 RD @02
7649.50ns INFO [00007651] Port=1 RD @02
7650.50ns INFO [00007652] Port=0 RD @06
7651.50ns INFO [00007653] * RD COMPARE * port=0 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4
7651.50ns INFO [00007653] * RD COMPARE * port=1 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4
7651.50ns INFO [00007653] Port=0 RD @02
7652.50ns INFO [00007654] * RD COMPARE * port=0 adr=06 act=F3411CC3D7535F9906 exp=F3411CC3D7535F9906
7652.50ns INFO [00007654] Port=0 WR @05=98A33EA7DD97FD7474
7653.50ns INFO [00007655] * RD COMPARE * port=0 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4
7653.50ns INFO [00007655] Port=1 RD @04
7654.50ns INFO [00007656] Port=0 RD @04
7654.50ns INFO [00007656] Port=1 RD @02
7655.50ns INFO [00007657] * RD COMPARE * port=1 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7655.50ns INFO [00007657] Port=1 RD @03
7656.50ns INFO [00007658] * RD COMPARE * port=0 adr=04 act=D70FCE8D5B47A0B7FA exp=D70FCE8D5B47A0B7FA
7656.50ns INFO [00007658] * RD COMPARE * port=1 adr=02 act=6813577022F6EE23B4 exp=6813577022F6EE23B4
7656.50ns INFO [00007658] Port=0 RD @00
7657.50ns INFO [00007659] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7657.50ns INFO [00007659] Port=0 WR @04=7CF35A56E6F6EEF0B7
7657.50ns INFO [00007659] Port=0 RD @03
7658.50ns INFO [00007660] * RD COMPARE * port=0 adr=00 act=FDDFB9CBE1AFBED0E8 exp=FDDFB9CBE1AFBED0E8
7658.50ns INFO [00007660] Port=0 RD @03
7658.50ns INFO [00007660] Port=1 RD @03
7659.50ns INFO [00007661] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7659.50ns INFO [00007661] Port=0 WR @06=D1FFE400B0DE955A8A
7659.50ns INFO [00007661] Port=1 RD @05
7660.50ns INFO [00007662] * RD COMPARE * port=0 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7660.50ns INFO [00007662] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7660.50ns INFO [00007662] Port=1 RD @00
7661.50ns INFO [00007663] * RD COMPARE * port=1 adr=05 act=98A33EA7DD97FD7474 exp=98A33EA7DD97FD7474
7661.50ns INFO [00007663] Port=0 WR @07=2C28056F9C1FC718C1
7661.50ns INFO [00007663] Port=1 RD @04
7662.50ns INFO [00007664] * RD COMPARE * port=1 adr=00 act=FDDFB9CBE1AFBED0E8 exp=FDDFB9CBE1AFBED0E8
7662.50ns INFO [00007664] Port=0 RD @01
7663.50ns INFO [00007665] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7663.50ns INFO [00007665] Port=0 WR @02=4C8CB60EE03CD1034E
7663.50ns INFO [00007665] Port=0 RD @04
7664.50ns INFO [00007666] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7665.50ns INFO [00007667] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7665.50ns INFO [00007667] Port=0 RD @05
7666.50ns INFO [00007668] Port=0 WR @06=98E386BDDB723B8CA8
7666.50ns INFO [00007668] Port=1 RD @03
7667.50ns INFO [00007669] * RD COMPARE * port=0 adr=05 act=98A33EA7DD97FD7474 exp=98A33EA7DD97FD7474
7667.50ns INFO [00007669] Port=0 WR @00=CB577DAC78B775B821
7668.50ns INFO [00007670] * RD COMPARE * port=1 adr=03 act=9577494BC7210C2FA6 exp=9577494BC7210C2FA6
7668.50ns INFO [00007670] Port=1 RD @02
7669.50ns INFO [00007671] Port=0 WR @02=443B445B87A50E883B
7669.50ns INFO [00007671] Port=1 RD @01
7670.50ns INFO [00007672] * RD COMPARE * port=1 adr=02 act=4C8CB60EE03CD1034E exp=4C8CB60EE03CD1034E
7670.50ns INFO [00007672] Port=1 RD @01
7671.50ns INFO [00007673] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7672.50ns INFO [00007674] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7672.50ns INFO [00007674] Port=0 RD @04
7674.50ns INFO [00007676] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7674.50ns INFO [00007676] Port=0 RD @02
7674.50ns INFO [00007676] Port=1 RD @06
7675.50ns INFO [00007677] Port=0 WR @05=65CC4B6837EAF7F178
7676.50ns INFO [00007678] * RD COMPARE * port=0 adr=02 act=443B445B87A50E883B exp=443B445B87A50E883B
7676.50ns INFO [00007678] * RD COMPARE * port=1 adr=06 act=98E386BDDB723B8CA8 exp=98E386BDDB723B8CA8
7678.50ns INFO [00007680] Port=0 WR @00=2153BD6EED67A5B192
7678.50ns INFO [00007680] Port=1 RD @05
7679.50ns INFO [00007681] Port=0 RD @07
7680.50ns INFO [00007682] * RD COMPARE * port=1 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178
7680.50ns INFO [00007682] Port=0 WR @03=288DAE4AC04A841379
7680.50ns INFO [00007682] Port=0 RD @00
7680.50ns INFO [00007682] Port=1 RD @04
7681.50ns INFO [00007683] * RD COMPARE * port=0 adr=07 act=2C28056F9C1FC718C1 exp=2C28056F9C1FC718C1
7682.50ns INFO [00007684] * RD COMPARE * port=0 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192
7682.50ns INFO [00007684] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7682.50ns INFO [00007684] Port=0 WR @06=C08FAB03FCFBA50766
7682.50ns INFO [00007684] Port=0 RD @01
7683.50ns INFO [00007685] Port=0 WR @07=9456F13A0829225AAB
7684.50ns INFO [00007686] * RD COMPARE * port=0 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7684.50ns INFO [00007686] Port=0 RD @05
7684.50ns INFO [00007686] Port=1 RD @03
7685.50ns INFO [00007687] Port=0 RD @07
7686.50ns INFO [00007688] * RD COMPARE * port=0 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178
7686.50ns INFO [00007688] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379
7686.50ns INFO [00007688] Port=1 RD @01
7687.50ns INFO [00007689] * RD COMPARE * port=0 adr=07 act=9456F13A0829225AAB exp=9456F13A0829225AAB
7687.50ns INFO [00007689] Port=0 WR @07=71A18A7DE7C625308E
7687.50ns INFO [00007689] Port=1 RD @02
7688.50ns INFO [00007690] * RD COMPARE * port=1 adr=01 act=12589A4B54A624BC9A exp=12589A4B54A624BC9A
7688.50ns INFO [00007690] Port=0 RD @05
7688.50ns INFO [00007690] Port=1 RD @03
7689.50ns INFO [00007691] * RD COMPARE * port=1 adr=02 act=443B445B87A50E883B exp=443B445B87A50E883B
7689.50ns INFO [00007691] Port=0 WR @06=AF947BDB245DBD9040
7689.50ns INFO [00007691] Port=1 RD @05
7690.50ns INFO [00007692] * RD COMPARE * port=0 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178
7690.50ns INFO [00007692] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379
7690.50ns INFO [00007692] Port=1 RD @07
7691.50ns INFO [00007693] * RD COMPARE * port=1 adr=05 act=65CC4B6837EAF7F178 exp=65CC4B6837EAF7F178
7691.50ns INFO [00007693] Port=0 WR @02=14102C0704582FE89E
7691.50ns INFO [00007693] Port=1 RD @06
7692.50ns INFO [00007694] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7693.50ns INFO [00007695] * RD COMPARE * port=1 adr=06 act=AF947BDB245DBD9040 exp=AF947BDB245DBD9040
7693.50ns INFO [00007695] Port=0 RD @07
7694.50ns INFO [00007696] Port=0 RD @00
7694.50ns INFO [00007696] Port=1 RD @04
7695.50ns INFO [00007697] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7696.50ns INFO [00007698] * RD COMPARE * port=0 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192
7696.50ns INFO [00007698] * RD COMPARE * port=1 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7696.50ns INFO [00007698] Port=1 RD @02
7697.50ns INFO [00007699] Port=0 WR @05=D21380A59580BD895A
7697.50ns INFO [00007699] Port=1 RD @06
7698.00ns INFO [00007700] [00007700] ...tick...
7698.50ns INFO [00007700] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7698.50ns INFO [00007700] Port=1 RD @00
7699.50ns INFO [00007701] * RD COMPARE * port=1 adr=06 act=AF947BDB245DBD9040 exp=AF947BDB245DBD9040
7699.50ns INFO [00007701] Port=0 WR @00=ED9BE32AFEAE9A4B24
7699.50ns INFO [00007701] Port=0 RD @04
7700.50ns INFO [00007702] * RD COMPARE * port=1 adr=00 act=2153BD6EED67A5B192 exp=2153BD6EED67A5B192
7700.50ns INFO [00007702] Port=0 WR @00=50385F47986D82F15B
7700.50ns INFO [00007702] Port=1 RD @07
7701.50ns INFO [00007703] * RD COMPARE * port=0 adr=04 act=7CF35A56E6F6EEF0B7 exp=7CF35A56E6F6EEF0B7
7701.50ns INFO [00007703] Port=0 WR @00=CBB1A871599F414465
7702.50ns INFO [00007704] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7702.50ns INFO [00007704] Port=0 WR @06=3DFF8D0D351E581AD9
7703.50ns INFO [00007705] Port=0 WR @04=CE397AAB5E73818A4C
7703.50ns INFO [00007705] Port=1 RD @06
7704.50ns INFO [00007706] Port=0 WR @01=F53516D923F17117CC
7704.50ns INFO [00007706] Port=0 RD @02
7704.50ns INFO [00007706] Port=1 RD @03
7705.50ns INFO [00007707] * RD COMPARE * port=1 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7705.50ns INFO [00007707] Port=0 WR @03=AC3A859E4EE3C9BC7A
7705.50ns INFO [00007707] Port=0 RD @05
7706.50ns INFO [00007708] * RD COMPARE * port=0 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7706.50ns INFO [00007708] * RD COMPARE * port=1 adr=03 act=288DAE4AC04A841379 exp=288DAE4AC04A841379
7706.50ns INFO [00007708] Port=1 RD @07
7707.50ns INFO [00007709] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A
7708.50ns INFO [00007710] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7708.50ns INFO [00007710] Port=0 WR @03=E94708A3F453955E42
7708.50ns INFO [00007710] Port=0 RD @01
7709.50ns INFO [00007711] Port=0 WR @03=BA7C2F57B993AA4442
7710.50ns INFO [00007712] * RD COMPARE * port=0 adr=01 act=F53516D923F17117CC exp=F53516D923F17117CC
7710.50ns INFO [00007712] Port=0 RD @06
7710.50ns INFO [00007712] Port=1 RD @05
7711.50ns INFO [00007713] Port=0 RD @06
7712.50ns INFO [00007714] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7712.50ns INFO [00007714] * RD COMPARE * port=1 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A
7712.50ns INFO [00007714] Port=0 RD @03
7713.50ns INFO [00007715] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7713.50ns INFO [00007715] Port=0 WR @04=DA1570AF869B2DABAE
7713.50ns INFO [00007715] Port=0 RD @02
7713.50ns INFO [00007715] Port=1 RD @03
7714.50ns INFO [00007716] * RD COMPARE * port=0 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442
7714.50ns INFO [00007716] Port=0 WR @00=2E4F0F39FE091CE95D
7715.50ns INFO [00007717] * RD COMPARE * port=0 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7715.50ns INFO [00007717] * RD COMPARE * port=1 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442
7716.50ns INFO [00007718] Port=1 RD @07
7718.50ns INFO [00007720] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7718.50ns INFO [00007720] Port=0 WR @00=748AA9D97A9784CD12
7718.50ns INFO [00007720] Port=1 RD @06
7719.50ns INFO [00007721] Port=0 RD @05
7720.50ns INFO [00007722] * RD COMPARE * port=1 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7720.50ns INFO [00007722] Port=0 WR @00=BF527CBC9F9FEAA280
7720.50ns INFO [00007722] Port=0 RD @03
7721.50ns INFO [00007723] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A
7721.50ns INFO [00007723] Port=0 RD @05
7722.50ns INFO [00007724] * RD COMPARE * port=0 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442
7722.50ns INFO [00007724] Port=0 RD @06
7722.50ns INFO [00007724] Port=1 RD @02
7723.50ns INFO [00007725] * RD COMPARE * port=0 adr=05 act=D21380A59580BD895A exp=D21380A59580BD895A
7724.50ns INFO [00007726] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7724.50ns INFO [00007726] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7724.50ns INFO [00007726] Port=1 RD @07
7725.50ns INFO [00007727] Port=0 WR @01=D8CBB87A6A030BF447
7725.50ns INFO [00007727] Port=0 RD @06
7725.50ns INFO [00007727] Port=1 RD @02
7726.50ns INFO [00007728] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7726.50ns INFO [00007728] Port=0 WR @06=1E751396957AC46C80
7726.50ns INFO [00007728] Port=0 RD @01
7727.50ns INFO [00007729] * RD COMPARE * port=0 adr=06 act=3DFF8D0D351E581AD9 exp=3DFF8D0D351E581AD9
7727.50ns INFO [00007729] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7727.50ns INFO [00007729] Port=1 RD @03
7728.50ns INFO [00007730] * RD COMPARE * port=0 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447
7728.50ns INFO [00007730] Port=0 RD @07
7729.50ns INFO [00007731] * RD COMPARE * port=1 adr=03 act=BA7C2F57B993AA4442 exp=BA7C2F57B993AA4442
7729.50ns INFO [00007731] Port=1 RD @00
7730.50ns INFO [00007732] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7730.50ns INFO [00007732] Port=0 WR @00=EB242B5C942529B756
7731.50ns INFO [00007733] * RD COMPARE * port=1 adr=00 act=BF527CBC9F9FEAA280 exp=BF527CBC9F9FEAA280
7731.50ns INFO [00007733] Port=0 RD @07
7731.50ns INFO [00007733] Port=1 RD @01
7732.50ns INFO [00007734] Port=0 WR @00=A1E70D8E6CE89538B0
7733.50ns INFO [00007735] * RD COMPARE * port=0 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7733.50ns INFO [00007735] * RD COMPARE * port=1 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447
7733.50ns INFO [00007735] Port=0 RD @01
7733.50ns INFO [00007735] Port=1 RD @07
7734.50ns INFO [00007736] Port=1 RD @06
7735.50ns INFO [00007737] * RD COMPARE * port=0 adr=01 act=D8CBB87A6A030BF447 exp=D8CBB87A6A030BF447
7735.50ns INFO [00007737] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7735.50ns INFO [00007737] Port=0 WR @05=3B17EEE45F4F3CDC13
7735.50ns INFO [00007737] Port=0 RD @06
7735.50ns INFO [00007737] Port=1 RD @07
7736.50ns INFO [00007738] * RD COMPARE * port=1 adr=06 act=1E751396957AC46C80 exp=1E751396957AC46C80
7737.50ns INFO [00007739] * RD COMPARE * port=0 adr=06 act=1E751396957AC46C80 exp=1E751396957AC46C80
7737.50ns INFO [00007739] * RD COMPARE * port=1 adr=07 act=71A18A7DE7C625308E exp=71A18A7DE7C625308E
7739.50ns INFO [00007741] Port=0 WR @01=2AB2F8474EEBFEEB93
7739.50ns INFO [00007741] Port=1 RD @05
7740.50ns INFO [00007742] Port=0 WR @01=E6A8907E0530FFDBAF
7741.50ns INFO [00007743] * RD COMPARE * port=1 adr=05 act=3B17EEE45F4F3CDC13 exp=3B17EEE45F4F3CDC13
7741.50ns INFO [00007743] Port=0 WR @03=F3D6495FA6045D8233
7741.50ns INFO [00007743] Port=0 RD @00
7741.50ns INFO [00007743] Port=1 RD @01
7742.50ns INFO [00007744] Port=1 RD @02
7743.50ns INFO [00007745] * RD COMPARE * port=0 adr=00 act=A1E70D8E6CE89538B0 exp=A1E70D8E6CE89538B0
7743.50ns INFO [00007745] * RD COMPARE * port=1 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF
7743.50ns INFO [00007745] Port=0 WR @07=FC962CD04DA9ACF696
7743.50ns INFO [00007745] Port=0 RD @01
7743.50ns INFO [00007745] Port=1 RD @04
7744.50ns INFO [00007746] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7745.50ns INFO [00007747] * RD COMPARE * port=0 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF
7745.50ns INFO [00007747] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7747.50ns INFO [00007749] Port=1 RD @04
7748.50ns INFO [00007750] Port=0 WR @06=D202E3CE588571B955
7748.50ns INFO [00007750] Port=0 RD @00
7748.50ns INFO [00007750] Port=1 RD @03
7749.50ns INFO [00007751] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7749.50ns INFO [00007751] Port=0 RD @07
7750.50ns INFO [00007752] * RD COMPARE * port=0 adr=00 act=A1E70D8E6CE89538B0 exp=A1E70D8E6CE89538B0
7750.50ns INFO [00007752] * RD COMPARE * port=1 adr=03 act=F3D6495FA6045D8233 exp=F3D6495FA6045D8233
7751.50ns INFO [00007753] * RD COMPARE * port=0 adr=07 act=FC962CD04DA9ACF696 exp=FC962CD04DA9ACF696
7751.50ns INFO [00007753] Port=1 RD @06
7752.50ns INFO [00007754] Port=0 WR @07=F6C457D031D48B3D87
7752.50ns INFO [00007754] Port=1 RD @06
7753.50ns INFO [00007755] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955
7753.50ns INFO [00007755] Port=1 RD @02
7754.50ns INFO [00007756] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955
7754.50ns INFO [00007756] Port=0 RD @07
7755.50ns INFO [00007757] * RD COMPARE * port=1 adr=02 act=14102C0704582FE89E exp=14102C0704582FE89E
7755.50ns INFO [00007757] Port=0 WR @03=6E9B1105130F6CA609
7756.50ns INFO [00007758] * RD COMPARE * port=0 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87
7756.50ns INFO [00007758] Port=0 RD @03
7757.50ns INFO [00007759] Port=0 RD @07
7757.50ns INFO [00007759] Port=1 RD @05
7758.50ns INFO [00007760] * RD COMPARE * port=0 adr=03 act=6E9B1105130F6CA609 exp=6E9B1105130F6CA609
7758.50ns INFO [00007760] Port=0 WR @05=9C2D1B173B98DDBA6F
7758.50ns INFO [00007760] Port=0 RD @01
7758.50ns INFO [00007760] Port=1 RD @01
7759.50ns INFO [00007761] * RD COMPARE * port=0 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87
7759.50ns INFO [00007761] * RD COMPARE * port=1 adr=05 act=3B17EEE45F4F3CDC13 exp=3B17EEE45F4F3CDC13
7760.50ns INFO [00007762] * RD COMPARE * port=0 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF
7760.50ns INFO [00007762] * RD COMPARE * port=1 adr=01 act=E6A8907E0530FFDBAF exp=E6A8907E0530FFDBAF
7761.50ns INFO [00007763] Port=0 WR @02=806F445394A3347803
7762.50ns INFO [00007764] Port=0 WR @03=E4CD374072FC0572BF
7762.50ns INFO [00007764] Port=0 RD @05
7762.50ns INFO [00007764] Port=1 RD @05
7764.50ns INFO [00007766] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F
7764.50ns INFO [00007766] * RD COMPARE * port=1 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F
7764.50ns INFO [00007766] Port=0 WR @00=8666170A2C438D54C9
7766.50ns INFO [00007768] Port=0 RD @00
7766.50ns INFO [00007768] Port=1 RD @06
7767.50ns INFO [00007769] Port=0 WR @01=27E047FD9E35CDCBC4
7768.50ns INFO [00007770] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9
7768.50ns INFO [00007770] * RD COMPARE * port=1 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955
7769.50ns INFO [00007771] Port=0 RD @01
7770.50ns INFO [00007772] Port=0 RD @00
7771.50ns INFO [00007773] * RD COMPARE * port=0 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4
7771.50ns INFO [00007773] Port=1 RD @01
7772.50ns INFO [00007774] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9
7772.50ns INFO [00007774] Port=1 RD @07
7773.50ns INFO [00007775] * RD COMPARE * port=1 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4
7773.50ns INFO [00007775] Port=1 RD @07
7774.50ns INFO [00007776] * RD COMPARE * port=1 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87
7774.50ns INFO [00007776] Port=0 RD @00
7775.50ns INFO [00007777] * RD COMPARE * port=1 adr=07 act=F6C457D031D48B3D87 exp=F6C457D031D48B3D87
7775.50ns INFO [00007777] Port=0 WR @03=B6B046684A5C87F07F
7776.50ns INFO [00007778] * RD COMPARE * port=0 adr=00 act=8666170A2C438D54C9 exp=8666170A2C438D54C9
7777.50ns INFO [00007779] Port=0 RD @03
7777.50ns INFO [00007779] Port=1 RD @03
7778.50ns INFO [00007780] Port=0 WR @00=801ADA7C71CD58FE14
7778.50ns INFO [00007780] Port=0 RD @05
7779.50ns INFO [00007781] * RD COMPARE * port=0 adr=03 act=B6B046684A5C87F07F exp=B6B046684A5C87F07F
7779.50ns INFO [00007781] * RD COMPARE * port=1 adr=03 act=B6B046684A5C87F07F exp=B6B046684A5C87F07F
7779.50ns INFO [00007781] Port=0 WR @07=03CEEF3EAC7008E6AB
7780.50ns INFO [00007782] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F
7780.50ns INFO [00007782] Port=1 RD @07
7781.50ns INFO [00007783] Port=0 WR @07=492365262912523432
7781.50ns INFO [00007783] Port=0 RD @06
7782.50ns INFO [00007784] * RD COMPARE * port=1 adr=07 act=03CEEF3EAC7008E6AB exp=03CEEF3EAC7008E6AB
7782.50ns INFO [00007784] Port=0 WR @02=381427CA8C5F711966
7782.50ns INFO [00007784] Port=1 RD @04
7783.50ns INFO [00007785] * RD COMPARE * port=0 adr=06 act=D202E3CE588571B955 exp=D202E3CE588571B955
7784.50ns INFO [00007786] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7784.50ns INFO [00007786] Port=0 WR @06=2F0ADCF50E91E2B0DC
7784.50ns INFO [00007786] Port=0 RD @05
7785.50ns INFO [00007787] Port=0 RD @00
7785.50ns INFO [00007787] Port=1 RD @04
7786.50ns INFO [00007788] * RD COMPARE * port=0 adr=05 act=9C2D1B173B98DDBA6F exp=9C2D1B173B98DDBA6F
7786.50ns INFO [00007788] Port=0 RD @01
7786.50ns INFO [00007788] Port=1 RD @04
7787.50ns INFO [00007789] * RD COMPARE * port=0 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14
7787.50ns INFO [00007789] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7787.50ns INFO [00007789] Port=0 WR @05=694416D2A97C9697B5
7788.50ns INFO [00007790] * RD COMPARE * port=0 adr=01 act=27E047FD9E35CDCBC4 exp=27E047FD9E35CDCBC4
7788.50ns INFO [00007790] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7788.50ns INFO [00007790] Port=0 WR @03=5540A28E21732A02BE
7789.50ns INFO [00007791] Port=0 WR @02=38B9374492ECED6AB4
7789.50ns INFO [00007791] Port=0 RD @06
7790.50ns INFO [00007792] Port=0 WR @07=A5E3F089BFCC07D0A2
7790.50ns INFO [00007792] Port=0 RD @04
7790.50ns INFO [00007792] Port=1 RD @05
7791.50ns INFO [00007793] * RD COMPARE * port=0 adr=06 act=2F0ADCF50E91E2B0DC exp=2F0ADCF50E91E2B0DC
7791.50ns INFO [00007793] Port=0 WR @06=A86E384847213F37B4
7791.50ns INFO [00007793] Port=0 RD @04
7792.50ns INFO [00007794] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7792.50ns INFO [00007794] * RD COMPARE * port=1 adr=05 act=694416D2A97C9697B5 exp=694416D2A97C9697B5
7792.50ns INFO [00007794] Port=0 RD @02
7793.50ns INFO [00007795] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7793.50ns INFO [00007795] Port=1 RD @06
7794.50ns INFO [00007796] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4
7794.50ns INFO [00007796] Port=0 WR @01=027C8B9E43983D70EE
7794.50ns INFO [00007796] Port=1 RD @07
7795.50ns INFO [00007797] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7796.50ns INFO [00007798] * RD COMPARE * port=1 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2
7796.50ns INFO [00007798] Port=0 RD @01
7796.50ns INFO [00007798] Port=1 RD @03
7797.50ns INFO [00007799] Port=0 RD @01
7797.50ns INFO [00007799] Port=1 RD @04
7798.00ns INFO [00007800] [00007800] ...tick...
7798.50ns INFO [00007800] * RD COMPARE * port=0 adr=01 act=027C8B9E43983D70EE exp=027C8B9E43983D70EE
7798.50ns INFO [00007800] * RD COMPARE * port=1 adr=03 act=5540A28E21732A02BE exp=5540A28E21732A02BE
7799.50ns INFO [00007801] * RD COMPARE * port=0 adr=01 act=027C8B9E43983D70EE exp=027C8B9E43983D70EE
7799.50ns INFO [00007801] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7799.50ns INFO [00007801] Port=0 WR @01=129EAE6D4146173723
7799.50ns INFO [00007801] Port=0 RD @02
7799.50ns INFO [00007801] Port=1 RD @06
7801.50ns INFO [00007803] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4
7801.50ns INFO [00007803] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7801.50ns INFO [00007803] Port=0 RD @04
7802.50ns INFO [00007804] Port=0 RD @06
7803.50ns INFO [00007805] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7803.50ns INFO [00007805] Port=0 RD @07
7803.50ns INFO [00007805] Port=1 RD @02
7804.50ns INFO [00007806] * RD COMPARE * port=0 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7804.50ns INFO [00007806] Port=0 WR @03=76334AD7D42DD60794
7804.50ns INFO [00007806] Port=1 RD @06
7805.50ns INFO [00007807] * RD COMPARE * port=0 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2
7805.50ns INFO [00007807] * RD COMPARE * port=1 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4
7806.50ns INFO [00007808] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7806.50ns INFO [00007808] Port=1 RD @04
7807.50ns INFO [00007809] Port=1 RD @06
7808.50ns INFO [00007810] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7808.50ns INFO [00007810] Port=0 WR @03=0A39070A6505B7A4DF
7808.50ns INFO [00007810] Port=1 RD @06
7809.50ns INFO [00007811] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7809.50ns INFO [00007811] Port=0 WR @01=8EB3533B53DA68E7C5
7809.50ns INFO [00007811] Port=0 RD @05
7810.50ns INFO [00007812] * RD COMPARE * port=1 adr=06 act=A86E384847213F37B4 exp=A86E384847213F37B4
7811.50ns INFO [00007813] * RD COMPARE * port=0 adr=05 act=694416D2A97C9697B5 exp=694416D2A97C9697B5
7811.50ns INFO [00007813] Port=0 WR @05=9200C1DCF3BA096314
7811.50ns INFO [00007813] Port=0 RD @04
7812.50ns INFO [00007814] Port=0 WR @03=112550D565AC34D08F
7813.50ns INFO [00007815] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7813.50ns INFO [00007815] Port=0 RD @05
7813.50ns INFO [00007815] Port=1 RD @00
7814.50ns INFO [00007816] Port=0 WR @05=C4429832904D16E33D
7814.50ns INFO [00007816] Port=0 RD @03
7815.50ns INFO [00007817] * RD COMPARE * port=0 adr=05 act=9200C1DCF3BA096314 exp=9200C1DCF3BA096314
7815.50ns INFO [00007817] * RD COMPARE * port=1 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14
7815.50ns INFO [00007817] Port=0 RD @07
7816.50ns INFO [00007818] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7816.50ns INFO [00007818] Port=0 WR @07=89366356BD38428E26
7817.50ns INFO [00007819] * RD COMPARE * port=0 adr=07 act=A5E3F089BFCC07D0A2 exp=A5E3F089BFCC07D0A2
7818.50ns INFO [00007820] Port=0 RD @07
7818.50ns INFO [00007820] Port=1 RD @05
7819.50ns INFO [00007821] Port=0 RD @04
7819.50ns INFO [00007821] Port=1 RD @04
7820.50ns INFO [00007822] * RD COMPARE * port=0 adr=07 act=89366356BD38428E26 exp=89366356BD38428E26
7820.50ns INFO [00007822] * RD COMPARE * port=1 adr=05 act=C4429832904D16E33D exp=C4429832904D16E33D
7820.50ns INFO [00007822] Port=0 WR @05=18713583D34FD2DEB1
7820.50ns INFO [00007822] Port=1 RD @00
7821.50ns INFO [00007823] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7821.50ns INFO [00007823] * RD COMPARE * port=1 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7821.50ns INFO [00007823] Port=0 WR @07=1F4FA06670EF6763E6
7821.50ns INFO [00007823] Port=0 RD @03
7822.50ns INFO [00007824] * RD COMPARE * port=1 adr=00 act=801ADA7C71CD58FE14 exp=801ADA7C71CD58FE14
7823.50ns INFO [00007825] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7823.50ns INFO [00007825] Port=0 WR @05=95D147D965EB3EFB73
7823.50ns INFO [00007825] Port=0 RD @04
7825.50ns INFO [00007827] * RD COMPARE * port=0 adr=04 act=DA1570AF869B2DABAE exp=DA1570AF869B2DABAE
7825.50ns INFO [00007827] Port=0 WR @01=24005D81EC4567018A
7825.50ns INFO [00007827] Port=1 RD @07
7826.50ns INFO [00007828] Port=1 RD @07
7827.50ns INFO [00007829] * RD COMPARE * port=1 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6
7827.50ns INFO [00007829] Port=0 WR @00=53F1F016B217A014B9
7827.50ns INFO [00007829] Port=0 RD @02
7828.50ns INFO [00007830] * RD COMPARE * port=1 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6
7829.50ns INFO [00007831] * RD COMPARE * port=0 adr=02 act=38B9374492ECED6AB4 exp=38B9374492ECED6AB4
7829.50ns INFO [00007831] Port=0 RD @07
7831.50ns INFO [00007833] * RD COMPARE * port=0 adr=07 act=1F4FA06670EF6763E6 exp=1F4FA06670EF6763E6
7831.50ns INFO [00007833] Port=0 WR @07=FB1762CA816771AFEE
7832.50ns INFO [00007834] Port=0 RD @00
7833.50ns INFO [00007835] Port=1 RD @03
7834.50ns INFO [00007836] * RD COMPARE * port=0 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9
7835.50ns INFO [00007837] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7835.50ns INFO [00007837] Port=0 RD @03
7837.50ns INFO [00007839] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7837.50ns INFO [00007839] Port=0 WR @01=1822502ACDB093D3B8
7837.50ns INFO [00007839] Port=1 RD @03
7838.50ns INFO [00007840] Port=0 WR @02=9C0570EC2E32E3680E
7838.50ns INFO [00007840] Port=1 RD @03
7839.50ns INFO [00007841] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7839.50ns INFO [00007841] Port=0 WR @06=8B334CBA07AB2E6753
7839.50ns INFO [00007841] Port=1 RD @05
7840.50ns INFO [00007842] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7841.50ns INFO [00007843] * RD COMPARE * port=1 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73
7842.50ns INFO [00007844] Port=0 RD @07
7842.50ns INFO [00007844] Port=1 RD @03
7843.50ns INFO [00007845] Port=0 WR @01=96430D180B7EF77ECD
7844.50ns INFO [00007846] * RD COMPARE * port=0 adr=07 act=FB1762CA816771AFEE exp=FB1762CA816771AFEE
7844.50ns INFO [00007846] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7844.50ns INFO [00007846] Port=0 RD @06
7844.50ns INFO [00007846] Port=1 RD @01
7846.50ns INFO [00007848] * RD COMPARE * port=0 adr=06 act=8B334CBA07AB2E6753 exp=8B334CBA07AB2E6753
7846.50ns INFO [00007848] * RD COMPARE * port=1 adr=01 act=96430D180B7EF77ECD exp=96430D180B7EF77ECD
7847.50ns INFO [00007849] Port=0 WR @01=51A6D1EC8AF2AF33F3
7847.50ns INFO [00007849] Port=1 RD @05
7848.50ns INFO [00007850] Port=0 RD @05
7849.50ns INFO [00007851] * RD COMPARE * port=1 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73
7849.50ns INFO [00007851] Port=0 WR @07=5D5AAA98EB075BE740
7850.50ns INFO [00007852] * RD COMPARE * port=0 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73
7850.50ns INFO [00007852] Port=0 RD @02
7852.50ns INFO [00007854] * RD COMPARE * port=0 adr=02 act=9C0570EC2E32E3680E exp=9C0570EC2E32E3680E
7853.50ns INFO [00007855] Port=0 RD @07
7854.50ns INFO [00007856] Port=0 RD @03
7855.50ns INFO [00007857] * RD COMPARE * port=0 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7855.50ns INFO [00007857] Port=0 RD @00
7855.50ns INFO [00007857] Port=1 RD @03
7856.50ns INFO [00007858] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7857.50ns INFO [00007859] * RD COMPARE * port=0 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9
7857.50ns INFO [00007859] * RD COMPARE * port=1 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7857.50ns INFO [00007859] Port=0 WR @04=4D6264C1862D3130A9
7857.50ns INFO [00007859] Port=1 RD @01
7859.50ns INFO [00007861] * RD COMPARE * port=1 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3
7859.50ns INFO [00007861] Port=0 RD @03
7859.50ns INFO [00007861] Port=1 RD @01
7860.50ns INFO [00007862] Port=0 WR @03=461C5D11AB9DEF4C13
7860.50ns INFO [00007862] Port=1 RD @07
7861.50ns INFO [00007863] * RD COMPARE * port=0 adr=03 act=112550D565AC34D08F exp=112550D565AC34D08F
7861.50ns INFO [00007863] * RD COMPARE * port=1 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3
7861.50ns INFO [00007863] Port=0 WR @02=B14AA14CD7F5F04286
7862.50ns INFO [00007864] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7862.50ns INFO [00007864] Port=0 WR @02=D082FB954EB9B61A40
7862.50ns INFO [00007864] Port=0 RD @07
7862.50ns INFO [00007864] Port=1 RD @00
7863.50ns INFO [00007865] Port=0 RD @05
7863.50ns INFO [00007865] Port=1 RD @02
7864.50ns INFO [00007866] * RD COMPARE * port=0 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7864.50ns INFO [00007866] * RD COMPARE * port=1 adr=00 act=53F1F016B217A014B9 exp=53F1F016B217A014B9
7864.50ns INFO [00007866] Port=0 RD @01
7864.50ns INFO [00007866] Port=1 RD @07
7865.50ns INFO [00007867] * RD COMPARE * port=0 adr=05 act=95D147D965EB3EFB73 exp=95D147D965EB3EFB73
7865.50ns INFO [00007867] * RD COMPARE * port=1 adr=02 act=D082FB954EB9B61A40 exp=D082FB954EB9B61A40
7865.50ns INFO [00007867] Port=0 WR @00=DAE3B3A2A6B06229FC
7865.50ns INFO [00007867] Port=1 RD @07
7866.50ns INFO [00007868] * RD COMPARE * port=0 adr=01 act=51A6D1EC8AF2AF33F3 exp=51A6D1EC8AF2AF33F3
7866.50ns INFO [00007868] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7866.50ns INFO [00007868] Port=0 RD @00
7866.50ns INFO [00007868] Port=1 RD @02
7867.50ns INFO [00007869] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7867.50ns INFO [00007869] Port=0 WR @06=0EEC359A924DEC53E5
7868.50ns INFO [00007870] * RD COMPARE * port=0 adr=00 act=DAE3B3A2A6B06229FC exp=DAE3B3A2A6B06229FC
7868.50ns INFO [00007870] * RD COMPARE * port=1 adr=02 act=D082FB954EB9B61A40 exp=D082FB954EB9B61A40
7869.50ns INFO [00007871] Port=0 WR @02=B6937BB269BE8E4753
7869.50ns INFO [00007871] Port=0 RD @06
7870.50ns INFO [00007872] Port=0 RD @06
7871.50ns INFO [00007873] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7871.50ns INFO [00007873] Port=1 RD @02
7872.50ns INFO [00007874] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7872.50ns INFO [00007874] Port=0 WR @00=219D1C4A84B40AD603
7873.50ns INFO [00007875] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7873.50ns INFO [00007875] Port=0 WR @01=5D8F5A10ADEF2A7B23
7873.50ns INFO [00007875] Port=1 RD @00
7874.50ns INFO [00007876] Port=0 WR @04=A2C5FBED14DF3C9A50
7875.50ns INFO [00007877] * RD COMPARE * port=1 adr=00 act=219D1C4A84B40AD603 exp=219D1C4A84B40AD603
7875.50ns INFO [00007877] Port=0 WR @00=8EA8949732E1F2120B
7876.50ns INFO [00007878] Port=0 WR @00=4DDFE64527D5B40B3B
7876.50ns INFO [00007878] Port=0 RD @02
7876.50ns INFO [00007878] Port=1 RD @02
7877.50ns INFO [00007879] Port=0 WR @01=F1C4E4C74F0E7A95BB
7878.50ns INFO [00007880] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7878.50ns INFO [00007880] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7878.50ns INFO [00007880] Port=0 RD @01
7880.50ns INFO [00007882] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7881.50ns INFO [00007883] Port=0 WR @03=5964B72B649227BFCD
7881.50ns INFO [00007883] Port=0 RD @02
7881.50ns INFO [00007883] Port=1 RD @02
7882.50ns INFO [00007884] Port=0 WR @05=AECB708FBD28BF6B8E
7883.50ns INFO [00007885] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7883.50ns INFO [00007885] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7883.50ns INFO [00007885] Port=1 RD @02
7884.50ns INFO [00007886] Port=1 RD @07
7885.50ns INFO [00007887] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7885.50ns INFO [00007887] Port=0 RD @00
7886.50ns INFO [00007888] * RD COMPARE * port=1 adr=07 act=5D5AAA98EB075BE740 exp=5D5AAA98EB075BE740
7886.50ns INFO [00007888] Port=0 WR @07=F2951690DDE0F4E98C
7886.50ns INFO [00007888] Port=0 RD @06
7887.50ns INFO [00007889] * RD COMPARE * port=0 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B
7887.50ns INFO [00007889] Port=0 RD @06
7887.50ns INFO [00007889] Port=1 RD @07
7888.50ns INFO [00007890] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7889.50ns INFO [00007891] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7889.50ns INFO [00007891] * RD COMPARE * port=1 adr=07 act=F2951690DDE0F4E98C exp=F2951690DDE0F4E98C
7890.50ns INFO [00007892] Port=0 RD @03
7891.50ns INFO [00007893] Port=0 RD @05
7891.50ns INFO [00007893] Port=1 RD @00
7892.50ns INFO [00007894] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7892.50ns INFO [00007894] Port=1 RD @00
7893.50ns INFO [00007895] * RD COMPARE * port=0 adr=05 act=AECB708FBD28BF6B8E exp=AECB708FBD28BF6B8E
7893.50ns INFO [00007895] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B
7893.50ns INFO [00007895] Port=1 RD @00
7894.50ns INFO [00007896] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B
7894.50ns INFO [00007896] Port=0 WR @07=35E46F4F7231714CA0
7894.50ns INFO [00007896] Port=0 RD @05
7895.50ns INFO [00007897] * RD COMPARE * port=1 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B
7895.50ns INFO [00007897] Port=1 RD @03
7896.50ns INFO [00007898] * RD COMPARE * port=0 adr=05 act=AECB708FBD28BF6B8E exp=AECB708FBD28BF6B8E
7897.50ns INFO [00007899] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7897.50ns INFO [00007899] Port=0 RD @07
7897.50ns INFO [00007899] Port=1 RD @01
7898.00ns INFO [00007900] [00007900] ...tick...
7898.50ns INFO [00007900] Port=0 WR @05=B6303DA372FE57C888
7898.50ns INFO [00007900] Port=1 RD @07
7899.50ns INFO [00007901] * RD COMPARE * port=0 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0
7899.50ns INFO [00007901] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7899.50ns INFO [00007901] Port=0 RD @04
7900.50ns INFO [00007902] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0
7900.50ns INFO [00007902] Port=0 WR @05=D187538965A5A5AB3F
7900.50ns INFO [00007902] Port=1 RD @06
7901.50ns INFO [00007903] * RD COMPARE * port=0 adr=04 act=A2C5FBED14DF3C9A50 exp=A2C5FBED14DF3C9A50
7901.50ns INFO [00007903] Port=0 RD @07
7902.50ns INFO [00007904] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7902.50ns INFO [00007904] Port=1 RD @06
7903.50ns INFO [00007905] * RD COMPARE * port=0 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0
7903.50ns INFO [00007905] Port=1 RD @07
7904.50ns INFO [00007906] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7904.50ns INFO [00007906] Port=0 RD @01
7904.50ns INFO [00007906] Port=1 RD @01
7905.50ns INFO [00007907] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0
7905.50ns INFO [00007907] Port=0 RD @01
7905.50ns INFO [00007907] Port=1 RD @07
7906.50ns INFO [00007908] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7906.50ns INFO [00007908] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7906.50ns INFO [00007908] Port=0 RD @06
7906.50ns INFO [00007908] Port=1 RD @01
7907.50ns INFO [00007909] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7907.50ns INFO [00007909] * RD COMPARE * port=1 adr=07 act=35E46F4F7231714CA0 exp=35E46F4F7231714CA0
7908.50ns INFO [00007910] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7908.50ns INFO [00007910] * RD COMPARE * port=1 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7908.50ns INFO [00007910] Port=0 RD @03
7909.50ns INFO [00007911] Port=0 RD @04
7910.50ns INFO [00007912] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7910.50ns INFO [00007912] Port=0 WR @04=628D661B1736DFF0D0
7910.50ns INFO [00007912] Port=0 RD @00
7911.50ns INFO [00007913] * RD COMPARE * port=0 adr=04 act=A2C5FBED14DF3C9A50 exp=A2C5FBED14DF3C9A50
7911.50ns INFO [00007913] Port=0 WR @00=B62CB69C752D23F532
7911.50ns INFO [00007913] Port=0 RD @06
7912.50ns INFO [00007914] * RD COMPARE * port=0 adr=00 act=4DDFE64527D5B40B3B exp=4DDFE64527D5B40B3B
7912.50ns INFO [00007914] Port=0 RD @00
7913.50ns INFO [00007915] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7913.50ns INFO [00007915] Port=0 RD @00
7914.50ns INFO [00007916] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532
7914.50ns INFO [00007916] Port=0 RD @00
7915.50ns INFO [00007917] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532
7915.50ns INFO [00007917] Port=0 RD @05
7916.50ns INFO [00007918] * RD COMPARE * port=0 adr=00 act=B62CB69C752D23F532 exp=B62CB69C752D23F532
7916.50ns INFO [00007918] Port=0 WR @00=6B4729AF8D8FCBE193
7916.50ns INFO [00007918] Port=1 RD @03
7917.50ns INFO [00007919] * RD COMPARE * port=0 adr=05 act=D187538965A5A5AB3F exp=D187538965A5A5AB3F
7917.50ns INFO [00007919] Port=0 RD @03
7917.50ns INFO [00007919] Port=1 RD @03
7918.50ns INFO [00007920] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7918.50ns INFO [00007920] Port=0 WR @00=C24B9A8A2891A099CF
7918.50ns INFO [00007920] Port=0 RD @03
7918.50ns INFO [00007920] Port=1 RD @05
7919.50ns INFO [00007921] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7919.50ns INFO [00007921] * RD COMPARE * port=1 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7920.50ns INFO [00007922] * RD COMPARE * port=0 adr=03 act=5964B72B649227BFCD exp=5964B72B649227BFCD
7920.50ns INFO [00007922] * RD COMPARE * port=1 adr=05 act=D187538965A5A5AB3F exp=D187538965A5A5AB3F
7920.50ns INFO [00007922] Port=0 WR @05=A7A8EBC124435A1D12
7920.50ns INFO [00007922] Port=0 RD @01
7921.50ns INFO [00007923] Port=0 WR @03=E9BA16529F31E39FB4
7921.50ns INFO [00007923] Port=0 RD @05
7921.50ns INFO [00007923] Port=1 RD @04
7922.50ns INFO [00007924] * RD COMPARE * port=0 adr=01 act=F1C4E4C74F0E7A95BB exp=F1C4E4C74F0E7A95BB
7923.50ns INFO [00007925] * RD COMPARE * port=0 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12
7923.50ns INFO [00007925] * RD COMPARE * port=1 adr=04 act=628D661B1736DFF0D0 exp=628D661B1736DFF0D0
7923.50ns INFO [00007925] Port=1 RD @05
7925.50ns INFO [00007927] * RD COMPARE * port=1 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12
7925.50ns INFO [00007927] Port=1 RD @03
7926.50ns INFO [00007928] Port=0 WR @01=19B46DB470CF8435E5
7926.50ns INFO [00007928] Port=0 RD @02
7927.50ns INFO [00007929] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4
7927.50ns INFO [00007929] Port=0 WR @07=1CB146C2171B846E0F
7927.50ns INFO [00007929] Port=1 RD @00
7928.50ns INFO [00007930] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7928.50ns INFO [00007930] Port=1 RD @04
7929.50ns INFO [00007931] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7929.50ns INFO [00007931] Port=0 RD @05
7930.50ns INFO [00007932] * RD COMPARE * port=1 adr=04 act=628D661B1736DFF0D0 exp=628D661B1736DFF0D0
7930.50ns INFO [00007932] Port=0 WR @04=E93EE8790B30824555
7930.50ns INFO [00007932] Port=1 RD @01
7931.50ns INFO [00007933] * RD COMPARE * port=0 adr=05 act=A7A8EBC124435A1D12 exp=A7A8EBC124435A1D12
7931.50ns INFO [00007933] Port=0 WR @05=8944E048136E96F5AC
7931.50ns INFO [00007933] Port=1 RD @01
7932.50ns INFO [00007934] * RD COMPARE * port=1 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5
7932.50ns INFO [00007934] Port=0 RD @07
7933.50ns INFO [00007935] * RD COMPARE * port=1 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5
7933.50ns INFO [00007935] Port=1 RD @00
7934.50ns INFO [00007936] * RD COMPARE * port=0 adr=07 act=1CB146C2171B846E0F exp=1CB146C2171B846E0F
7934.50ns INFO [00007936] Port=0 WR @05=66CCCD05E8D9E4FF8E
7934.50ns INFO [00007936] Port=0 RD @01
7934.50ns INFO [00007936] Port=1 RD @04
7935.50ns INFO [00007937] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7935.50ns INFO [00007937] Port=0 RD @02
7936.50ns INFO [00007938] * RD COMPARE * port=0 adr=01 act=19B46DB470CF8435E5 exp=19B46DB470CF8435E5
7936.50ns INFO [00007938] * RD COMPARE * port=1 adr=04 act=E93EE8790B30824555 exp=E93EE8790B30824555
7936.50ns INFO [00007938] Port=0 WR @07=C9DB70B4FE59015CFC
7936.50ns INFO [00007938] Port=1 RD @02
7937.50ns INFO [00007939] * RD COMPARE * port=0 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7938.50ns INFO [00007940] * RD COMPARE * port=1 adr=02 act=B6937BB269BE8E4753 exp=B6937BB269BE8E4753
7938.50ns INFO [00007940] Port=0 WR @02=496111D7D9C1F7E657
7939.50ns INFO [00007941] Port=0 RD @06
7940.50ns INFO [00007942] Port=0 RD @05
7941.50ns INFO [00007943] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7941.50ns INFO [00007943] Port=1 RD @00
7942.50ns INFO [00007944] * RD COMPARE * port=0 adr=05 act=66CCCD05E8D9E4FF8E exp=66CCCD05E8D9E4FF8E
7942.50ns INFO [00007944] Port=1 RD @00
7943.50ns INFO [00007945] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7944.50ns INFO [00007946] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7944.50ns INFO [00007946] Port=1 RD @03
7946.50ns INFO [00007948] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4
7946.50ns INFO [00007948] Port=0 RD @00
7946.50ns INFO [00007948] Port=1 RD @07
7947.50ns INFO [00007949] Port=1 RD @03
7948.50ns INFO [00007950] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7948.50ns INFO [00007950] * RD COMPARE * port=1 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC
7948.50ns INFO [00007950] Port=0 WR @01=3A07368AFDD3DBBCFC
7948.50ns INFO [00007950] Port=0 RD @06
7949.50ns INFO [00007951] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4
7949.50ns INFO [00007951] Port=0 WR @01=333EFA47D4F2E1F9FD
7950.50ns INFO [00007952] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7950.50ns INFO [00007952] Port=1 RD @03
7951.50ns INFO [00007953] Port=1 RD @03
7952.50ns INFO [00007954] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4
7952.50ns INFO [00007954] Port=0 RD @02
7952.50ns INFO [00007954] Port=1 RD @02
7953.50ns INFO [00007955] * RD COMPARE * port=1 adr=03 act=E9BA16529F31E39FB4 exp=E9BA16529F31E39FB4
7953.50ns INFO [00007955] Port=0 RD @00
7954.50ns INFO [00007956] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7954.50ns INFO [00007956] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7954.50ns INFO [00007956] Port=0 WR @03=82AAA1D1C8DFCA84A6
7954.50ns INFO [00007956] Port=1 RD @07
7955.50ns INFO [00007957] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7956.50ns INFO [00007958] * RD COMPARE * port=1 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC
7958.50ns INFO [00007960] Port=0 WR @04=D9576B4E712C8B90C2
7960.50ns INFO [00007962] Port=0 WR @01=EB2CD5A3808DDBD4A5
7960.50ns INFO [00007962] Port=0 RD @06
7961.50ns INFO [00007963] Port=0 RD @02
7961.50ns INFO [00007963] Port=1 RD @04
7962.50ns INFO [00007964] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7962.50ns INFO [00007964] Port=1 RD @01
7963.50ns INFO [00007965] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7963.50ns INFO [00007965] * RD COMPARE * port=1 adr=04 act=D9576B4E712C8B90C2 exp=D9576B4E712C8B90C2
7963.50ns INFO [00007965] Port=1 RD @06
7964.50ns INFO [00007966] * RD COMPARE * port=1 adr=01 act=EB2CD5A3808DDBD4A5 exp=EB2CD5A3808DDBD4A5
7965.50ns INFO [00007967] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7965.50ns INFO [00007967] Port=0 WR @01=F4F759AF0E721C9053
7965.50ns INFO [00007967] Port=0 RD @00
7965.50ns INFO [00007967] Port=1 RD @06
7967.50ns INFO [00007969] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7967.50ns INFO [00007969] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7967.50ns INFO [00007969] Port=0 RD @07
7967.50ns INFO [00007969] Port=1 RD @02
7968.50ns INFO [00007970] Port=0 RD @01
7969.50ns INFO [00007971] * RD COMPARE * port=0 adr=07 act=C9DB70B4FE59015CFC exp=C9DB70B4FE59015CFC
7969.50ns INFO [00007971] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7969.50ns INFO [00007971] Port=0 WR @05=38D681FA22B37BB372
7969.50ns INFO [00007971] Port=1 RD @06
7970.50ns INFO [00007972] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
7970.50ns INFO [00007972] Port=1 RD @01
7971.50ns INFO [00007973] * RD COMPARE * port=1 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7972.50ns INFO [00007974] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
7972.50ns INFO [00007974] Port=0 WR @07=41B3BAE5A63BCC4A8C
7972.50ns INFO [00007974] Port=0 RD @05
7972.50ns INFO [00007974] Port=1 RD @00
7973.50ns INFO [00007975] Port=0 WR @04=9628C006EDF60CEB67
7973.50ns INFO [00007975] Port=1 RD @00
7974.50ns INFO [00007976] * RD COMPARE * port=0 adr=05 act=38D681FA22B37BB372 exp=38D681FA22B37BB372
7974.50ns INFO [00007976] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7974.50ns INFO [00007976] Port=0 WR @05=734F8D2C25E007CC42
7974.50ns INFO [00007976] Port=0 RD @04
7975.50ns INFO [00007977] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7975.50ns INFO [00007977] Port=0 RD @06
7976.50ns INFO [00007978] * RD COMPARE * port=0 adr=04 act=9628C006EDF60CEB67 exp=9628C006EDF60CEB67
7976.50ns INFO [00007978] Port=0 RD @07
7977.50ns INFO [00007979] * RD COMPARE * port=0 adr=06 act=0EEC359A924DEC53E5 exp=0EEC359A924DEC53E5
7977.50ns INFO [00007979] Port=0 WR @07=842FF8DAB2BE12F8C0
7978.50ns INFO [00007980] * RD COMPARE * port=0 adr=07 act=41B3BAE5A63BCC4A8C exp=41B3BAE5A63BCC4A8C
7978.50ns INFO [00007980] Port=1 RD @02
7979.50ns INFO [00007981] Port=0 RD @04
7979.50ns INFO [00007981] Port=1 RD @03
7980.50ns INFO [00007982] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7980.50ns INFO [00007982] Port=0 WR @06=18A5F986F20F5A73FC
7981.50ns INFO [00007983] * RD COMPARE * port=0 adr=04 act=9628C006EDF60CEB67 exp=9628C006EDF60CEB67
7981.50ns INFO [00007983] * RD COMPARE * port=1 adr=03 act=82AAA1D1C8DFCA84A6 exp=82AAA1D1C8DFCA84A6
7981.50ns INFO [00007983] Port=0 WR @07=F9FB62F56F06556D83
7981.50ns INFO [00007983] Port=1 RD @00
7982.50ns INFO [00007984] Port=0 WR @05=C308AA106F9A162D4D
7983.50ns INFO [00007985] * RD COMPARE * port=1 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
7984.50ns INFO [00007986] Port=0 WR @05=FA0E3271147A222171
7984.50ns INFO [00007986] Port=0 RD @01
7986.50ns INFO [00007988] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
7988.50ns INFO [00007990] Port=1 RD @02
7989.50ns INFO [00007991] Port=0 WR @05=64C9AEE2E10062A96A
7989.50ns INFO [00007991] Port=0 RD @01
7990.50ns INFO [00007992] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7990.50ns INFO [00007992] Port=1 RD @02
7991.50ns INFO [00007993] * RD COMPARE * port=0 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
7991.50ns INFO [00007993] Port=0 WR @03=B0E453882D8F03C82C
7991.50ns INFO [00007993] Port=1 RD @02
7992.50ns INFO [00007994] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7992.50ns INFO [00007994] Port=0 RD @07
7993.50ns INFO [00007995] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7993.50ns INFO [00007995] Port=0 RD @03
7994.50ns INFO [00007996] * RD COMPARE * port=0 adr=07 act=F9FB62F56F06556D83 exp=F9FB62F56F06556D83
7994.50ns INFO [00007996] Port=1 RD @02
7995.50ns INFO [00007997] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C
7996.50ns INFO [00007998] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
7996.50ns INFO [00007998] Port=0 WR @04=121F63B731CE3E37E6
7996.50ns INFO [00007998] Port=0 RD @06
7997.50ns INFO [00007999] Port=0 RD @05
7997.50ns INFO [00007999] Port=1 RD @06
7998.00ns INFO [00008000] [00008000] ...tick...
7998.50ns INFO [00008000] * RD COMPARE * port=0 adr=06 act=18A5F986F20F5A73FC exp=18A5F986F20F5A73FC
7999.50ns INFO [00008001] * RD COMPARE * port=0 adr=05 act=64C9AEE2E10062A96A exp=64C9AEE2E10062A96A
7999.50ns INFO [00008001] * RD COMPARE * port=1 adr=06 act=18A5F986F20F5A73FC exp=18A5F986F20F5A73FC
7999.50ns INFO [00008001] Port=1 RD @02
8000.50ns INFO [00008002] Port=0 RD @03
8000.50ns INFO [00008002] Port=1 RD @04
8001.50ns INFO [00008003] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
8001.50ns INFO [00008003] Port=1 RD @02
8002.50ns INFO [00008004] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C
8002.50ns INFO [00008004] * RD COMPARE * port=1 adr=04 act=121F63B731CE3E37E6 exp=121F63B731CE3E37E6
8002.50ns INFO [00008004] Port=0 WR @07=E959422957C11A64EC
8002.50ns INFO [00008004] Port=1 RD @01
8003.50ns INFO [00008005] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
8003.50ns INFO [00008005] Port=1 RD @04
8004.50ns INFO [00008006] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
8004.50ns INFO [00008006] Port=1 RD @05
8005.50ns INFO [00008007] * RD COMPARE * port=1 adr=04 act=121F63B731CE3E37E6 exp=121F63B731CE3E37E6
8005.50ns INFO [00008007] Port=0 WR @04=2D4E786C9FCDC4D8B0
8005.50ns INFO [00008007] Port=1 RD @02
8006.50ns INFO [00008008] * RD COMPARE * port=1 adr=05 act=64C9AEE2E10062A96A exp=64C9AEE2E10062A96A
8006.50ns INFO [00008008] Port=0 WR @05=20534A2A5AE7601D3F
8007.50ns INFO [00008009] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
8007.50ns INFO [00008009] Port=0 RD @00
8007.50ns INFO [00008009] Port=1 RD @01
8008.50ns INFO [00008010] Port=0 RD @04
8008.50ns INFO [00008010] Port=1 RD @07
8009.50ns INFO [00008011] * RD COMPARE * port=0 adr=00 act=C24B9A8A2891A099CF exp=C24B9A8A2891A099CF
8009.50ns INFO [00008011] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
8009.50ns INFO [00008011] Port=0 WR @05=A7CC3822962EF982A0
8010.50ns INFO [00008012] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8010.50ns INFO [00008012] * RD COMPARE * port=1 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC
8011.50ns INFO [00008013] Port=1 RD @01
8012.50ns INFO [00008014] Port=0 RD @04
8013.50ns INFO [00008015] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
8013.50ns INFO [00008015] Port=0 RD @03
8014.50ns INFO [00008016] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8014.50ns INFO [00008016] Port=0 RD @07
8014.50ns INFO [00008016] Port=1 RD @04
8015.50ns INFO [00008017] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C
8015.50ns INFO [00008017] Port=0 WR @06=DE31EF2BA0F4721D8E
8015.50ns INFO [00008017] Port=1 RD @01
8016.50ns INFO [00008018] * RD COMPARE * port=0 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC
8016.50ns INFO [00008018] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8016.50ns INFO [00008018] Port=0 WR @00=5D0465F56EF9FEB552
8017.50ns INFO [00008019] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
8017.50ns INFO [00008019] Port=0 WR @06=0CCF436E09F68D9F8D
8017.50ns INFO [00008019] Port=0 RD @00
8019.50ns INFO [00008021] * RD COMPARE * port=0 adr=00 act=5D0465F56EF9FEB552 exp=5D0465F56EF9FEB552
8019.50ns INFO [00008021] Port=0 WR @05=D7859381F123F7E446
8020.50ns INFO [00008022] Port=0 WR @00=442690B9BCF2033962
8021.50ns INFO [00008023] Port=1 RD @07
8022.50ns INFO [00008024] Port=0 WR @00=90FDC76E28F67F6BD6
8022.50ns INFO [00008024] Port=0 RD @05
8023.50ns INFO [00008025] * RD COMPARE * port=1 adr=07 act=E959422957C11A64EC exp=E959422957C11A64EC
8023.50ns INFO [00008025] Port=0 RD @03
8024.50ns INFO [00008026] * RD COMPARE * port=0 adr=05 act=D7859381F123F7E446 exp=D7859381F123F7E446
8025.50ns INFO [00008027] * RD COMPARE * port=0 adr=03 act=B0E453882D8F03C82C exp=B0E453882D8F03C82C
8025.50ns INFO [00008027] Port=1 RD @02
8027.50ns INFO [00008029] * RD COMPARE * port=1 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
8027.50ns INFO [00008029] Port=1 RD @00
8028.50ns INFO [00008030] Port=1 RD @06
8029.50ns INFO [00008031] * RD COMPARE * port=1 adr=00 act=90FDC76E28F67F6BD6 exp=90FDC76E28F67F6BD6
8029.50ns INFO [00008031] Port=0 RD @06
8029.50ns INFO [00008031] Port=1 RD @00
8030.50ns INFO [00008032] * RD COMPARE * port=1 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D
8030.50ns INFO [00008032] Port=0 WR @00=5B9012CEEA786A44E2
8031.50ns INFO [00008033] * RD COMPARE * port=0 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D
8031.50ns INFO [00008033] * RD COMPARE * port=1 adr=00 act=90FDC76E28F67F6BD6 exp=90FDC76E28F67F6BD6
8031.50ns INFO [00008033] Port=1 RD @01
8032.50ns INFO [00008034] Port=0 RD @04
8032.50ns INFO [00008034] Port=1 RD @00
8033.50ns INFO [00008035] * RD COMPARE * port=1 adr=01 act=F4F759AF0E721C9053 exp=F4F759AF0E721C9053
8033.50ns INFO [00008035] Port=0 WR @03=4E61F75D3B55B684A2
8033.50ns INFO [00008035] Port=1 RD @04
8034.50ns INFO [00008036] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8034.50ns INFO [00008036] * RD COMPARE * port=1 adr=00 act=5B9012CEEA786A44E2 exp=5B9012CEEA786A44E2
8034.50ns INFO [00008036] Port=1 RD @03
8035.50ns INFO [00008037] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8035.50ns INFO [00008037] Port=0 WR @07=4669EF1237F0BCF047
8035.50ns INFO [00008037] Port=1 RD @05
8036.50ns INFO [00008038] * RD COMPARE * port=1 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2
8036.50ns INFO [00008038] Port=0 RD @07
8037.50ns INFO [00008039] * RD COMPARE * port=1 adr=05 act=D7859381F123F7E446 exp=D7859381F123F7E446
8037.50ns INFO [00008039] Port=0 WR @01=FE34B0141864C69338
8038.50ns INFO [00008040] * RD COMPARE * port=0 adr=07 act=4669EF1237F0BCF047 exp=4669EF1237F0BCF047
8038.50ns INFO [00008040] Port=0 WR @00=C893D087478923594E
8038.50ns INFO [00008040] Port=0 RD @06
8039.50ns INFO [00008041] Port=0 RD @01
8039.50ns INFO [00008041] Port=1 RD @03
8040.50ns INFO [00008042] * RD COMPARE * port=0 adr=06 act=0CCF436E09F68D9F8D exp=0CCF436E09F68D9F8D
8040.50ns INFO [00008042] Port=0 WR @06=EDA75DD0D24D64BA6C
8040.50ns INFO [00008042] Port=0 RD @03
8041.50ns INFO [00008043] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338
8041.50ns INFO [00008043] * RD COMPARE * port=1 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2
8042.50ns INFO [00008044] * RD COMPARE * port=0 adr=03 act=4E61F75D3B55B684A2 exp=4E61F75D3B55B684A2
8042.50ns INFO [00008044] Port=0 WR @05=C8CA18314DDD45E561
8042.50ns INFO [00008044] Port=0 RD @04
8043.50ns INFO [00008045] Port=0 RD @01
8044.50ns INFO [00008046] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8044.50ns INFO [00008046] Port=0 RD @02
8045.50ns INFO [00008047] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338
8045.50ns INFO [00008047] Port=0 WR @07=3B0C19B27FF9844DDC
8045.50ns INFO [00008047] Port=0 RD @06
8045.50ns INFO [00008047] Port=1 RD @06
8046.50ns INFO [00008048] * RD COMPARE * port=0 adr=02 act=496111D7D9C1F7E657 exp=496111D7D9C1F7E657
8047.50ns INFO [00008049] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8047.50ns INFO [00008049] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8047.50ns INFO [00008049] Port=0 RD @04
8047.50ns INFO [00008049] Port=1 RD @05
8048.50ns INFO [00008050] Port=0 WR @03=014A0FB16BE5ABFA2B
8049.50ns INFO [00008051] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8049.50ns INFO [00008051] * RD COMPARE * port=1 adr=05 act=C8CA18314DDD45E561 exp=C8CA18314DDD45E561
8049.50ns INFO [00008051] Port=0 WR @05=101BB585209A3D0F6B
8049.50ns INFO [00008051] Port=0 RD @01
8051.50ns INFO [00008053] * RD COMPARE * port=0 adr=01 act=FE34B0141864C69338 exp=FE34B0141864C69338
8052.50ns INFO [00008054] Port=1 RD @07
8053.50ns INFO [00008055] Port=1 RD @05
8054.50ns INFO [00008056] * RD COMPARE * port=1 adr=07 act=3B0C19B27FF9844DDC exp=3B0C19B27FF9844DDC
8054.50ns INFO [00008056] Port=0 WR @01=9408ABF82E90359159
8055.50ns INFO [00008057] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B
8055.50ns INFO [00008057] Port=0 WR @03=A09781D4A5BD7F7DE6
8056.50ns INFO [00008058] Port=0 RD @01
8056.50ns INFO [00008058] Port=1 RD @00
8057.50ns INFO [00008059] Port=0 WR @07=E5C52C0BE06718DD5A
8058.50ns INFO [00008060] * RD COMPARE * port=0 adr=01 act=9408ABF82E90359159 exp=9408ABF82E90359159
8058.50ns INFO [00008060] * RD COMPARE * port=1 adr=00 act=C893D087478923594E exp=C893D087478923594E
8058.50ns INFO [00008060] Port=0 RD @07
8058.50ns INFO [00008060] Port=1 RD @06
8059.50ns INFO [00008061] Port=1 RD @05
8060.50ns INFO [00008062] * RD COMPARE * port=0 adr=07 act=E5C52C0BE06718DD5A exp=E5C52C0BE06718DD5A
8060.50ns INFO [00008062] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8060.50ns INFO [00008062] Port=1 RD @04
8061.50ns INFO [00008063] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B
8061.50ns INFO [00008063] Port=1 RD @01
8062.50ns INFO [00008064] * RD COMPARE * port=1 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8062.50ns INFO [00008064] Port=1 RD @05
8063.50ns INFO [00008065] * RD COMPARE * port=1 adr=01 act=9408ABF82E90359159 exp=9408ABF82E90359159
8063.50ns INFO [00008065] Port=0 WR @03=3B01DA5D459241126E
8064.50ns INFO [00008066] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B
8064.50ns INFO [00008066] Port=0 WR @01=E29D1A588252EF2973
8065.50ns INFO [00008067] Port=0 WR @00=95C9E81E44CC2C1330
8065.50ns INFO [00008067] Port=0 RD @04
8066.50ns INFO [00008068] Port=0 WR @02=BF625B3B5DB5D87FE2
8066.50ns INFO [00008068] Port=1 RD @07
8067.50ns INFO [00008069] * RD COMPARE * port=0 adr=04 act=2D4E786C9FCDC4D8B0 exp=2D4E786C9FCDC4D8B0
8067.50ns INFO [00008069] Port=1 RD @06
8068.50ns INFO [00008070] * RD COMPARE * port=1 adr=07 act=E5C52C0BE06718DD5A exp=E5C52C0BE06718DD5A
8068.50ns INFO [00008070] Port=0 WR @02=0FC8268A6DD25A7B8B
8068.50ns INFO [00008070] Port=0 RD @06
8068.50ns INFO [00008070] Port=1 RD @05
8069.50ns INFO [00008071] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8069.50ns INFO [00008071] Port=0 WR @04=0591C2F8EEE1C83A57
8069.50ns INFO [00008071] Port=1 RD @06
8070.50ns INFO [00008072] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8070.50ns INFO [00008072] * RD COMPARE * port=1 adr=05 act=101BB585209A3D0F6B exp=101BB585209A3D0F6B
8070.50ns INFO [00008072] Port=0 WR @05=42E9D86FDEC95880E4
8070.50ns INFO [00008072] Port=0 RD @06
8070.50ns INFO [00008072] Port=1 RD @03
8071.50ns INFO [00008073] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8071.50ns INFO [00008073] Port=0 WR @01=B773A52C5C131BEA82
8071.50ns INFO [00008073] Port=0 RD @05
8071.50ns INFO [00008073] Port=1 RD @06
8072.50ns INFO [00008074] * RD COMPARE * port=0 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8072.50ns INFO [00008074] * RD COMPARE * port=1 adr=03 act=3B01DA5D459241126E exp=3B01DA5D459241126E
8072.50ns INFO [00008074] Port=0 RD @04
8073.50ns INFO [00008075] * RD COMPARE * port=0 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4
8073.50ns INFO [00008075] * RD COMPARE * port=1 adr=06 act=EDA75DD0D24D64BA6C exp=EDA75DD0D24D64BA6C
8073.50ns INFO [00008075] Port=0 WR @01=F402F8AA99FD1F12DF
8073.50ns INFO [00008075] Port=0 RD @03
8074.50ns INFO [00008076] * RD COMPARE * port=0 adr=04 act=0591C2F8EEE1C83A57 exp=0591C2F8EEE1C83A57
8074.50ns INFO [00008076] Port=0 RD @02
8075.50ns INFO [00008077] * RD COMPARE * port=0 adr=03 act=3B01DA5D459241126E exp=3B01DA5D459241126E
8075.50ns INFO [00008077] Port=0 RD @00
8076.50ns INFO [00008078] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8076.50ns INFO [00008078] Port=0 WR @06=3976C1C546C7FE25D1
8077.50ns INFO [00008079] * RD COMPARE * port=0 adr=00 act=95C9E81E44CC2C1330 exp=95C9E81E44CC2C1330
8077.50ns INFO [00008079] Port=0 WR @03=0C4FB55ABFB037885E
8077.50ns INFO [00008079] Port=1 RD @00
8078.50ns INFO [00008080] Port=0 WR @03=9EDE847D04FCC4110C
8078.50ns INFO [00008080] Port=1 RD @02
8079.50ns INFO [00008081] * RD COMPARE * port=1 adr=00 act=95C9E81E44CC2C1330 exp=95C9E81E44CC2C1330
8079.50ns INFO [00008081] Port=0 WR @07=001E53B8C09DCC45C0
8079.50ns INFO [00008081] Port=1 RD @05
8080.50ns INFO [00008082] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8080.50ns INFO [00008082] Port=0 WR @04=046D91513FC99D9984
8081.50ns INFO [00008083] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4
8081.50ns INFO [00008083] Port=0 WR @04=15C28C4B299B110513
8081.50ns INFO [00008083] Port=1 RD @05
8083.50ns INFO [00008085] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4
8083.50ns INFO [00008085] Port=0 WR @00=64929B342D4C86ECA8
8083.50ns INFO [00008085] Port=0 RD @02
8084.50ns INFO [00008086] Port=0 RD @05
8084.50ns INFO [00008086] Port=1 RD @05
8085.50ns INFO [00008087] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8086.50ns INFO [00008088] * RD COMPARE * port=0 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4
8086.50ns INFO [00008088] * RD COMPARE * port=1 adr=05 act=42E9D86FDEC95880E4 exp=42E9D86FDEC95880E4
8086.50ns INFO [00008088] Port=1 RD @02
8087.50ns INFO [00008089] Port=0 RD @07
8087.50ns INFO [00008089] Port=1 RD @02
8088.50ns INFO [00008090] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8088.50ns INFO [00008090] Port=0 RD @04
8088.50ns INFO [00008090] Port=1 RD @04
8089.50ns INFO [00008091] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8089.50ns INFO [00008091] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8089.50ns INFO [00008091] Port=0 WR @00=2CDD09EF107C96B989
8089.50ns INFO [00008091] Port=1 RD @06
8090.50ns INFO [00008092] * RD COMPARE * port=0 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513
8090.50ns INFO [00008092] * RD COMPARE * port=1 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513
8090.50ns INFO [00008092] Port=0 RD @07
8091.50ns INFO [00008093] * RD COMPARE * port=1 adr=06 act=3976C1C546C7FE25D1 exp=3976C1C546C7FE25D1
8091.50ns INFO [00008093] Port=0 WR @05=C3215300B17EEBBE53
8091.50ns INFO [00008093] Port=0 RD @04
8091.50ns INFO [00008093] Port=1 RD @01
8092.50ns INFO [00008094] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8092.50ns INFO [00008094] Port=0 WR @04=E7E838118FAE500090
8092.50ns INFO [00008094] Port=0 RD @07
8093.50ns INFO [00008095] * RD COMPARE * port=0 adr=04 act=15C28C4B299B110513 exp=15C28C4B299B110513
8093.50ns INFO [00008095] * RD COMPARE * port=1 adr=01 act=F402F8AA99FD1F12DF exp=F402F8AA99FD1F12DF
8094.50ns INFO [00008096] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8094.50ns INFO [00008096] Port=0 WR @04=D648CE75C203C79174
8095.50ns INFO [00008097] Port=0 RD @00
8096.50ns INFO [00008098] Port=0 WR @06=C2BC5629BF2B23904E
8096.50ns INFO [00008098] Port=0 RD @02
8096.50ns INFO [00008098] Port=1 RD @05
8097.50ns INFO [00008099] * RD COMPARE * port=0 adr=00 act=2CDD09EF107C96B989 exp=2CDD09EF107C96B989
8097.50ns INFO [00008099] Port=0 WR @05=2859FCDB62B28CC0EE
8097.50ns INFO [00008099] Port=1 RD @04
8098.00ns INFO [00008100] [00008100] ...tick...
8098.50ns INFO [00008100] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8098.50ns INFO [00008100] * RD COMPARE * port=1 adr=05 act=C3215300B17EEBBE53 exp=C3215300B17EEBBE53
8099.50ns INFO [00008101] * RD COMPARE * port=1 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174
8099.50ns INFO [00008101] Port=0 RD @02
8100.50ns INFO [00008102] Port=0 WR @01=E07EBABF74CD15AB3E
8100.50ns INFO [00008102] Port=1 RD @06
8101.50ns INFO [00008103] * RD COMPARE * port=0 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8101.50ns INFO [00008103] Port=0 RD @01
8102.50ns INFO [00008104] * RD COMPARE * port=1 adr=06 act=C2BC5629BF2B23904E exp=C2BC5629BF2B23904E
8103.50ns INFO [00008105] * RD COMPARE * port=0 adr=01 act=E07EBABF74CD15AB3E exp=E07EBABF74CD15AB3E
8103.50ns INFO [00008105] Port=0 RD @03
8104.50ns INFO [00008106] Port=0 WR @01=8C0E626B4E7DBB38BF
8104.50ns INFO [00008106] Port=1 RD @02
8105.50ns INFO [00008107] * RD COMPARE * port=0 adr=03 act=9EDE847D04FCC4110C exp=9EDE847D04FCC4110C
8105.50ns INFO [00008107] Port=0 WR @03=BF3C4DC9E185F24A66
8105.50ns INFO [00008107] Port=1 RD @07
8106.50ns INFO [00008108] * RD COMPARE * port=1 adr=02 act=0FC8268A6DD25A7B8B exp=0FC8268A6DD25A7B8B
8106.50ns INFO [00008108] Port=0 WR @06=C656171E42B858B55B
8107.50ns INFO [00008109] * RD COMPARE * port=1 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8107.50ns INFO [00008109] Port=0 RD @04
8108.50ns INFO [00008110] Port=0 WR @05=DC6DC3DC82A7121D2B
8109.50ns INFO [00008111] * RD COMPARE * port=0 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174
8110.50ns INFO [00008112] Port=0 WR @00=419609EA6D484D2A1E
8112.50ns INFO [00008114] Port=1 RD @03
8113.50ns INFO [00008115] Port=0 RD @01
8113.50ns INFO [00008115] Port=1 RD @01
8114.50ns INFO [00008116] * RD COMPARE * port=1 adr=03 act=BF3C4DC9E185F24A66 exp=BF3C4DC9E185F24A66
8114.50ns INFO [00008116] Port=0 WR @00=BAA87771F17377E6F3
8115.50ns INFO [00008117] * RD COMPARE * port=0 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF
8115.50ns INFO [00008117] * RD COMPARE * port=1 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF
8115.50ns INFO [00008117] Port=0 WR @03=9376121C77AC316C91
8115.50ns INFO [00008117] Port=0 RD @06
8116.50ns INFO [00008118] Port=0 WR @02=48B6B895384F0A0193
8116.50ns INFO [00008118] Port=0 RD @07
8117.50ns INFO [00008119] * RD COMPARE * port=0 adr=06 act=C656171E42B858B55B exp=C656171E42B858B55B
8118.50ns INFO [00008120] * RD COMPARE * port=0 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8118.50ns INFO [00008120] Port=0 WR @06=F7EC8F85166AD0BDC3
8118.50ns INFO [00008120] Port=1 RD @02
8119.50ns INFO [00008121] Port=0 WR @00=21F5643746849B59D4
8119.50ns INFO [00008121] Port=1 RD @05
8120.50ns INFO [00008122] * RD COMPARE * port=1 adr=02 act=48B6B895384F0A0193 exp=48B6B895384F0A0193
8120.50ns INFO [00008122] Port=0 RD @01
8121.50ns INFO [00008123] * RD COMPARE * port=1 adr=05 act=DC6DC3DC82A7121D2B exp=DC6DC3DC82A7121D2B
8122.50ns INFO [00008124] * RD COMPARE * port=0 adr=01 act=8C0E626B4E7DBB38BF exp=8C0E626B4E7DBB38BF
8122.50ns INFO [00008124] Port=0 WR @02=BE732C937E407049EB
8122.50ns INFO [00008124] Port=1 RD @07
8123.50ns INFO [00008125] Port=0 WR @07=E2E615244B271C25C1
8124.50ns INFO [00008126] * RD COMPARE * port=1 adr=07 act=001E53B8C09DCC45C0 exp=001E53B8C09DCC45C0
8124.50ns INFO [00008126] Port=1 RD @02
8126.50ns INFO [00008128] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB
8126.50ns INFO [00008128] Port=1 RD @00
8127.50ns INFO [00008129] Port=0 WR @00=0FA71EF23583BD4096
8127.50ns INFO [00008129] Port=0 RD @07
8127.50ns INFO [00008129] Port=1 RD @05
8128.50ns INFO [00008130] * RD COMPARE * port=1 adr=00 act=21F5643746849B59D4 exp=21F5643746849B59D4
8128.50ns INFO [00008130] Port=0 WR @05=857562937448D71295
8129.50ns INFO [00008131] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1
8129.50ns INFO [00008131] * RD COMPARE * port=1 adr=05 act=DC6DC3DC82A7121D2B exp=DC6DC3DC82A7121D2B
8130.50ns INFO [00008132] Port=0 WR @06=E646F6CCD0132F8645
8131.50ns INFO [00008133] Port=0 WR @01=B3FB6D24F2F39E4EAC
8131.50ns INFO [00008133] Port=0 RD @02
8131.50ns INFO [00008133] Port=1 RD @02
8132.50ns INFO [00008134] Port=0 RD @07
8133.50ns INFO [00008135] * RD COMPARE * port=0 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB
8133.50ns INFO [00008135] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB
8133.50ns INFO [00008135] Port=1 RD @04
8134.50ns INFO [00008136] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1
8134.50ns INFO [00008136] Port=1 RD @02
8135.50ns INFO [00008137] * RD COMPARE * port=1 adr=04 act=D648CE75C203C79174 exp=D648CE75C203C79174
8135.50ns INFO [00008137] Port=0 WR @05=8B65D21F30037A68A6
8136.50ns INFO [00008138] * RD COMPARE * port=1 adr=02 act=BE732C937E407049EB exp=BE732C937E407049EB
8137.50ns INFO [00008139] Port=0 WR @02=66B24D32E23E383EEF
8139.50ns INFO [00008141] Port=0 RD @07
8141.50ns INFO [00008143] * RD COMPARE * port=0 adr=07 act=E2E615244B271C25C1 exp=E2E615244B271C25C1
8141.50ns INFO [00008143] Port=0 RD @03
8141.50ns INFO [00008143] Port=1 RD @02
8142.50ns INFO [00008144] Port=0 WR @07=6C63487FB3DD1A754A
8142.50ns INFO [00008144] Port=1 RD @05
8143.50ns INFO [00008145] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91
8143.50ns INFO [00008145] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF
8144.50ns INFO [00008146] * RD COMPARE * port=1 adr=05 act=8B65D21F30037A68A6 exp=8B65D21F30037A68A6
8144.50ns INFO [00008146] Port=0 RD @07
8144.50ns INFO [00008146] Port=1 RD @07
8145.50ns INFO [00008147] Port=0 WR @05=AD7090AF71DB124BBF
8146.50ns INFO [00008148] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A
8146.50ns INFO [00008148] * RD COMPARE * port=1 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A
8146.50ns INFO [00008148] Port=0 WR @04=117B47E6FC8BCA3D31
8146.50ns INFO [00008148] Port=0 RD @03
8147.50ns INFO [00008149] Port=0 WR @01=DC74ED255E2DB1E31D
8147.50ns INFO [00008149] Port=1 RD @05
8148.50ns INFO [00008150] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91
8148.50ns INFO [00008150] Port=0 WR @00=725CF8FA29EC66F8E4
8149.50ns INFO [00008151] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF
8149.50ns INFO [00008151] Port=0 RD @03
8150.50ns INFO [00008152] Port=0 WR @04=B28CEF6CBCDDBBCC59
8150.50ns INFO [00008152] Port=0 RD @01
8151.50ns INFO [00008153] * RD COMPARE * port=0 adr=03 act=9376121C77AC316C91 exp=9376121C77AC316C91
8152.50ns INFO [00008154] * RD COMPARE * port=0 adr=01 act=DC74ED255E2DB1E31D exp=DC74ED255E2DB1E31D
8152.50ns INFO [00008154] Port=0 WR @06=FD67DE0CB626DCE133
8153.50ns INFO [00008155] Port=0 RD @01
8155.50ns INFO [00008157] * RD COMPARE * port=0 adr=01 act=DC74ED255E2DB1E31D exp=DC74ED255E2DB1E31D
8155.50ns INFO [00008157] Port=0 WR @04=2EF72CFFE4B7FC2ED8
8156.50ns INFO [00008158] Port=0 WR @01=D076D0BF7B9AC572CD
8156.50ns INFO [00008158] Port=0 RD @04
8158.50ns INFO [00008160] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8159.50ns INFO [00008161] Port=1 RD @04
8160.50ns INFO [00008162] Port=1 RD @01
8161.50ns INFO [00008163] * RD COMPARE * port=1 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8162.50ns INFO [00008164] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD
8162.50ns INFO [00008164] Port=1 RD @02
8163.50ns INFO [00008165] Port=0 WR @03=ACBC61074A6F7D9DF5
8163.50ns INFO [00008165] Port=0 RD @00
8164.50ns INFO [00008166] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF
8165.50ns INFO [00008167] * RD COMPARE * port=0 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4
8165.50ns INFO [00008167] Port=1 RD @02
8167.50ns INFO [00008169] * RD COMPARE * port=1 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF
8167.50ns INFO [00008169] Port=0 RD @07
8167.50ns INFO [00008169] Port=1 RD @00
8169.50ns INFO [00008171] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A
8169.50ns INFO [00008171] * RD COMPARE * port=1 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4
8169.50ns INFO [00008171] Port=0 RD @06
8170.50ns INFO [00008172] Port=1 RD @01
8171.50ns INFO [00008173] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133
8172.50ns INFO [00008174] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD
8172.50ns INFO [00008174] Port=0 RD @07
8173.50ns INFO [00008175] Port=0 RD @06
8173.50ns INFO [00008175] Port=1 RD @05
8174.50ns INFO [00008176] * RD COMPARE * port=0 adr=07 act=6C63487FB3DD1A754A exp=6C63487FB3DD1A754A
8174.50ns INFO [00008176] Port=1 RD @00
8175.50ns INFO [00008177] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133
8175.50ns INFO [00008177] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF
8175.50ns INFO [00008177] Port=0 RD @06
8176.50ns INFO [00008178] * RD COMPARE * port=1 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4
8177.50ns INFO [00008179] * RD COMPARE * port=0 adr=06 act=FD67DE0CB626DCE133 exp=FD67DE0CB626DCE133
8179.50ns INFO [00008181] Port=0 RD @00
8180.50ns INFO [00008182] Port=0 RD @04
8180.50ns INFO [00008182] Port=1 RD @03
8181.50ns INFO [00008183] * RD COMPARE * port=0 adr=00 act=725CF8FA29EC66F8E4 exp=725CF8FA29EC66F8E4
8181.50ns INFO [00008183] Port=0 WR @06=6FF0F80236DD5F090C
8182.50ns INFO [00008184] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8182.50ns INFO [00008184] * RD COMPARE * port=1 adr=03 act=ACBC61074A6F7D9DF5 exp=ACBC61074A6F7D9DF5
8182.50ns INFO [00008184] Port=1 RD @06
8183.50ns INFO [00008185] Port=0 WR @03=5864B7D2937B6A6285
8183.50ns INFO [00008185] Port=0 RD @02
8183.50ns INFO [00008185] Port=1 RD @01
8184.50ns INFO [00008186] * RD COMPARE * port=1 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C
8184.50ns INFO [00008186] Port=0 WR @07=6D82140DB8BECB52BD
8184.50ns INFO [00008186] Port=1 RD @03
8185.50ns INFO [00008187] * RD COMPARE * port=0 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF
8185.50ns INFO [00008187] * RD COMPARE * port=1 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD
8186.50ns INFO [00008188] * RD COMPARE * port=1 adr=03 act=5864B7D2937B6A6285 exp=5864B7D2937B6A6285
8186.50ns INFO [00008188] Port=0 RD @07
8187.50ns INFO [00008189] Port=0 RD @07
8188.50ns INFO [00008190] * RD COMPARE * port=0 adr=07 act=6D82140DB8BECB52BD exp=6D82140DB8BECB52BD
8189.50ns INFO [00008191] * RD COMPARE * port=0 adr=07 act=6D82140DB8BECB52BD exp=6D82140DB8BECB52BD
8189.50ns INFO [00008191] Port=0 RD @01
8189.50ns INFO [00008191] Port=1 RD @06
8190.50ns INFO [00008192] Port=0 WR @07=943860E962D033B45A
8190.50ns INFO [00008192] Port=0 RD @06
8191.50ns INFO [00008193] * RD COMPARE * port=0 adr=01 act=D076D0BF7B9AC572CD exp=D076D0BF7B9AC572CD
8191.50ns INFO [00008193] * RD COMPARE * port=1 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C
8191.50ns INFO [00008193] Port=0 WR @06=A4E2E6D16222B8D889
8192.50ns INFO [00008194] * RD COMPARE * port=0 adr=06 act=6FF0F80236DD5F090C exp=6FF0F80236DD5F090C
8193.50ns INFO [00008195] Port=0 WR @03=BAA2301D933B024A86
8193.50ns INFO [00008195] Port=0 RD @04
8193.50ns INFO [00008195] Port=1 RD @05
8194.50ns INFO [00008196] Port=1 RD @07
8195.50ns INFO [00008197] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8195.50ns INFO [00008197] * RD COMPARE * port=1 adr=05 act=AD7090AF71DB124BBF exp=AD7090AF71DB124BBF
8195.50ns INFO [00008197] Port=0 WR @05=9786BDD4E57A4D3BCC
8195.50ns INFO [00008197] Port=0 RD @02
8196.50ns INFO [00008198] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8196.50ns INFO [00008198] Port=0 WR @02=A2803C2F8E4002E9AE
8196.50ns INFO [00008198] Port=0 RD @04
8197.50ns INFO [00008199] * RD COMPARE * port=0 adr=02 act=66B24D32E23E383EEF exp=66B24D32E23E383EEF
8197.50ns INFO [00008199] Port=0 WR @00=1043D0A0A84CE09C95
8198.00ns INFO [00008200] [00008200] ...tick...
8198.50ns INFO [00008200] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8198.50ns INFO [00008200] Port=0 WR @03=7D5CC711F92F0E99F3
8198.50ns INFO [00008200] Port=1 RD @07
8200.50ns INFO [00008202] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8200.50ns INFO [00008202] Port=0 WR @01=EC496903C996207359
8200.50ns INFO [00008202] Port=0 RD @04
8200.50ns INFO [00008202] Port=1 RD @07
8201.50ns INFO [00008203] Port=0 WR @00=22E33ED327D8D871B3
8201.50ns INFO [00008203] Port=1 RD @03
8202.50ns INFO [00008204] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8202.50ns INFO [00008204] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8202.50ns INFO [00008204] Port=0 RD @04
8203.50ns INFO [00008205] * RD COMPARE * port=1 adr=03 act=7D5CC711F92F0E99F3 exp=7D5CC711F92F0E99F3
8203.50ns INFO [00008205] Port=0 RD @02
8204.50ns INFO [00008206] * RD COMPARE * port=0 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8204.50ns INFO [00008206] Port=0 RD @03
8205.50ns INFO [00008207] * RD COMPARE * port=0 adr=02 act=A2803C2F8E4002E9AE exp=A2803C2F8E4002E9AE
8205.50ns INFO [00008207] Port=0 WR @00=49086E901AB6090A9F
8205.50ns INFO [00008207] Port=1 RD @04
8206.50ns INFO [00008208] * RD COMPARE * port=0 adr=03 act=7D5CC711F92F0E99F3 exp=7D5CC711F92F0E99F3
8206.50ns INFO [00008208] Port=0 WR @05=AD8426D37AF6D66FAD
8206.50ns INFO [00008208] Port=1 RD @06
8207.50ns INFO [00008209] * RD COMPARE * port=1 adr=04 act=2EF72CFFE4B7FC2ED8 exp=2EF72CFFE4B7FC2ED8
8207.50ns INFO [00008209] Port=0 WR @03=5C5163F984713C0919
8208.50ns INFO [00008210] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8208.50ns INFO [00008210] Port=0 WR @04=5F8D4AC38081B7C926
8208.50ns INFO [00008210] Port=0 RD @07
8210.50ns INFO [00008212] * RD COMPARE * port=0 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8211.50ns INFO [00008213] Port=0 WR @03=A3F572FCB877C68E07
8212.50ns INFO [00008214] Port=0 RD @03
8212.50ns INFO [00008214] Port=1 RD @05
8213.50ns INFO [00008215] Port=0 WR @03=75DFC1F74276F16358
8213.50ns INFO [00008215] Port=0 RD @02
8214.50ns INFO [00008216] * RD COMPARE * port=0 adr=03 act=A3F572FCB877C68E07 exp=A3F572FCB877C68E07
8214.50ns INFO [00008216] * RD COMPARE * port=1 adr=05 act=AD8426D37AF6D66FAD exp=AD8426D37AF6D66FAD
8214.50ns INFO [00008216] Port=0 WR @02=BC200E487C3CB757F1
8214.50ns INFO [00008216] Port=0 RD @01
8215.50ns INFO [00008217] * RD COMPARE * port=0 adr=02 act=A2803C2F8E4002E9AE exp=A2803C2F8E4002E9AE
8215.50ns INFO [00008217] Port=0 WR @03=F21CC422B0D774012C
8215.50ns INFO [00008217] Port=1 RD @01
8216.50ns INFO [00008218] * RD COMPARE * port=0 adr=01 act=EC496903C996207359 exp=EC496903C996207359
8216.50ns INFO [00008218] Port=0 WR @00=6D3C71094B6B52733D
8217.50ns INFO [00008219] * RD COMPARE * port=1 adr=01 act=EC496903C996207359 exp=EC496903C996207359
8218.50ns INFO [00008220] Port=0 WR @01=7F526CCFCB3749ED57
8218.50ns INFO [00008220] Port=1 RD @04
8219.50ns INFO [00008221] Port=1 RD @07
8220.50ns INFO [00008222] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8220.50ns INFO [00008222] Port=0 WR @00=14D2DA0CED9BB0B263
8220.50ns INFO [00008222] Port=0 RD @04
8220.50ns INFO [00008222] Port=1 RD @01
8221.50ns INFO [00008223] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8221.50ns INFO [00008223] Port=0 WR @03=20861149A6913A3C5C
8221.50ns INFO [00008223] Port=0 RD @04
8222.50ns INFO [00008224] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8222.50ns INFO [00008224] * RD COMPARE * port=1 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57
8222.50ns INFO [00008224] Port=1 RD @06
8223.50ns INFO [00008225] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8223.50ns INFO [00008225] Port=0 RD @01
8223.50ns INFO [00008225] Port=1 RD @05
8224.50ns INFO [00008226] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8224.50ns INFO [00008226] Port=0 RD @01
8224.50ns INFO [00008226] Port=1 RD @07
8225.50ns INFO [00008227] * RD COMPARE * port=0 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57
8225.50ns INFO [00008227] * RD COMPARE * port=1 adr=05 act=AD8426D37AF6D66FAD exp=AD8426D37AF6D66FAD
8226.50ns INFO [00008228] * RD COMPARE * port=0 adr=01 act=7F526CCFCB3749ED57 exp=7F526CCFCB3749ED57
8226.50ns INFO [00008228] * RD COMPARE * port=1 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8226.50ns INFO [00008228] Port=0 WR @00=69B34F1E8A3F3A04E0
8227.50ns INFO [00008229] Port=0 WR @01=1EFD8C3A3F251284D2
8227.50ns INFO [00008229] Port=1 RD @00
8228.50ns INFO [00008230] Port=0 WR @03=96D5D2A1F6B88C0311
8228.50ns INFO [00008230] Port=0 RD @07
8228.50ns INFO [00008230] Port=1 RD @06
8229.50ns INFO [00008231] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0
8230.50ns INFO [00008232] * RD COMPARE * port=0 adr=07 act=943860E962D033B45A exp=943860E962D033B45A
8230.50ns INFO [00008232] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8231.50ns INFO [00008233] Port=0 WR @05=9143A78B903DE185D3
8231.50ns INFO [00008233] Port=0 RD @03
8231.50ns INFO [00008233] Port=1 RD @01
8232.50ns INFO [00008234] Port=0 WR @02=4569A8C0C3B0ADFF50
8232.50ns INFO [00008234] Port=1 RD @00
8233.50ns INFO [00008235] * RD COMPARE * port=0 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311
8233.50ns INFO [00008235] * RD COMPARE * port=1 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2
8233.50ns INFO [00008235] Port=0 RD @01
8234.50ns INFO [00008236] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0
8234.50ns INFO [00008236] Port=0 RD @03
8234.50ns INFO [00008236] Port=1 RD @00
8235.50ns INFO [00008237] * RD COMPARE * port=0 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2
8236.50ns INFO [00008238] * RD COMPARE * port=0 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311
8236.50ns INFO [00008238] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0
8237.50ns INFO [00008239] Port=0 WR @07=66C837D09754513419
8238.50ns INFO [00008240] Port=1 RD @04
8239.50ns INFO [00008241] Port=0 WR @02=6999D4453A28E7C78D
8239.50ns INFO [00008241] Port=1 RD @04
8240.50ns INFO [00008242] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8240.50ns INFO [00008242] Port=0 WR @05=BAD74DF7D81DED7C95
8240.50ns INFO [00008242] Port=0 RD @07
8240.50ns INFO [00008242] Port=1 RD @01
8241.50ns INFO [00008243] * RD COMPARE * port=1 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8242.50ns INFO [00008244] * RD COMPARE * port=0 adr=07 act=66C837D09754513419 exp=66C837D09754513419
8242.50ns INFO [00008244] * RD COMPARE * port=1 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2
8243.50ns INFO [00008245] Port=0 WR @05=BE084E3529D838133B
8243.50ns INFO [00008245] Port=1 RD @03
8244.50ns INFO [00008246] Port=1 RD @00
8245.50ns INFO [00008247] * RD COMPARE * port=1 adr=03 act=96D5D2A1F6B88C0311 exp=96D5D2A1F6B88C0311
8246.50ns INFO [00008248] * RD COMPARE * port=1 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0
8246.50ns INFO [00008248] Port=0 WR @07=25DB443FC15DEFF665
8246.50ns INFO [00008248] Port=0 RD @04
8247.50ns INFO [00008249] Port=0 RD @01
8248.50ns INFO [00008250] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8249.50ns INFO [00008251] * RD COMPARE * port=0 adr=01 act=1EFD8C3A3F251284D2 exp=1EFD8C3A3F251284D2
8250.50ns INFO [00008252] Port=0 RD @00
8252.50ns INFO [00008254] * RD COMPARE * port=0 adr=00 act=69B34F1E8A3F3A04E0 exp=69B34F1E8A3F3A04E0
8252.50ns INFO [00008254] Port=0 RD @04
8254.50ns INFO [00008256] * RD COMPARE * port=0 adr=04 act=5F8D4AC38081B7C926 exp=5F8D4AC38081B7C926
8255.50ns INFO [00008257] Port=0 WR @00=F2F6DD57BD3966718A
8256.50ns INFO [00008258] Port=1 RD @00
8257.50ns INFO [00008259] Port=0 RD @07
8257.50ns INFO [00008259] Port=1 RD @07
8258.50ns INFO [00008260] * RD COMPARE * port=1 adr=00 act=F2F6DD57BD3966718A exp=F2F6DD57BD3966718A
8258.50ns INFO [00008260] Port=0 RD @06
8259.50ns INFO [00008261] * RD COMPARE * port=0 adr=07 act=25DB443FC15DEFF665 exp=25DB443FC15DEFF665
8259.50ns INFO [00008261] * RD COMPARE * port=1 adr=07 act=25DB443FC15DEFF665 exp=25DB443FC15DEFF665
8260.50ns INFO [00008262] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8261.50ns INFO [00008263] Port=0 RD @05
8262.50ns INFO [00008264] Port=0 WR @01=0EDA75119811C86EAF
8262.50ns INFO [00008264] Port=0 RD @06
8262.50ns INFO [00008264] Port=1 RD @00
8263.50ns INFO [00008265] * RD COMPARE * port=0 adr=05 act=BE084E3529D838133B exp=BE084E3529D838133B
8263.50ns INFO [00008265] Port=1 RD @06
8264.50ns INFO [00008266] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8264.50ns INFO [00008266] * RD COMPARE * port=1 adr=00 act=F2F6DD57BD3966718A exp=F2F6DD57BD3966718A
8264.50ns INFO [00008266] Port=0 WR @05=747348FF7EBFC1238C
8265.50ns INFO [00008267] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8267.50ns INFO [00008269] Port=0 WR @05=7D0887E212680A6396
8267.50ns INFO [00008269] Port=0 RD @02
8269.50ns INFO [00008271] * RD COMPARE * port=0 adr=02 act=6999D4453A28E7C78D exp=6999D4453A28E7C78D
8269.50ns INFO [00008271] Port=0 RD @02
8270.50ns INFO [00008272] Port=0 WR @03=0C58823D27A0AC9B3E
8271.50ns INFO [00008273] * RD COMPARE * port=0 adr=02 act=6999D4453A28E7C78D exp=6999D4453A28E7C78D
8271.50ns INFO [00008273] Port=0 WR @02=18951171922AA56F5D
8271.50ns INFO [00008273] Port=1 RD @01
8272.50ns INFO [00008274] Port=0 WR @07=19C3006D61B4F9C720
8272.50ns INFO [00008274] Port=0 RD @01
8272.50ns INFO [00008274] Port=1 RD @05
8273.50ns INFO [00008275] * RD COMPARE * port=1 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8273.50ns INFO [00008275] Port=0 WR @04=24BFE131E793048E3F
8274.50ns INFO [00008276] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8274.50ns INFO [00008276] * RD COMPARE * port=1 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8278.50ns INFO [00008280] Port=0 WR @03=FD11A13AA6723C2B81
8280.50ns INFO [00008282] Port=0 RD @05
8282.50ns INFO [00008284] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8282.50ns INFO [00008284] Port=0 RD @07
8283.50ns INFO [00008285] Port=0 RD @06
8283.50ns INFO [00008285] Port=1 RD @03
8284.50ns INFO [00008286] * RD COMPARE * port=0 adr=07 act=19C3006D61B4F9C720 exp=19C3006D61B4F9C720
8284.50ns INFO [00008286] Port=0 RD @07
8284.50ns INFO [00008286] Port=1 RD @04
8285.50ns INFO [00008287] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8285.50ns INFO [00008287] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8285.50ns INFO [00008287] Port=0 WR @07=9213C701A666209028
8285.50ns INFO [00008287] Port=0 RD @06
8285.50ns INFO [00008287] Port=1 RD @02
8286.50ns INFO [00008288] * RD COMPARE * port=0 adr=07 act=19C3006D61B4F9C720 exp=19C3006D61B4F9C720
8286.50ns INFO [00008288] * RD COMPARE * port=1 adr=04 act=24BFE131E793048E3F exp=24BFE131E793048E3F
8286.50ns INFO [00008288] Port=0 WR @00=D15E4760A77C3E693C
8286.50ns INFO [00008288] Port=0 RD @01
8287.50ns INFO [00008289] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8287.50ns INFO [00008289] * RD COMPARE * port=1 adr=02 act=18951171922AA56F5D exp=18951171922AA56F5D
8287.50ns INFO [00008289] Port=1 RD @07
8288.50ns INFO [00008290] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8289.50ns INFO [00008291] * RD COMPARE * port=1 adr=07 act=9213C701A666209028 exp=9213C701A666209028
8289.50ns INFO [00008291] Port=0 RD @06
8290.50ns INFO [00008292] Port=0 WR @00=434DA616BC8F047180
8290.50ns INFO [00008292] Port=0 RD @03
8290.50ns INFO [00008292] Port=1 RD @03
8291.50ns INFO [00008293] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8291.50ns INFO [00008293] Port=0 WR @04=C5032F382C1CC9AF96
8291.50ns INFO [00008293] Port=0 RD @06
8292.50ns INFO [00008294] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8292.50ns INFO [00008294] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8292.50ns INFO [00008294] Port=1 RD @03
8293.50ns INFO [00008295] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8293.50ns INFO [00008295] Port=0 RD @06
8293.50ns INFO [00008295] Port=1 RD @00
8294.50ns INFO [00008296] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8295.50ns INFO [00008297] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8295.50ns INFO [00008297] * RD COMPARE * port=1 adr=00 act=434DA616BC8F047180 exp=434DA616BC8F047180
8296.50ns INFO [00008298] Port=0 RD @00
8297.50ns INFO [00008299] Port=0 RD @02
8298.00ns INFO [00008300] [00008300] ...tick...
8298.50ns INFO [00008300] * RD COMPARE * port=0 adr=00 act=434DA616BC8F047180 exp=434DA616BC8F047180
8298.50ns INFO [00008300] Port=0 WR @02=4CEA08AA255D885D12
8298.50ns INFO [00008300] Port=1 RD @04
8299.50ns INFO [00008301] * RD COMPARE * port=0 adr=02 act=18951171922AA56F5D exp=18951171922AA56F5D
8299.50ns INFO [00008301] Port=0 WR @00=C2D5A95013A1969561
8299.50ns INFO [00008301] Port=1 RD @05
8300.50ns INFO [00008302] * RD COMPARE * port=1 adr=04 act=C5032F382C1CC9AF96 exp=C5032F382C1CC9AF96
8301.50ns INFO [00008303] * RD COMPARE * port=1 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8301.50ns INFO [00008303] Port=0 RD @01
8302.50ns INFO [00008304] Port=0 RD @00
8302.50ns INFO [00008304] Port=1 RD @00
8303.50ns INFO [00008305] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8303.50ns INFO [00008305] Port=0 RD @06
8304.50ns INFO [00008306] * RD COMPARE * port=0 adr=00 act=C2D5A95013A1969561 exp=C2D5A95013A1969561
8304.50ns INFO [00008306] * RD COMPARE * port=1 adr=00 act=C2D5A95013A1969561 exp=C2D5A95013A1969561
8304.50ns INFO [00008306] Port=0 WR @00=3FB95AC93E900DC903
8304.50ns INFO [00008306] Port=0 RD @06
8305.50ns INFO [00008307] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8305.50ns INFO [00008307] Port=0 RD @05
8306.50ns INFO [00008308] * RD COMPARE * port=0 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8306.50ns INFO [00008308] Port=0 RD @05
8306.50ns INFO [00008308] Port=1 RD @07
8307.50ns INFO [00008309] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8308.50ns INFO [00008310] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8308.50ns INFO [00008310] * RD COMPARE * port=1 adr=07 act=9213C701A666209028 exp=9213C701A666209028
8308.50ns INFO [00008310] Port=1 RD @02
8310.50ns INFO [00008312] * RD COMPARE * port=1 adr=02 act=4CEA08AA255D885D12 exp=4CEA08AA255D885D12
8310.50ns INFO [00008312] Port=0 RD @03
8310.50ns INFO [00008312] Port=1 RD @04
8311.50ns INFO [00008313] Port=0 WR @07=9C09C0B2142476E45A
8311.50ns INFO [00008313] Port=0 RD @01
8312.50ns INFO [00008314] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8312.50ns INFO [00008314] * RD COMPARE * port=1 adr=04 act=C5032F382C1CC9AF96 exp=C5032F382C1CC9AF96
8312.50ns INFO [00008314] Port=0 WR @07=9A1D0C8385AB92F702
8312.50ns INFO [00008314] Port=1 RD @03
8313.50ns INFO [00008315] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8313.50ns INFO [00008315] Port=0 RD @01
8313.50ns INFO [00008315] Port=1 RD @00
8314.50ns INFO [00008316] * RD COMPARE * port=1 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8314.50ns INFO [00008316] Port=0 RD @05
8315.50ns INFO [00008317] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8315.50ns INFO [00008317] * RD COMPARE * port=1 adr=00 act=3FB95AC93E900DC903 exp=3FB95AC93E900DC903
8315.50ns INFO [00008317] Port=0 WR @05=4AA287E0DBFB958EB1
8316.50ns INFO [00008318] * RD COMPARE * port=0 adr=05 act=7D0887E212680A6396 exp=7D0887E212680A6396
8316.50ns INFO [00008318] Port=1 RD @07
8317.50ns INFO [00008319] Port=0 RD @00
8317.50ns INFO [00008319] Port=1 RD @01
8318.50ns INFO [00008320] * RD COMPARE * port=1 adr=07 act=9A1D0C8385AB92F702 exp=9A1D0C8385AB92F702
8319.50ns INFO [00008321] * RD COMPARE * port=0 adr=00 act=3FB95AC93E900DC903 exp=3FB95AC93E900DC903
8319.50ns INFO [00008321] * RD COMPARE * port=1 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8319.50ns INFO [00008321] Port=0 WR @05=7322194C054E76BB7D
8319.50ns INFO [00008321] Port=0 RD @03
8320.50ns INFO [00008322] Port=0 WR @02=125ECC270A60BE89F3
8321.50ns INFO [00008323] * RD COMPARE * port=0 adr=03 act=FD11A13AA6723C2B81 exp=FD11A13AA6723C2B81
8322.50ns INFO [00008324] Port=0 WR @00=9B97702BCDB76C18E6
8322.50ns INFO [00008324] Port=0 RD @02
8323.50ns INFO [00008325] Port=1 RD @05
8324.50ns INFO [00008326] * RD COMPARE * port=0 adr=02 act=125ECC270A60BE89F3 exp=125ECC270A60BE89F3
8324.50ns INFO [00008326] Port=0 WR @07=76870F490B6D23EFE1
8325.50ns INFO [00008327] * RD COMPARE * port=1 adr=05 act=7322194C054E76BB7D exp=7322194C054E76BB7D
8325.50ns INFO [00008327] Port=0 RD @01
8326.50ns INFO [00008328] Port=0 WR @04=1E6D917F8723E886AD
8326.50ns INFO [00008328] Port=1 RD @07
8327.50ns INFO [00008329] * RD COMPARE * port=0 adr=01 act=0EDA75119811C86EAF exp=0EDA75119811C86EAF
8327.50ns INFO [00008329] Port=0 WR @00=5C5BF0926CAA003089
8327.50ns INFO [00008329] Port=1 RD @02
8328.50ns INFO [00008330] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1
8328.50ns INFO [00008330] Port=0 WR @01=1FB5563870C9A4EC14
8328.50ns INFO [00008330] Port=1 RD @04
8329.50ns INFO [00008331] * RD COMPARE * port=1 adr=02 act=125ECC270A60BE89F3 exp=125ECC270A60BE89F3
8329.50ns INFO [00008331] Port=0 WR @01=CEFA56CE9F3F2E8A88
8329.50ns INFO [00008331] Port=0 RD @07
8330.50ns INFO [00008332] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD
8330.50ns INFO [00008332] Port=0 WR @03=FC974F0C5A700D6F83
8330.50ns INFO [00008332] Port=0 RD @00
8330.50ns INFO [00008332] Port=1 RD @05
8331.50ns INFO [00008333] * RD COMPARE * port=0 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1
8332.50ns INFO [00008334] * RD COMPARE * port=0 adr=00 act=5C5BF0926CAA003089 exp=5C5BF0926CAA003089
8332.50ns INFO [00008334] * RD COMPARE * port=1 adr=05 act=7322194C054E76BB7D exp=7322194C054E76BB7D
8332.50ns INFO [00008334] Port=0 WR @01=9573EE09FE4DDF7EB8
8333.50ns INFO [00008335] Port=1 RD @07
8334.50ns INFO [00008336] Port=0 WR @01=CF32448CD7FDA334B7
8334.50ns INFO [00008336] Port=0 RD @03
8335.50ns INFO [00008337] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1
8335.50ns INFO [00008337] Port=0 WR @02=5823AFAE3F533B6645
8335.50ns INFO [00008337] Port=1 RD @07
8336.50ns INFO [00008338] * RD COMPARE * port=0 adr=03 act=FC974F0C5A700D6F83 exp=FC974F0C5A700D6F83
8336.50ns INFO [00008338] Port=1 RD @06
8337.50ns INFO [00008339] * RD COMPARE * port=1 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1
8337.50ns INFO [00008339] Port=0 WR @05=A900E3F5F0B5246F50
8337.50ns INFO [00008339] Port=1 RD @06
8338.50ns INFO [00008340] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8338.50ns INFO [00008340] Port=0 WR @02=11962875CE55EDB88F
8339.50ns INFO [00008341] * RD COMPARE * port=1 adr=06 act=A4E2E6D16222B8D889 exp=A4E2E6D16222B8D889
8339.50ns INFO [00008341] Port=1 RD @04
8341.50ns INFO [00008343] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD
8341.50ns INFO [00008343] Port=0 WR @01=E7C4C82E8D46D7F9DD
8341.50ns INFO [00008343] Port=1 RD @05
8342.50ns INFO [00008344] Port=0 WR @03=6B66C8ADF5682EB927
8342.50ns INFO [00008344] Port=0 RD @00
8343.50ns INFO [00008345] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8344.50ns INFO [00008346] * RD COMPARE * port=0 adr=00 act=5C5BF0926CAA003089 exp=5C5BF0926CAA003089
8344.50ns INFO [00008346] Port=0 WR @01=62AD84E5ED085BFC81
8345.50ns INFO [00008347] Port=0 WR @01=486A70A506AE8D45CB
8345.50ns INFO [00008347] Port=0 RD @03
8345.50ns INFO [00008347] Port=1 RD @05
8346.50ns INFO [00008348] Port=0 RD @03
8347.50ns INFO [00008349] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927
8347.50ns INFO [00008349] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8347.50ns INFO [00008349] Port=0 RD @02
8348.50ns INFO [00008350] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927
8348.50ns INFO [00008350] Port=0 WR @06=6B9DD0A3B324CE5137
8348.50ns INFO [00008350] Port=0 RD @04
8349.50ns INFO [00008351] * RD COMPARE * port=0 adr=02 act=11962875CE55EDB88F exp=11962875CE55EDB88F
8349.50ns INFO [00008351] Port=0 RD @05
8349.50ns INFO [00008351] Port=1 RD @02
8350.50ns INFO [00008352] * RD COMPARE * port=0 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD
8350.50ns INFO [00008352] Port=0 WR @00=F466171C211FB36267
8351.50ns INFO [00008353] * RD COMPARE * port=0 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8351.50ns INFO [00008353] * RD COMPARE * port=1 adr=02 act=11962875CE55EDB88F exp=11962875CE55EDB88F
8351.50ns INFO [00008353] Port=0 RD @00
8351.50ns INFO [00008353] Port=1 RD @05
8352.50ns INFO [00008354] Port=1 RD @03
8353.50ns INFO [00008355] * RD COMPARE * port=0 adr=00 act=F466171C211FB36267 exp=F466171C211FB36267
8353.50ns INFO [00008355] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8353.50ns INFO [00008355] Port=0 WR @02=8260AA9E28D9703826
8353.50ns INFO [00008355] Port=0 RD @07
8353.50ns INFO [00008355] Port=1 RD @00
8354.50ns INFO [00008356] * RD COMPARE * port=1 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927
8354.50ns INFO [00008356] Port=1 RD @05
8355.50ns INFO [00008357] * RD COMPARE * port=0 adr=07 act=76870F490B6D23EFE1 exp=76870F490B6D23EFE1
8355.50ns INFO [00008357] * RD COMPARE * port=1 adr=00 act=F466171C211FB36267 exp=F466171C211FB36267
8355.50ns INFO [00008357] Port=0 WR @02=C918C9ECF24BBB7422
8355.50ns INFO [00008357] Port=1 RD @04
8356.50ns INFO [00008358] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8356.50ns INFO [00008358] Port=0 RD @03
8357.50ns INFO [00008359] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD
8358.50ns INFO [00008360] * RD COMPARE * port=0 adr=03 act=6B66C8ADF5682EB927 exp=6B66C8ADF5682EB927
8358.50ns INFO [00008360] Port=1 RD @01
8360.50ns INFO [00008362] * RD COMPARE * port=1 adr=01 act=486A70A506AE8D45CB exp=486A70A506AE8D45CB
8360.50ns INFO [00008362] Port=0 RD @01
8360.50ns INFO [00008362] Port=1 RD @06
8361.50ns INFO [00008363] Port=0 WR @00=E462BCD161495C4FBF
8361.50ns INFO [00008363] Port=1 RD @05
8362.50ns INFO [00008364] * RD COMPARE * port=0 adr=01 act=486A70A506AE8D45CB exp=486A70A506AE8D45CB
8362.50ns INFO [00008364] * RD COMPARE * port=1 adr=06 act=6B9DD0A3B324CE5137 exp=6B9DD0A3B324CE5137
8363.50ns INFO [00008365] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8363.50ns INFO [00008365] Port=0 WR @03=157E1E5A0AC71C38F7
8363.50ns INFO [00008365] Port=1 RD @04
8364.50ns INFO [00008366] Port=0 WR @04=3ABFA3BC6AC1BDEED7
8364.50ns INFO [00008366] Port=0 RD @03
8364.50ns INFO [00008366] Port=1 RD @06
8365.50ns INFO [00008367] * RD COMPARE * port=1 adr=04 act=1E6D917F8723E886AD exp=1E6D917F8723E886AD
8365.50ns INFO [00008367] Port=0 WR @01=C2627FEC77B68D85BA
8366.50ns INFO [00008368] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7
8366.50ns INFO [00008368] * RD COMPARE * port=1 adr=06 act=6B9DD0A3B324CE5137 exp=6B9DD0A3B324CE5137
8367.50ns INFO [00008369] Port=0 WR @07=043C3EA8AE860E27E8
8367.50ns INFO [00008369] Port=1 RD @02
8369.50ns INFO [00008371] * RD COMPARE * port=1 adr=02 act=C918C9ECF24BBB7422 exp=C918C9ECF24BBB7422
8369.50ns INFO [00008371] Port=0 WR @06=4F453F802985D0B105
8370.50ns INFO [00008372] Port=1 RD @02
8371.50ns INFO [00008373] Port=0 WR @01=C24443757F166A12F4
8371.50ns INFO [00008373] Port=0 RD @07
8371.50ns INFO [00008373] Port=1 RD @04
8372.50ns INFO [00008374] * RD COMPARE * port=1 adr=02 act=C918C9ECF24BBB7422 exp=C918C9ECF24BBB7422
8373.50ns INFO [00008375] * RD COMPARE * port=0 adr=07 act=043C3EA8AE860E27E8 exp=043C3EA8AE860E27E8
8373.50ns INFO [00008375] * RD COMPARE * port=1 adr=04 act=3ABFA3BC6AC1BDEED7 exp=3ABFA3BC6AC1BDEED7
8373.50ns INFO [00008375] Port=0 RD @03
8374.50ns INFO [00008376] Port=0 WR @07=B99275D409610332B7
8374.50ns INFO [00008376] Port=0 RD @03
8374.50ns INFO [00008376] Port=1 RD @00
8375.50ns INFO [00008377] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7
8376.50ns INFO [00008378] * RD COMPARE * port=0 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7
8376.50ns INFO [00008378] * RD COMPARE * port=1 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF
8378.50ns INFO [00008380] Port=0 WR @07=1ED7639AEBBDB24C2E
8378.50ns INFO [00008380] Port=0 RD @00
8378.50ns INFO [00008380] Port=1 RD @03
8379.50ns INFO [00008381] Port=0 WR @02=6BCF4DDB542F041C20
8379.50ns INFO [00008381] Port=1 RD @07
8380.50ns INFO [00008382] * RD COMPARE * port=0 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF
8380.50ns INFO [00008382] * RD COMPARE * port=1 adr=03 act=157E1E5A0AC71C38F7 exp=157E1E5A0AC71C38F7
8381.50ns INFO [00008383] * RD COMPARE * port=1 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E
8381.50ns INFO [00008383] Port=0 RD @00
8381.50ns INFO [00008383] Port=1 RD @01
8383.50ns INFO [00008385] * RD COMPARE * port=0 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF
8383.50ns INFO [00008385] * RD COMPARE * port=1 adr=01 act=C24443757F166A12F4 exp=C24443757F166A12F4
8384.50ns INFO [00008386] Port=0 WR @03=68933E441388A99C95
8385.50ns INFO [00008387] Port=1 RD @07
8386.50ns INFO [00008388] Port=0 RD @07
8387.50ns INFO [00008389] * RD COMPARE * port=1 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E
8387.50ns INFO [00008389] Port=0 WR @04=8AD9603B057BF1A9CE
8388.50ns INFO [00008390] * RD COMPARE * port=0 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E
8388.50ns INFO [00008390] Port=0 RD @07
8389.50ns INFO [00008391] Port=0 WR @06=A9C0BA3169E5C1C7EE
8389.50ns INFO [00008391] Port=0 RD @02
8390.50ns INFO [00008392] * RD COMPARE * port=0 adr=07 act=1ED7639AEBBDB24C2E exp=1ED7639AEBBDB24C2E
8391.50ns INFO [00008393] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20
8391.50ns INFO [00008393] Port=1 RD @03
8393.50ns INFO [00008395] * RD COMPARE * port=1 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95
8393.50ns INFO [00008395] Port=0 RD @04
8395.50ns INFO [00008397] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8395.50ns INFO [00008397] Port=0 RD @04
8396.50ns INFO [00008398] Port=0 WR @07=E3822BA28548292A10
8397.50ns INFO [00008399] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8398.00ns INFO [00008400] [00008400] ...tick...
8398.50ns INFO [00008400] Port=0 RD @01
8399.50ns INFO [00008401] Port=0 RD @02
8400.50ns INFO [00008402] * RD COMPARE * port=0 adr=01 act=C24443757F166A12F4 exp=C24443757F166A12F4
8400.50ns INFO [00008402] Port=0 WR @06=85C439A326D925DBE1
8401.50ns INFO [00008403] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20
8401.50ns INFO [00008403] Port=0 RD @04
8401.50ns INFO [00008403] Port=1 RD @03
8402.50ns INFO [00008404] Port=1 RD @00
8403.50ns INFO [00008405] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8403.50ns INFO [00008405] * RD COMPARE * port=1 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95
8403.50ns INFO [00008405] Port=0 WR @00=EA3088E6319294295A
8404.50ns INFO [00008406] * RD COMPARE * port=1 adr=00 act=E462BCD161495C4FBF exp=E462BCD161495C4FBF
8404.50ns INFO [00008406] Port=0 RD @02
8405.50ns INFO [00008407] Port=0 RD @03
8406.50ns INFO [00008408] * RD COMPARE * port=0 adr=02 act=6BCF4DDB542F041C20 exp=6BCF4DDB542F041C20
8407.50ns INFO [00008409] * RD COMPARE * port=0 adr=03 act=68933E441388A99C95 exp=68933E441388A99C95
8407.50ns INFO [00008409] Port=0 RD @04
8407.50ns INFO [00008409] Port=1 RD @07
8409.50ns INFO [00008411] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8409.50ns INFO [00008411] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10
8409.50ns INFO [00008411] Port=0 WR @01=A944A8AABC362CB492
8409.50ns INFO [00008411] Port=0 RD @00
8410.50ns INFO [00008412] Port=0 WR @01=15C8C8976A99C4DB78
8410.50ns INFO [00008412] Port=1 RD @07
8411.50ns INFO [00008413] * RD COMPARE * port=0 adr=00 act=EA3088E6319294295A exp=EA3088E6319294295A
8411.50ns INFO [00008413] Port=0 RD @04
8412.50ns INFO [00008414] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10
8412.50ns INFO [00008414] Port=0 WR @02=35AA1D6C42212B2525
8413.50ns INFO [00008415] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8413.50ns INFO [00008415] Port=0 WR @03=CEEFDCC79776F79174
8414.50ns INFO [00008416] Port=0 RD @04
8416.50ns INFO [00008418] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8416.50ns INFO [00008418] Port=1 RD @02
8417.50ns INFO [00008419] Port=1 RD @05
8418.50ns INFO [00008420] * RD COMPARE * port=1 adr=02 act=35AA1D6C42212B2525 exp=35AA1D6C42212B2525
8418.50ns INFO [00008420] Port=0 RD @00
8418.50ns INFO [00008420] Port=1 RD @05
8419.50ns INFO [00008421] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8420.50ns INFO [00008422] * RD COMPARE * port=0 adr=00 act=EA3088E6319294295A exp=EA3088E6319294295A
8420.50ns INFO [00008422] * RD COMPARE * port=1 adr=05 act=A900E3F5F0B5246F50 exp=A900E3F5F0B5246F50
8421.50ns INFO [00008423] Port=0 RD @04
8422.50ns INFO [00008424] Port=0 WR @01=C686AAC943E67BBF00
8423.50ns INFO [00008425] * RD COMPARE * port=0 adr=04 act=8AD9603B057BF1A9CE exp=8AD9603B057BF1A9CE
8423.50ns INFO [00008425] Port=0 WR @06=B752B55A70D88B2B77
8423.50ns INFO [00008425] Port=0 RD @02
8424.50ns INFO [00008426] Port=0 WR @02=2273B428E7701268D0
8424.50ns INFO [00008426] Port=0 RD @03
8424.50ns INFO [00008426] Port=1 RD @01
8425.50ns INFO [00008427] * RD COMPARE * port=0 adr=02 act=35AA1D6C42212B2525 exp=35AA1D6C42212B2525
8425.50ns INFO [00008427] Port=0 WR @02=844E81E7EE1B3FD6AC
8425.50ns INFO [00008427] Port=0 RD @03
8426.50ns INFO [00008428] * RD COMPARE * port=0 adr=03 act=CEEFDCC79776F79174 exp=CEEFDCC79776F79174
8426.50ns INFO [00008428] * RD COMPARE * port=1 adr=01 act=C686AAC943E67BBF00 exp=C686AAC943E67BBF00
8426.50ns INFO [00008428] Port=0 WR @06=1E09756F9A98D58DCC
8426.50ns INFO [00008428] Port=1 RD @07
8427.50ns INFO [00008429] * RD COMPARE * port=0 adr=03 act=CEEFDCC79776F79174 exp=CEEFDCC79776F79174
8427.50ns INFO [00008429] Port=0 WR @00=A0C726AC345337250D
8428.50ns INFO [00008430] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10
8429.50ns INFO [00008431] Port=0 WR @03=85559DCE9B68965133
8429.50ns INFO [00008431] Port=1 RD @07
8430.50ns INFO [00008432] Port=0 RD @06
8431.50ns INFO [00008433] * RD COMPARE * port=1 adr=07 act=E3822BA28548292A10 exp=E3822BA28548292A10
8431.50ns INFO [00008433] Port=1 RD @02
8432.50ns INFO [00008434] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC
8432.50ns INFO [00008434] Port=0 WR @05=B5B009EF03E2EAB04B
8432.50ns INFO [00008434] Port=0 RD @06
8433.50ns INFO [00008435] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC
8434.50ns INFO [00008436] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC
8435.50ns INFO [00008437] Port=0 WR @01=59AC4D72CA2EE18CE7
8435.50ns INFO [00008437] Port=0 RD @03
8436.50ns INFO [00008438] Port=0 RD @06
8437.50ns INFO [00008439] * RD COMPARE * port=0 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133
8437.50ns INFO [00008439] Port=0 RD @01
8437.50ns INFO [00008439] Port=1 RD @02
8438.50ns INFO [00008440] * RD COMPARE * port=0 adr=06 act=1E09756F9A98D58DCC exp=1E09756F9A98D58DCC
8438.50ns INFO [00008440] Port=0 WR @06=48D2EDF87D011EC933
8439.50ns INFO [00008441] * RD COMPARE * port=0 adr=01 act=59AC4D72CA2EE18CE7 exp=59AC4D72CA2EE18CE7
8439.50ns INFO [00008441] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC
8439.50ns INFO [00008441] Port=0 WR @01=076C1E7B64E5D864B4
8440.50ns INFO [00008442] Port=1 RD @03
8441.50ns INFO [00008443] Port=0 WR @07=DBD64D057120991C24
8441.50ns INFO [00008443] Port=0 RD @01
8442.50ns INFO [00008444] * RD COMPARE * port=1 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133
8442.50ns INFO [00008444] Port=0 WR @06=A806FB7F66AC4066AF
8443.50ns INFO [00008445] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4
8443.50ns INFO [00008445] Port=0 WR @07=F2335A4B00523FF559
8446.50ns INFO [00008448] Port=0 WR @05=45D89E94171EC7E455
8448.50ns INFO [00008450] Port=0 RD @06
8448.50ns INFO [00008450] Port=1 RD @07
8449.50ns INFO [00008451] Port=0 RD @00
8450.50ns INFO [00008452] * RD COMPARE * port=0 adr=06 act=A806FB7F66AC4066AF exp=A806FB7F66AC4066AF
8450.50ns INFO [00008452] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8450.50ns INFO [00008452] Port=0 WR @04=97A3F2BDED55FADF7A
8450.50ns INFO [00008452] Port=0 RD @01
8450.50ns INFO [00008452] Port=1 RD @03
8451.50ns INFO [00008453] * RD COMPARE * port=0 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D
8451.50ns INFO [00008453] Port=0 WR @03=2E004FAB2E856AC8A1
8451.50ns INFO [00008453] Port=0 RD @02
8452.50ns INFO [00008454] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4
8452.50ns INFO [00008454] * RD COMPARE * port=1 adr=03 act=85559DCE9B68965133 exp=85559DCE9B68965133
8452.50ns INFO [00008454] Port=0 WR @06=87F92FFFC4AE86C292
8452.50ns INFO [00008454] Port=0 RD @01
8452.50ns INFO [00008454] Port=1 RD @00
8453.50ns INFO [00008455] * RD COMPARE * port=0 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC
8453.50ns INFO [00008455] Port=0 RD @07
8453.50ns INFO [00008455] Port=1 RD @00
8454.50ns INFO [00008456] * RD COMPARE * port=0 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4
8454.50ns INFO [00008456] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D
8454.50ns INFO [00008456] Port=0 WR @05=22394C9102E52E783A
8454.50ns INFO [00008456] Port=1 RD @01
8455.50ns INFO [00008457] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8455.50ns INFO [00008457] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D
8456.50ns INFO [00008458] * RD COMPARE * port=1 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4
8456.50ns INFO [00008458] Port=1 RD @00
8457.50ns INFO [00008459] Port=0 RD @05
8458.50ns INFO [00008460] * RD COMPARE * port=1 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D
8458.50ns INFO [00008460] Port=1 RD @02
8459.50ns INFO [00008461] * RD COMPARE * port=0 adr=05 act=22394C9102E52E783A exp=22394C9102E52E783A
8459.50ns INFO [00008461] Port=0 RD @00
8459.50ns INFO [00008461] Port=1 RD @01
8460.50ns INFO [00008462] * RD COMPARE * port=1 adr=02 act=844E81E7EE1B3FD6AC exp=844E81E7EE1B3FD6AC
8461.50ns INFO [00008463] * RD COMPARE * port=0 adr=00 act=A0C726AC345337250D exp=A0C726AC345337250D
8461.50ns INFO [00008463] * RD COMPARE * port=1 adr=01 act=076C1E7B64E5D864B4 exp=076C1E7B64E5D864B4
8461.50ns INFO [00008463] Port=0 RD @05
8462.50ns INFO [00008464] Port=0 WR @00=A31703C564BFAFF01D
8463.50ns INFO [00008465] * RD COMPARE * port=0 adr=05 act=22394C9102E52E783A exp=22394C9102E52E783A
8463.50ns INFO [00008465] Port=1 RD @06
8464.50ns INFO [00008466] Port=0 WR @05=AAEADE369AFD183E01
8464.50ns INFO [00008466] Port=1 RD @07
8465.50ns INFO [00008467] * RD COMPARE * port=1 adr=06 act=87F92FFFC4AE86C292 exp=87F92FFFC4AE86C292
8465.50ns INFO [00008467] Port=0 WR @01=272EB19D3E150BFFDD
8466.50ns INFO [00008468] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8466.50ns INFO [00008468] Port=0 WR @06=5B4D978377F202007B
8466.50ns INFO [00008468] Port=0 RD @03
8466.50ns INFO [00008468] Port=1 RD @03
8467.50ns INFO [00008469] Port=0 RD @06
8468.50ns INFO [00008470] * RD COMPARE * port=0 adr=03 act=2E004FAB2E856AC8A1 exp=2E004FAB2E856AC8A1
8468.50ns INFO [00008470] * RD COMPARE * port=1 adr=03 act=2E004FAB2E856AC8A1 exp=2E004FAB2E856AC8A1
8468.50ns INFO [00008470] Port=1 RD @06
8469.50ns INFO [00008471] * RD COMPARE * port=0 adr=06 act=5B4D978377F202007B exp=5B4D978377F202007B
8469.50ns INFO [00008471] Port=0 WR @02=6D670DE1A04E480518
8470.50ns INFO [00008472] * RD COMPARE * port=1 adr=06 act=5B4D978377F202007B exp=5B4D978377F202007B
8471.50ns INFO [00008473] Port=0 WR @04=605B062A25669282E3
8473.50ns INFO [00008475] Port=1 RD @01
8474.50ns INFO [00008476] Port=1 RD @07
8475.50ns INFO [00008477] * RD COMPARE * port=1 adr=01 act=272EB19D3E150BFFDD exp=272EB19D3E150BFFDD
8476.50ns INFO [00008478] * RD COMPARE * port=1 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8476.50ns INFO [00008478] Port=0 WR @06=50110F5F33C576884F
8476.50ns INFO [00008478] Port=0 RD @04
8476.50ns INFO [00008478] Port=1 RD @00
8477.50ns INFO [00008479] Port=0 WR @00=0EE1DC0620CBF860EC
8477.50ns INFO [00008479] Port=0 RD @07
8478.50ns INFO [00008480] * RD COMPARE * port=0 adr=04 act=605B062A25669282E3 exp=605B062A25669282E3
8478.50ns INFO [00008480] * RD COMPARE * port=1 adr=00 act=A31703C564BFAFF01D exp=A31703C564BFAFF01D
8479.50ns INFO [00008481] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8479.50ns INFO [00008481] Port=0 WR @04=1F83E2A4F4F32192F6
8479.50ns INFO [00008481] Port=0 RD @05
8480.50ns INFO [00008482] Port=1 RD @00
8481.50ns INFO [00008483] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8481.50ns INFO [00008483] Port=0 WR @01=C32755FD2A0AB28A1F
8481.50ns INFO [00008483] Port=0 RD @00
8482.50ns INFO [00008484] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC
8482.50ns INFO [00008484] Port=1 RD @06
8483.50ns INFO [00008485] * RD COMPARE * port=0 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC
8484.50ns INFO [00008486] * RD COMPARE * port=1 adr=06 act=50110F5F33C576884F exp=50110F5F33C576884F
8484.50ns INFO [00008486] Port=0 WR @06=0D7F5A019CF03B6187
8484.50ns INFO [00008486] Port=1 RD @04
8486.50ns INFO [00008488] * RD COMPARE * port=1 adr=04 act=1F83E2A4F4F32192F6 exp=1F83E2A4F4F32192F6
8487.50ns INFO [00008489] Port=0 RD @00
8488.50ns INFO [00008490] Port=1 RD @04
8489.50ns INFO [00008491] * RD COMPARE * port=0 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC
8489.50ns INFO [00008491] Port=1 RD @00
8490.50ns INFO [00008492] * RD COMPARE * port=1 adr=04 act=1F83E2A4F4F32192F6 exp=1F83E2A4F4F32192F6
8490.50ns INFO [00008492] Port=0 WR @04=0D920E84FE8DAC51FB
8490.50ns INFO [00008492] Port=1 RD @05
8491.50ns INFO [00008493] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC
8491.50ns INFO [00008493] Port=0 WR @03=2AB0A28EAE54E070C7
8491.50ns INFO [00008493] Port=1 RD @05
8492.50ns INFO [00008494] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8492.50ns INFO [00008494] Port=1 RD @00
8493.50ns INFO [00008495] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8493.50ns INFO [00008495] Port=0 WR @00=4B93FC8D891DBF7487
8493.50ns INFO [00008495] Port=1 RD @05
8494.50ns INFO [00008496] * RD COMPARE * port=1 adr=00 act=0EE1DC0620CBF860EC exp=0EE1DC0620CBF860EC
8494.50ns INFO [00008496] Port=0 RD @05
8495.50ns INFO [00008497] * RD COMPARE * port=1 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8495.50ns INFO [00008497] Port=1 RD @04
8496.50ns INFO [00008498] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8496.50ns INFO [00008498] Port=0 WR @02=B4159F6D5FA92FBA25
8496.50ns INFO [00008498] Port=0 RD @00
8497.50ns INFO [00008499] * RD COMPARE * port=1 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB
8497.50ns INFO [00008499] Port=0 RD @05
8498.00ns INFO [00008500] [00008500] ...tick...
8498.50ns INFO [00008500] * RD COMPARE * port=0 adr=00 act=4B93FC8D891DBF7487 exp=4B93FC8D891DBF7487
8498.50ns INFO [00008500] Port=0 WR @00=91A94A9FD428E9ACD3
8499.50ns INFO [00008501] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8499.50ns INFO [00008501] Port=0 WR @02=DD7ABBF6147B005ECA
8499.50ns INFO [00008501] Port=0 RD @07
8500.50ns INFO [00008502] Port=0 WR @01=4446F10DDB149D7CC9
8501.50ns INFO [00008503] * RD COMPARE * port=0 adr=07 act=F2335A4B00523FF559 exp=F2335A4B00523FF559
8501.50ns INFO [00008503] Port=0 WR @00=1A35CB2E8E1A756B41
8503.50ns INFO [00008505] Port=0 WR @02=C3B408C7CF501BC882
8503.50ns INFO [00008505] Port=0 RD @06
8505.50ns INFO [00008507] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187
8505.50ns INFO [00008507] Port=0 RD @04
8506.50ns INFO [00008508] Port=0 WR @00=275114C7835AB2B136
8506.50ns INFO [00008508] Port=1 RD @02
8507.50ns INFO [00008509] * RD COMPARE * port=0 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB
8507.50ns INFO [00008509] Port=0 WR @03=5BFD666F0B479520C2
8507.50ns INFO [00008509] Port=0 RD @06
8508.50ns INFO [00008510] * RD COMPARE * port=1 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882
8509.50ns INFO [00008511] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187
8509.50ns INFO [00008511] Port=0 WR @07=B5D190B78E795CDB3B
8509.50ns INFO [00008511] Port=0 RD @02
8511.50ns INFO [00008513] * RD COMPARE * port=0 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882
8511.50ns INFO [00008513] Port=0 RD @05
8513.50ns INFO [00008515] * RD COMPARE * port=0 adr=05 act=AAEADE369AFD183E01 exp=AAEADE369AFD183E01
8514.50ns INFO [00008516] Port=0 WR @03=87A9E02116A5E0E177
8515.50ns INFO [00008517] Port=0 WR @05=C5BCEA83F04D94E4DC
8516.50ns INFO [00008518] Port=0 RD @07
8516.50ns INFO [00008518] Port=1 RD @07
8517.50ns INFO [00008519] Port=0 RD @04
8518.50ns INFO [00008520] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8518.50ns INFO [00008520] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8518.50ns INFO [00008520] Port=0 RD @03
8518.50ns INFO [00008520] Port=1 RD @07
8519.50ns INFO [00008521] * RD COMPARE * port=0 adr=04 act=0D920E84FE8DAC51FB exp=0D920E84FE8DAC51FB
8519.50ns INFO [00008521] Port=0 RD @02
8520.50ns INFO [00008522] * RD COMPARE * port=0 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177
8520.50ns INFO [00008522] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8520.50ns INFO [00008522] Port=0 WR @05=61DA881D6640E1ABFE
8520.50ns INFO [00008522] Port=1 RD @06
8521.50ns INFO [00008523] * RD COMPARE * port=0 adr=02 act=C3B408C7CF501BC882 exp=C3B408C7CF501BC882
8521.50ns INFO [00008523] Port=0 WR @02=323C2470E2DAE61A59
8521.50ns INFO [00008523] Port=1 RD @05
8522.50ns INFO [00008524] * RD COMPARE * port=1 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187
8522.50ns INFO [00008524] Port=1 RD @01
8523.50ns INFO [00008525] * RD COMPARE * port=1 adr=05 act=61DA881D6640E1ABFE exp=61DA881D6640E1ABFE
8524.50ns INFO [00008526] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8524.50ns INFO [00008526] Port=0 RD @03
8525.50ns INFO [00008527] Port=0 RD @02
8526.50ns INFO [00008528] * RD COMPARE * port=0 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177
8527.50ns INFO [00008529] * RD COMPARE * port=0 adr=02 act=323C2470E2DAE61A59 exp=323C2470E2DAE61A59
8527.50ns INFO [00008529] Port=0 RD @05
8527.50ns INFO [00008529] Port=1 RD @03
8528.50ns INFO [00008530] Port=1 RD @02
8529.50ns INFO [00008531] * RD COMPARE * port=0 adr=05 act=61DA881D6640E1ABFE exp=61DA881D6640E1ABFE
8529.50ns INFO [00008531] * RD COMPARE * port=1 adr=03 act=87A9E02116A5E0E177 exp=87A9E02116A5E0E177
8529.50ns INFO [00008531] Port=0 WR @00=51B79EB35331F76A61
8529.50ns INFO [00008531] Port=1 RD @07
8530.50ns INFO [00008532] * RD COMPARE * port=1 adr=02 act=323C2470E2DAE61A59 exp=323C2470E2DAE61A59
8530.50ns INFO [00008532] Port=0 WR @03=FD15CF67BC45BDC1EC
8530.50ns INFO [00008532] Port=0 RD @06
8531.50ns INFO [00008533] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8532.50ns INFO [00008534] * RD COMPARE * port=0 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187
8533.50ns INFO [00008535] Port=0 WR @05=CED7D942BE5CF4E5F2
8533.50ns INFO [00008535] Port=1 RD @06
8534.50ns INFO [00008536] Port=0 WR @02=4DF76AD615FF62EF36
8534.50ns INFO [00008536] Port=0 RD @07
8535.50ns INFO [00008537] * RD COMPARE * port=1 adr=06 act=0D7F5A019CF03B6187 exp=0D7F5A019CF03B6187
8536.50ns INFO [00008538] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8536.50ns INFO [00008538] Port=0 RD @01
8537.50ns INFO [00008539] Port=0 WR @04=FF34E1CCDEE207A770
8537.50ns INFO [00008539] Port=1 RD @03
8538.50ns INFO [00008540] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8539.50ns INFO [00008541] * RD COMPARE * port=1 adr=03 act=FD15CF67BC45BDC1EC exp=FD15CF67BC45BDC1EC
8539.50ns INFO [00008541] Port=0 WR @06=79AA596DF5FCC3C421
8540.50ns INFO [00008542] Port=0 WR @05=B0CCD1D03E6AFB7D75
8540.50ns INFO [00008542] Port=1 RD @01
8541.50ns INFO [00008543] Port=0 WR @03=BCF00D515D94DE085B
8542.50ns INFO [00008544] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8542.50ns INFO [00008544] Port=0 RD @07
8544.50ns INFO [00008546] * RD COMPARE * port=0 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8544.50ns INFO [00008546] Port=0 WR @00=8C12726FA0EA9FCB6A
8544.50ns INFO [00008546] Port=0 RD @06
8545.50ns INFO [00008547] Port=0 WR @06=6C527BA362191A19DB
8545.50ns INFO [00008547] Port=0 RD @00
8546.50ns INFO [00008548] * RD COMPARE * port=0 adr=06 act=79AA596DF5FCC3C421 exp=79AA596DF5FCC3C421
8547.50ns INFO [00008549] * RD COMPARE * port=0 adr=00 act=8C12726FA0EA9FCB6A exp=8C12726FA0EA9FCB6A
8547.50ns INFO [00008549] Port=1 RD @02
8549.50ns INFO [00008551] * RD COMPARE * port=1 adr=02 act=4DF76AD615FF62EF36 exp=4DF76AD615FF62EF36
8549.50ns INFO [00008551] Port=1 RD @01
8551.50ns INFO [00008553] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8551.50ns INFO [00008553] Port=1 RD @01
8552.50ns INFO [00008554] Port=0 RD @01
8553.50ns INFO [00008555] * RD COMPARE * port=1 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8553.50ns INFO [00008555] Port=0 WR @06=C88912F933EC2EC33C
8554.50ns INFO [00008556] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8554.50ns INFO [00008556] Port=0 WR @00=D53871744D9D0F7F37
8555.50ns INFO [00008557] Port=0 WR @00=FF8FEBCCEB0F523008
8555.50ns INFO [00008557] Port=0 RD @01
8555.50ns INFO [00008557] Port=1 RD @07
8556.50ns INFO [00008558] Port=0 WR @00=EC574CC4DDB7636601
8556.50ns INFO [00008558] Port=1 RD @03
8557.50ns INFO [00008559] * RD COMPARE * port=0 adr=01 act=4446F10DDB149D7CC9 exp=4446F10DDB149D7CC9
8557.50ns INFO [00008559] * RD COMPARE * port=1 adr=07 act=B5D190B78E795CDB3B exp=B5D190B78E795CDB3B
8557.50ns INFO [00008559] Port=0 WR @07=90EF52CDA51AEF7E72
8558.50ns INFO [00008560] * RD COMPARE * port=1 adr=03 act=BCF00D515D94DE085B exp=BCF00D515D94DE085B
8560.50ns INFO [00008562] Port=0 WR @01=9EB56802536BF49868
8560.50ns INFO [00008562] Port=0 RD @05
8560.50ns INFO [00008562] Port=1 RD @05
8561.50ns INFO [00008563] Port=0 WR @06=319CCDA67FF10F6B50
8562.50ns INFO [00008564] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8562.50ns INFO [00008564] * RD COMPARE * port=1 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8563.50ns INFO [00008565] Port=0 WR @02=01927ABFFA9D4903EB
8564.50ns INFO [00008566] Port=0 WR @06=1EB510F098931505C5
8564.50ns INFO [00008566] Port=0 RD @05
8565.50ns INFO [00008567] Port=0 RD @01
8566.50ns INFO [00008568] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8566.50ns INFO [00008568] Port=1 RD @02
8567.50ns INFO [00008569] * RD COMPARE * port=0 adr=01 act=9EB56802536BF49868 exp=9EB56802536BF49868
8568.50ns INFO [00008570] * RD COMPARE * port=1 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB
8569.50ns INFO [00008571] Port=0 WR @07=4CD2E448956AC77B42
8569.50ns INFO [00008571] Port=0 RD @05
8569.50ns INFO [00008571] Port=1 RD @06
8570.50ns INFO [00008572] Port=0 WR @01=F2C74FE7056037E492
8571.50ns INFO [00008573] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8571.50ns INFO [00008573] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8571.50ns INFO [00008573] Port=0 WR @03=833CD021A3C72BD422
8571.50ns INFO [00008573] Port=0 RD @05
8571.50ns INFO [00008573] Port=1 RD @01
8573.50ns INFO [00008575] * RD COMPARE * port=0 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8573.50ns INFO [00008575] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8573.50ns INFO [00008575] Port=1 RD @05
8574.50ns INFO [00008576] Port=0 RD @02
8574.50ns INFO [00008576] Port=1 RD @00
8575.50ns INFO [00008577] * RD COMPARE * port=1 adr=05 act=B0CCD1D03E6AFB7D75 exp=B0CCD1D03E6AFB7D75
8575.50ns INFO [00008577] Port=1 RD @00
8576.50ns INFO [00008578] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB
8576.50ns INFO [00008578] * RD COMPARE * port=1 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601
8577.50ns INFO [00008579] * RD COMPARE * port=1 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601
8577.50ns INFO [00008579] Port=0 WR @05=04280F95CAB8BD7520
8578.50ns INFO [00008580] Port=0 RD @04
8579.50ns INFO [00008581] Port=0 RD @03
8580.50ns INFO [00008582] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8580.50ns INFO [00008582] Port=0 RD @02
8580.50ns INFO [00008582] Port=1 RD @05
8581.50ns INFO [00008583] * RD COMPARE * port=0 adr=03 act=833CD021A3C72BD422 exp=833CD021A3C72BD422
8581.50ns INFO [00008583] Port=0 RD @05
8581.50ns INFO [00008583] Port=1 RD @07
8582.50ns INFO [00008584] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB
8582.50ns INFO [00008584] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520
8582.50ns INFO [00008584] Port=1 RD @03
8583.50ns INFO [00008585] * RD COMPARE * port=0 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520
8583.50ns INFO [00008585] * RD COMPARE * port=1 adr=07 act=4CD2E448956AC77B42 exp=4CD2E448956AC77B42
8584.50ns INFO [00008586] * RD COMPARE * port=1 adr=03 act=833CD021A3C72BD422 exp=833CD021A3C72BD422
8584.50ns INFO [00008586] Port=0 WR @07=E6CE6D9B5C5681233A
8584.50ns INFO [00008586] Port=1 RD @04
8585.50ns INFO [00008587] Port=0 RD @01
8586.50ns INFO [00008588] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8587.50ns INFO [00008589] * RD COMPARE * port=0 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8587.50ns INFO [00008589] Port=0 WR @03=EB2C5548B3CA0AE172
8588.50ns INFO [00008590] Port=1 RD @05
8589.50ns INFO [00008591] Port=1 RD @01
8590.50ns INFO [00008592] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520
8591.50ns INFO [00008593] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8591.50ns INFO [00008593] Port=0 WR @03=0227BE2399F876E2D3
8591.50ns INFO [00008593] Port=0 RD @04
8592.50ns INFO [00008594] Port=0 RD @00
8593.50ns INFO [00008595] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8593.50ns INFO [00008595] Port=0 RD @04
8593.50ns INFO [00008595] Port=1 RD @03
8594.50ns INFO [00008596] * RD COMPARE * port=0 adr=00 act=EC574CC4DDB7636601 exp=EC574CC4DDB7636601
8595.50ns INFO [00008597] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8595.50ns INFO [00008597] * RD COMPARE * port=1 adr=03 act=0227BE2399F876E2D3 exp=0227BE2399F876E2D3
8595.50ns INFO [00008597] Port=1 RD @05
8596.50ns INFO [00008598] Port=0 RD @07
8596.50ns INFO [00008598] Port=1 RD @02
8597.50ns INFO [00008599] * RD COMPARE * port=1 adr=05 act=04280F95CAB8BD7520 exp=04280F95CAB8BD7520
8597.50ns INFO [00008599] Port=0 WR @05=C9FEB4772A50B913D4
8598.00ns INFO [00008600] [00008600] ...tick...
8598.50ns INFO [00008600] * RD COMPARE * port=0 adr=07 act=E6CE6D9B5C5681233A exp=E6CE6D9B5C5681233A
8598.50ns INFO [00008600] * RD COMPARE * port=1 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB
8598.50ns INFO [00008600] Port=0 WR @07=102347CE958A5C1CA4
8599.50ns INFO [00008601] Port=1 RD @01
8600.50ns INFO [00008602] Port=0 WR @00=DC9BF2315D40D20494
8600.50ns INFO [00008602] Port=0 RD @03
8601.50ns INFO [00008603] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8601.50ns INFO [00008603] Port=1 RD @01
8602.50ns INFO [00008604] * RD COMPARE * port=0 adr=03 act=0227BE2399F876E2D3 exp=0227BE2399F876E2D3
8602.50ns INFO [00008604] Port=0 RD @01
8603.50ns INFO [00008605] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8603.50ns INFO [00008605] Port=0 WR @03=A89277C42025D5636E
8603.50ns INFO [00008605] Port=0 RD @06
8603.50ns INFO [00008605] Port=1 RD @01
8604.50ns INFO [00008606] * RD COMPARE * port=0 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8604.50ns INFO [00008606] Port=0 RD @03
8604.50ns INFO [00008606] Port=1 RD @06
8605.50ns INFO [00008607] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8605.50ns INFO [00008607] * RD COMPARE * port=1 adr=01 act=F2C74FE7056037E492 exp=F2C74FE7056037E492
8605.50ns INFO [00008607] Port=0 RD @05
8606.50ns INFO [00008608] * RD COMPARE * port=0 adr=03 act=A89277C42025D5636E exp=A89277C42025D5636E
8606.50ns INFO [00008608] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8606.50ns INFO [00008608] Port=0 WR @01=77ACD8BF8C5F3955EE
8607.50ns INFO [00008609] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8607.50ns INFO [00008609] Port=0 WR @07=BE445371BF58D99667
8607.50ns INFO [00008609] Port=0 RD @02
8608.50ns INFO [00008610] Port=0 WR @02=7217BD4ADA680D4426
8608.50ns INFO [00008610] Port=1 RD @00
8609.50ns INFO [00008611] * RD COMPARE * port=0 adr=02 act=01927ABFFA9D4903EB exp=01927ABFFA9D4903EB
8609.50ns INFO [00008611] Port=0 RD @04
8610.50ns INFO [00008612] * RD COMPARE * port=1 adr=00 act=DC9BF2315D40D20494 exp=DC9BF2315D40D20494
8611.50ns INFO [00008613] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8612.50ns INFO [00008614] Port=0 RD @06
8612.50ns INFO [00008614] Port=1 RD @00
8613.50ns INFO [00008615] Port=0 RD @06
8613.50ns INFO [00008615] Port=1 RD @06
8614.50ns INFO [00008616] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8614.50ns INFO [00008616] * RD COMPARE * port=1 adr=00 act=DC9BF2315D40D20494 exp=DC9BF2315D40D20494
8614.50ns INFO [00008616] Port=0 WR @01=7A8106B3BFC467CDC7
8614.50ns INFO [00008616] Port=1 RD @06
8615.50ns INFO [00008617] * RD COMPARE * port=0 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8615.50ns INFO [00008617] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8616.50ns INFO [00008618] * RD COMPARE * port=1 adr=06 act=1EB510F098931505C5 exp=1EB510F098931505C5
8616.50ns INFO [00008618] Port=0 WR @06=4BEBD713DCCF9D6AE1
8616.50ns INFO [00008618] Port=0 RD @02
8617.50ns INFO [00008619] Port=0 RD @06
8618.50ns INFO [00008620] * RD COMPARE * port=0 adr=02 act=7217BD4ADA680D4426 exp=7217BD4ADA680D4426
8618.50ns INFO [00008620] Port=0 WR @00=89FEE6087E71B09820
8619.50ns INFO [00008621] * RD COMPARE * port=0 adr=06 act=4BEBD713DCCF9D6AE1 exp=4BEBD713DCCF9D6AE1
8619.50ns INFO [00008621] Port=0 WR @02=03A5F474CB36759A6C
8619.50ns INFO [00008621] Port=0 RD @06
8619.50ns INFO [00008621] Port=1 RD @05
8620.50ns INFO [00008622] Port=0 RD @04
8620.50ns INFO [00008622] Port=1 RD @01
8621.50ns INFO [00008623] * RD COMPARE * port=0 adr=06 act=4BEBD713DCCF9D6AE1 exp=4BEBD713DCCF9D6AE1
8621.50ns INFO [00008623] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8622.50ns INFO [00008624] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8622.50ns INFO [00008624] * RD COMPARE * port=1 adr=01 act=7A8106B3BFC467CDC7 exp=7A8106B3BFC467CDC7
8623.50ns INFO [00008625] Port=0 WR @06=9F19734A9A089BF09F
8623.50ns INFO [00008625] Port=1 RD @04
8624.50ns INFO [00008626] Port=0 WR @03=4F36B3267D93B912D6
8625.50ns INFO [00008627] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8625.50ns INFO [00008627] Port=0 RD @06
8625.50ns INFO [00008627] Port=1 RD @06
8626.50ns INFO [00008628] Port=0 RD @05
8626.50ns INFO [00008628] Port=1 RD @07
8627.50ns INFO [00008629] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8627.50ns INFO [00008629] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8627.50ns INFO [00008629] Port=0 WR @00=8F1596CE65968E857F
8627.50ns INFO [00008629] Port=0 RD @02
8628.50ns INFO [00008630] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8628.50ns INFO [00008630] * RD COMPARE * port=1 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8628.50ns INFO [00008630] Port=0 WR @01=1DAC364078A8D2A42E
8628.50ns INFO [00008630] Port=0 RD @04
8628.50ns INFO [00008630] Port=1 RD @05
8629.50ns INFO [00008631] * RD COMPARE * port=0 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C
8629.50ns INFO [00008631] Port=0 WR @00=B3EB4CDBA08F4C2E53
8630.50ns INFO [00008632] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8630.50ns INFO [00008632] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8630.50ns INFO [00008632] Port=0 RD @04
8630.50ns INFO [00008632] Port=1 RD @06
8631.50ns INFO [00008633] Port=0 RD @05
8631.50ns INFO [00008633] Port=1 RD @04
8632.50ns INFO [00008634] * RD COMPARE * port=0 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8632.50ns INFO [00008634] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8632.50ns INFO [00008634] Port=0 RD @01
8632.50ns INFO [00008634] Port=1 RD @00
8633.50ns INFO [00008635] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8633.50ns INFO [00008635] * RD COMPARE * port=1 adr=04 act=FF34E1CCDEE207A770 exp=FF34E1CCDEE207A770
8633.50ns INFO [00008635] Port=0 RD @07
8634.50ns INFO [00008636] * RD COMPARE * port=0 adr=01 act=1DAC364078A8D2A42E exp=1DAC364078A8D2A42E
8634.50ns INFO [00008636] * RD COMPARE * port=1 adr=00 act=B3EB4CDBA08F4C2E53 exp=B3EB4CDBA08F4C2E53
8634.50ns INFO [00008636] Port=0 RD @01
8635.50ns INFO [00008637] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8635.50ns INFO [00008637] Port=0 RD @05
8635.50ns INFO [00008637] Port=1 RD @00
8636.50ns INFO [00008638] * RD COMPARE * port=0 adr=01 act=1DAC364078A8D2A42E exp=1DAC364078A8D2A42E
8636.50ns INFO [00008638] Port=0 WR @00=F4E982E51B96999811
8636.50ns INFO [00008638] Port=0 RD @06
8637.50ns INFO [00008639] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8637.50ns INFO [00008639] * RD COMPARE * port=1 adr=00 act=B3EB4CDBA08F4C2E53 exp=B3EB4CDBA08F4C2E53
8637.50ns INFO [00008639] Port=0 WR @01=5DC673DC182291208C
8637.50ns INFO [00008639] Port=0 RD @05
8638.50ns INFO [00008640] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8639.50ns INFO [00008641] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8639.50ns INFO [00008641] Port=0 RD @00
8641.50ns INFO [00008643] * RD COMPARE * port=0 adr=00 act=F4E982E51B96999811 exp=F4E982E51B96999811
8642.50ns INFO [00008644] Port=0 RD @05
8642.50ns INFO [00008644] Port=1 RD @03
8643.50ns INFO [00008645] Port=0 RD @00
8643.50ns INFO [00008645] Port=1 RD @01
8644.50ns INFO [00008646] * RD COMPARE * port=0 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8644.50ns INFO [00008646] * RD COMPARE * port=1 adr=03 act=4F36B3267D93B912D6 exp=4F36B3267D93B912D6
8644.50ns INFO [00008646] Port=0 WR @01=CAAD34425F41990F40
8645.50ns INFO [00008647] * RD COMPARE * port=0 adr=00 act=F4E982E51B96999811 exp=F4E982E51B96999811
8645.50ns INFO [00008647] * RD COMPARE * port=1 adr=01 act=5DC673DC182291208C exp=5DC673DC182291208C
8646.50ns INFO [00008648] Port=0 RD @06
8646.50ns INFO [00008648] Port=1 RD @06
8647.50ns INFO [00008649] Port=0 WR @04=FCF13488C6A6B58A2B
8648.50ns INFO [00008650] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8648.50ns INFO [00008650] * RD COMPARE * port=1 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8649.50ns INFO [00008651] Port=0 WR @00=5C1FD644025B94E009
8649.50ns INFO [00008651] Port=0 RD @03
8649.50ns INFO [00008651] Port=1 RD @02
8650.50ns INFO [00008652] Port=1 RD @01
8651.50ns INFO [00008653] * RD COMPARE * port=0 adr=03 act=4F36B3267D93B912D6 exp=4F36B3267D93B912D6
8651.50ns INFO [00008653] * RD COMPARE * port=1 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C
8651.50ns INFO [00008653] Port=0 WR @04=8E555E9B46188FC047
8651.50ns INFO [00008653] Port=0 RD @02
8652.50ns INFO [00008654] * RD COMPARE * port=1 adr=01 act=CAAD34425F41990F40 exp=CAAD34425F41990F40
8652.50ns INFO [00008654] Port=0 WR @04=11836BA760E54EDA93
8653.50ns INFO [00008655] * RD COMPARE * port=0 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C
8653.50ns INFO [00008655] Port=0 WR @03=8DB73703E2E7C9D642
8654.50ns INFO [00008656] Port=0 RD @06
8654.50ns INFO [00008656] Port=1 RD @05
8655.50ns INFO [00008657] Port=1 RD @02
8656.50ns INFO [00008658] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8656.50ns INFO [00008658] * RD COMPARE * port=1 adr=05 act=C9FEB4772A50B913D4 exp=C9FEB4772A50B913D4
8657.50ns INFO [00008659] * RD COMPARE * port=1 adr=02 act=03A5F474CB36759A6C exp=03A5F474CB36759A6C
8657.50ns INFO [00008659] Port=0 RD @07
8658.50ns INFO [00008660] Port=0 RD @06
8659.50ns INFO [00008661] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8659.50ns INFO [00008661] Port=0 WR @02=0F46CD7B1F61E00450
8660.50ns INFO [00008662] * RD COMPARE * port=0 adr=06 act=9F19734A9A089BF09F exp=9F19734A9A089BF09F
8661.50ns INFO [00008663] Port=0 RD @03
8661.50ns INFO [00008663] Port=1 RD @04
8662.50ns INFO [00008664] Port=0 WR @06=61CF868BA8844304F2
8663.50ns INFO [00008665] * RD COMPARE * port=0 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642
8663.50ns INFO [00008665] * RD COMPARE * port=1 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93
8663.50ns INFO [00008665] Port=0 WR @05=EFBEA203AD82F6F7CD
8664.50ns INFO [00008666] Port=0 RD @07
8665.50ns INFO [00008667] Port=0 RD @01
8665.50ns INFO [00008667] Port=1 RD @05
8666.50ns INFO [00008668] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8667.50ns INFO [00008669] * RD COMPARE * port=0 adr=01 act=CAAD34425F41990F40 exp=CAAD34425F41990F40
8667.50ns INFO [00008669] * RD COMPARE * port=1 adr=05 act=EFBEA203AD82F6F7CD exp=EFBEA203AD82F6F7CD
8667.50ns INFO [00008669] Port=1 RD @03
8668.50ns INFO [00008670] Port=0 WR @01=6FF76035E967DE2CC6
8668.50ns INFO [00008670] Port=0 RD @06
8669.50ns INFO [00008671] * RD COMPARE * port=1 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642
8670.50ns INFO [00008672] * RD COMPARE * port=0 adr=06 act=61CF868BA8844304F2 exp=61CF868BA8844304F2
8670.50ns INFO [00008672] Port=0 WR @01=0F3A7F27696AAC0D89
8671.50ns INFO [00008673] Port=0 WR @06=DA2BD4ACC173B2836C
8671.50ns INFO [00008673] Port=0 RD @04
8671.50ns INFO [00008673] Port=1 RD @05
8672.50ns INFO [00008674] Port=0 RD @07
8673.50ns INFO [00008675] * RD COMPARE * port=0 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93
8673.50ns INFO [00008675] * RD COMPARE * port=1 adr=05 act=EFBEA203AD82F6F7CD exp=EFBEA203AD82F6F7CD
8673.50ns INFO [00008675] Port=0 RD @00
8673.50ns INFO [00008675] Port=1 RD @01
8674.50ns INFO [00008676] * RD COMPARE * port=0 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8674.50ns INFO [00008676] Port=0 RD @01
8674.50ns INFO [00008676] Port=1 RD @03
8675.50ns INFO [00008677] * RD COMPARE * port=0 adr=00 act=5C1FD644025B94E009 exp=5C1FD644025B94E009
8675.50ns INFO [00008677] * RD COMPARE * port=1 adr=01 act=0F3A7F27696AAC0D89 exp=0F3A7F27696AAC0D89
8676.50ns INFO [00008678] * RD COMPARE * port=0 adr=01 act=0F3A7F27696AAC0D89 exp=0F3A7F27696AAC0D89
8676.50ns INFO [00008678] * RD COMPARE * port=1 adr=03 act=8DB73703E2E7C9D642 exp=8DB73703E2E7C9D642
8676.50ns INFO [00008678] Port=0 WR @05=B7745B43E1677EA348
8676.50ns INFO [00008678] Port=0 RD @04
8678.50ns INFO [00008680] * RD COMPARE * port=0 adr=04 act=11836BA760E54EDA93 exp=11836BA760E54EDA93
8679.50ns INFO [00008681] Port=0 WR @01=3FE1880E91C26BB305
8679.50ns INFO [00008681] Port=1 RD @06
8680.50ns INFO [00008682] Port=0 WR @04=197D783AA3EB3AE165
8681.50ns INFO [00008683] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8681.50ns INFO [00008683] Port=0 WR @01=19A6B078584F10FA41
8683.50ns INFO [00008685] Port=0 RD @05
8683.50ns INFO [00008685] Port=1 RD @00
8684.50ns INFO [00008686] Port=1 RD @05
8685.50ns INFO [00008687] * RD COMPARE * port=0 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348
8685.50ns INFO [00008687] * RD COMPARE * port=1 adr=00 act=5C1FD644025B94E009 exp=5C1FD644025B94E009
8685.50ns INFO [00008687] Port=0 WR @03=2A2EEC28B07FA01880
8685.50ns INFO [00008687] Port=1 RD @01
8686.50ns INFO [00008688] * RD COMPARE * port=1 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348
8686.50ns INFO [00008688] Port=0 WR @03=866C821139BB7DFD7D
8686.50ns INFO [00008688] Port=1 RD @06
8687.50ns INFO [00008689] * RD COMPARE * port=1 adr=01 act=19A6B078584F10FA41 exp=19A6B078584F10FA41
8687.50ns INFO [00008689] Port=1 RD @03
8688.50ns INFO [00008690] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8688.50ns INFO [00008690] Port=1 RD @01
8689.50ns INFO [00008691] * RD COMPARE * port=1 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D
8689.50ns INFO [00008691] Port=0 WR @00=C0B31BB8194EDF5060
8690.50ns INFO [00008692] * RD COMPARE * port=1 adr=01 act=19A6B078584F10FA41 exp=19A6B078584F10FA41
8690.50ns INFO [00008692] Port=0 WR @01=026046967947938ED3
8690.50ns INFO [00008692] Port=1 RD @00
8691.50ns INFO [00008693] Port=0 RD @02
8691.50ns INFO [00008693] Port=1 RD @07
8692.50ns INFO [00008694] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8692.50ns INFO [00008694] Port=0 WR @04=575B63257074F24BEC
8692.50ns INFO [00008694] Port=0 RD @02
8693.50ns INFO [00008695] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450
8693.50ns INFO [00008695] * RD COMPARE * port=1 adr=07 act=BE445371BF58D99667 exp=BE445371BF58D99667
8693.50ns INFO [00008695] Port=0 WR @07=6962CB2ACC3AD44384
8693.50ns INFO [00008695] Port=0 RD @02
8694.50ns INFO [00008696] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450
8694.50ns INFO [00008696] Port=0 RD @03
8694.50ns INFO [00008696] Port=1 RD @01
8695.50ns INFO [00008697] * RD COMPARE * port=0 adr=02 act=0F46CD7B1F61E00450 exp=0F46CD7B1F61E00450
8695.50ns INFO [00008697] Port=1 RD @00
8696.50ns INFO [00008698] * RD COMPARE * port=0 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D
8696.50ns INFO [00008698] * RD COMPARE * port=1 adr=01 act=026046967947938ED3 exp=026046967947938ED3
8696.50ns INFO [00008698] Port=0 WR @01=D383E46C5B81948843
8697.50ns INFO [00008699] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8697.50ns INFO [00008699] Port=0 RD @00
8698.00ns INFO [00008700] [00008700] ...tick...
8698.50ns INFO [00008700] Port=0 WR @02=0018AC7AF57C3D9C02
8698.50ns INFO [00008700] Port=0 RD @05
8698.50ns INFO [00008700] Port=1 RD @03
8699.50ns INFO [00008701] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8699.50ns INFO [00008701] Port=0 WR @07=AE487B718EABA0C335
8699.50ns INFO [00008701] Port=0 RD @04
8699.50ns INFO [00008701] Port=1 RD @06
8700.50ns INFO [00008702] * RD COMPARE * port=0 adr=05 act=B7745B43E1677EA348 exp=B7745B43E1677EA348
8700.50ns INFO [00008702] * RD COMPARE * port=1 adr=03 act=866C821139BB7DFD7D exp=866C821139BB7DFD7D
8700.50ns INFO [00008702] Port=0 WR @05=60BB3B2F2A5E6586F1
8700.50ns INFO [00008702] Port=0 RD @06
8701.50ns INFO [00008703] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC
8701.50ns INFO [00008703] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8701.50ns INFO [00008703] Port=0 WR @03=EC2A3762A606CFD79C
8701.50ns INFO [00008703] Port=0 RD @00
8702.50ns INFO [00008704] * RD COMPARE * port=0 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8702.50ns INFO [00008704] Port=1 RD @03
8703.50ns INFO [00008705] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8703.50ns INFO [00008705] Port=0 RD @07
8704.50ns INFO [00008706] * RD COMPARE * port=1 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C
8704.50ns INFO [00008706] Port=0 RD @06
8705.50ns INFO [00008707] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8705.50ns INFO [00008707] Port=0 WR @01=87C9AEDCABDC2F655D
8706.50ns INFO [00008708] * RD COMPARE * port=0 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8706.50ns INFO [00008708] Port=0 RD @03
8707.50ns INFO [00008709] Port=1 RD @02
8708.50ns INFO [00008710] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C
8708.50ns INFO [00008710] Port=1 RD @06
8709.50ns INFO [00008711] * RD COMPARE * port=1 adr=02 act=0018AC7AF57C3D9C02 exp=0018AC7AF57C3D9C02
8709.50ns INFO [00008711] Port=0 RD @02
8710.50ns INFO [00008712] * RD COMPARE * port=1 adr=06 act=DA2BD4ACC173B2836C exp=DA2BD4ACC173B2836C
8710.50ns INFO [00008712] Port=0 RD @04
8711.50ns INFO [00008713] * RD COMPARE * port=0 adr=02 act=0018AC7AF57C3D9C02 exp=0018AC7AF57C3D9C02
8711.50ns INFO [00008713] Port=0 RD @01
8712.50ns INFO [00008714] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC
8712.50ns INFO [00008714] Port=1 RD @07
8713.50ns INFO [00008715] * RD COMPARE * port=0 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D
8714.50ns INFO [00008716] * RD COMPARE * port=1 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8714.50ns INFO [00008716] Port=0 RD @01
8715.50ns INFO [00008717] Port=0 WR @05=8CA5B3ED693CAACBEE
8715.50ns INFO [00008717] Port=0 RD @04
8716.50ns INFO [00008718] * RD COMPARE * port=0 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D
8716.50ns INFO [00008718] Port=0 RD @03
8717.50ns INFO [00008719] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC
8717.50ns INFO [00008719] Port=0 WR @05=D32FD333FE0A622987
8717.50ns INFO [00008719] Port=1 RD @01
8718.50ns INFO [00008720] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C
8718.50ns INFO [00008720] Port=0 RD @03
8719.50ns INFO [00008721] * RD COMPARE * port=1 adr=01 act=87C9AEDCABDC2F655D exp=87C9AEDCABDC2F655D
8720.50ns INFO [00008722] * RD COMPARE * port=0 adr=03 act=EC2A3762A606CFD79C exp=EC2A3762A606CFD79C
8720.50ns INFO [00008722] Port=0 RD @07
8721.50ns INFO [00008723] Port=0 WR @02=065CC484150845364A
8721.50ns INFO [00008723] Port=1 RD @00
8722.50ns INFO [00008724] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8722.50ns INFO [00008724] Port=0 WR @03=BB53E4EE137289BD7D
8723.50ns INFO [00008725] * RD COMPARE * port=1 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8723.50ns INFO [00008725] Port=0 RD @00
8724.50ns INFO [00008726] Port=0 RD @07
8725.50ns INFO [00008727] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8725.50ns INFO [00008727] Port=0 WR @05=A4BEB317E0C33244E1
8726.50ns INFO [00008728] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8726.50ns INFO [00008728] Port=0 WR @01=844CF78A36256115CB
8726.50ns INFO [00008728] Port=1 RD @02
8728.50ns INFO [00008730] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A
8730.50ns INFO [00008732] Port=0 RD @04
8732.50ns INFO [00008734] * RD COMPARE * port=0 adr=04 act=575B63257074F24BEC exp=575B63257074F24BEC
8732.50ns INFO [00008734] Port=0 RD @03
8732.50ns INFO [00008734] Port=1 RD @02
8733.50ns INFO [00008735] Port=0 WR @01=4F4A9E68E22BF17096
8733.50ns INFO [00008735] Port=0 RD @07
8733.50ns INFO [00008735] Port=1 RD @03
8734.50ns INFO [00008736] * RD COMPARE * port=0 adr=03 act=BB53E4EE137289BD7D exp=BB53E4EE137289BD7D
8734.50ns INFO [00008736] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A
8734.50ns INFO [00008736] Port=0 WR @03=E2DCF7EE9F319A601A
8734.50ns INFO [00008736] Port=1 RD @05
8735.50ns INFO [00008737] * RD COMPARE * port=0 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8735.50ns INFO [00008737] * RD COMPARE * port=1 adr=03 act=BB53E4EE137289BD7D exp=BB53E4EE137289BD7D
8736.50ns INFO [00008738] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1
8736.50ns INFO [00008738] Port=0 WR @06=AF0E77D07177C6CCD9
8736.50ns INFO [00008738] Port=0 RD @03
8737.50ns INFO [00008739] Port=0 RD @03
8738.50ns INFO [00008740] * RD COMPARE * port=0 adr=03 act=E2DCF7EE9F319A601A exp=E2DCF7EE9F319A601A
8739.50ns INFO [00008741] * RD COMPARE * port=0 adr=03 act=E2DCF7EE9F319A601A exp=E2DCF7EE9F319A601A
8739.50ns INFO [00008741] Port=0 RD @02
8740.50ns INFO [00008742] Port=0 WR @03=CB8040B41487433AC6
8740.50ns INFO [00008742] Port=0 RD @00
8741.50ns INFO [00008743] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A
8741.50ns INFO [00008743] Port=1 RD @05
8742.50ns INFO [00008744] * RD COMPARE * port=0 adr=00 act=C0B31BB8194EDF5060 exp=C0B31BB8194EDF5060
8742.50ns INFO [00008744] Port=1 RD @07
8743.50ns INFO [00008745] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1
8743.50ns INFO [00008745] Port=1 RD @01
8744.50ns INFO [00008746] * RD COMPARE * port=1 adr=07 act=AE487B718EABA0C335 exp=AE487B718EABA0C335
8744.50ns INFO [00008746] Port=0 WR @07=7ED6CD6CB26A694A7B
8744.50ns INFO [00008746] Port=1 RD @01
8745.50ns INFO [00008747] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096
8745.50ns INFO [00008747] Port=0 WR @04=4E4565EA3A2EC9F0A0
8745.50ns INFO [00008747] Port=0 RD @02
8745.50ns INFO [00008747] Port=1 RD @06
8746.50ns INFO [00008748] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096
8746.50ns INFO [00008748] Port=0 WR @00=51919BD3A10D463156
8747.50ns INFO [00008749] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A
8747.50ns INFO [00008749] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8747.50ns INFO [00008749] Port=0 RD @03
8747.50ns INFO [00008749] Port=1 RD @01
8748.50ns INFO [00008750] Port=1 RD @05
8749.50ns INFO [00008751] * RD COMPARE * port=0 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6
8749.50ns INFO [00008751] * RD COMPARE * port=1 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096
8749.50ns INFO [00008751] Port=0 WR @05=2AEC73196A757C9DF0
8750.50ns INFO [00008752] * RD COMPARE * port=1 adr=05 act=A4BEB317E0C33244E1 exp=A4BEB317E0C33244E1
8750.50ns INFO [00008752] Port=0 WR @05=9B17A3228E48BF2472
8751.50ns INFO [00008753] Port=0 RD @06
8752.50ns INFO [00008754] Port=0 RD @05
8753.50ns INFO [00008755] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8753.50ns INFO [00008755] Port=0 WR @05=AA6C01A10DE94CF04D
8754.50ns INFO [00008756] * RD COMPARE * port=0 adr=05 act=9B17A3228E48BF2472 exp=9B17A3228E48BF2472
8754.50ns INFO [00008756] Port=0 WR @05=728CD1AA106C1F9E31
8754.50ns INFO [00008756] Port=0 RD @01
8755.50ns INFO [00008757] Port=1 RD @02
8756.50ns INFO [00008758] * RD COMPARE * port=0 adr=01 act=4F4A9E68E22BF17096 exp=4F4A9E68E22BF17096
8757.50ns INFO [00008759] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A
8757.50ns INFO [00008759] Port=0 RD @02
8758.50ns INFO [00008760] Port=0 RD @00
8758.50ns INFO [00008760] Port=1 RD @03
8759.50ns INFO [00008761] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A
8760.50ns INFO [00008762] * RD COMPARE * port=0 adr=00 act=51919BD3A10D463156 exp=51919BD3A10D463156
8760.50ns INFO [00008762] * RD COMPARE * port=1 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6
8760.50ns INFO [00008762] Port=0 WR @00=62898CBAFA7480ECE0
8760.50ns INFO [00008762] Port=1 RD @03
8761.50ns INFO [00008763] Port=0 WR @04=A34A73DEED44459ED2
8761.50ns INFO [00008763] Port=0 RD @07
8762.50ns INFO [00008764] * RD COMPARE * port=1 adr=03 act=CB8040B41487433AC6 exp=CB8040B41487433AC6
8762.50ns INFO [00008764] Port=0 WR @03=F2618F849312DCDF32
8763.50ns INFO [00008765] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8763.50ns INFO [00008765] Port=0 WR @01=C1D1FF945CD02E0F36
8763.50ns INFO [00008765] Port=0 RD @02
8764.50ns INFO [00008766] Port=0 WR @01=9FE61BB13086A29E41
8764.50ns INFO [00008766] Port=0 RD @06
8765.50ns INFO [00008767] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A
8765.50ns INFO [00008767] Port=0 WR @04=E53E581D1CE099DF78
8765.50ns INFO [00008767] Port=0 RD @03
8766.50ns INFO [00008768] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8766.50ns INFO [00008768] Port=1 RD @01
8767.50ns INFO [00008769] * RD COMPARE * port=0 adr=03 act=F2618F849312DCDF32 exp=F2618F849312DCDF32
8767.50ns INFO [00008769] Port=1 RD @01
8768.50ns INFO [00008770] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8768.50ns INFO [00008770] Port=1 RD @04
8769.50ns INFO [00008771] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8769.50ns INFO [00008771] Port=0 WR @03=E00A2C618D09EC86F5
8769.50ns INFO [00008771] Port=0 RD @02
8770.50ns INFO [00008772] * RD COMPARE * port=1 adr=04 act=E53E581D1CE099DF78 exp=E53E581D1CE099DF78
8770.50ns INFO [00008772] Port=0 RD @07
8771.50ns INFO [00008773] * RD COMPARE * port=0 adr=02 act=065CC484150845364A exp=065CC484150845364A
8771.50ns INFO [00008773] Port=0 RD @00
8772.50ns INFO [00008774] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8772.50ns INFO [00008774] Port=0 WR @05=A2071C29DA2393284C
8772.50ns INFO [00008774] Port=1 RD @06
8773.50ns INFO [00008775] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0
8773.50ns INFO [00008775] Port=0 RD @01
8774.50ns INFO [00008776] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8774.50ns INFO [00008776] Port=0 RD @00
8775.50ns INFO [00008777] * RD COMPARE * port=0 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8775.50ns INFO [00008777] Port=0 RD @04
8776.50ns INFO [00008778] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0
8776.50ns INFO [00008778] Port=0 WR @05=A11DBBCB1437874405
8776.50ns INFO [00008778] Port=0 RD @06
8776.50ns INFO [00008778] Port=1 RD @02
8777.50ns INFO [00008779] * RD COMPARE * port=0 adr=04 act=E53E581D1CE099DF78 exp=E53E581D1CE099DF78
8777.50ns INFO [00008779] Port=0 WR @02=FFC0120BD362D2F54D
8777.50ns INFO [00008779] Port=0 RD @01
8778.50ns INFO [00008780] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8778.50ns INFO [00008780] * RD COMPARE * port=1 adr=02 act=065CC484150845364A exp=065CC484150845364A
8778.50ns INFO [00008780] Port=1 RD @01
8779.50ns INFO [00008781] * RD COMPARE * port=0 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8780.50ns INFO [00008782] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8781.50ns INFO [00008783] Port=0 WR @04=D4DF9A266006343661
8781.50ns INFO [00008783] Port=0 RD @00
8781.50ns INFO [00008783] Port=1 RD @01
8782.50ns INFO [00008784] Port=1 RD @05
8783.50ns INFO [00008785] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0
8783.50ns INFO [00008785] * RD COMPARE * port=1 adr=01 act=9FE61BB13086A29E41 exp=9FE61BB13086A29E41
8783.50ns INFO [00008785] Port=0 WR @01=D99B64830651CC6B1C
8784.50ns INFO [00008786] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405
8784.50ns INFO [00008786] Port=0 RD @01
8786.50ns INFO [00008788] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8786.50ns INFO [00008788] Port=1 RD @03
8788.50ns INFO [00008790] * RD COMPARE * port=1 adr=03 act=E00A2C618D09EC86F5 exp=E00A2C618D09EC86F5
8788.50ns INFO [00008790] Port=0 WR @03=24E59C927E0D505C36
8789.50ns INFO [00008791] Port=0 WR @02=32A47450BC69747C7B
8789.50ns INFO [00008791] Port=0 RD @01
8789.50ns INFO [00008791] Port=1 RD @04
8790.50ns INFO [00008792] Port=0 RD @07
8791.50ns INFO [00008793] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8791.50ns INFO [00008793] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661
8791.50ns INFO [00008793] Port=0 WR @03=1182EC5181AB3D2413
8791.50ns INFO [00008793] Port=0 RD @01
8791.50ns INFO [00008793] Port=1 RD @05
8792.50ns INFO [00008794] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8793.50ns INFO [00008795] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8793.50ns INFO [00008795] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405
8793.50ns INFO [00008795] Port=0 RD @04
8793.50ns INFO [00008795] Port=1 RD @05
8794.50ns INFO [00008796] Port=0 WR @02=B7BDB97E633223B353
8794.50ns INFO [00008796] Port=1 RD @04
8795.50ns INFO [00008797] * RD COMPARE * port=0 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661
8795.50ns INFO [00008797] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405
8795.50ns INFO [00008797] Port=0 WR @03=1B8267B0A08959DDCA
8795.50ns INFO [00008797] Port=0 RD @04
8795.50ns INFO [00008797] Port=1 RD @04
8796.50ns INFO [00008798] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661
8796.50ns INFO [00008798] Port=0 RD @00
8797.50ns INFO [00008799] * RD COMPARE * port=0 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661
8797.50ns INFO [00008799] * RD COMPARE * port=1 adr=04 act=D4DF9A266006343661 exp=D4DF9A266006343661
8797.50ns INFO [00008799] Port=0 RD @06
8798.00ns INFO [00008800] [00008800] ...tick...
8798.50ns INFO [00008800] * RD COMPARE * port=0 adr=00 act=62898CBAFA7480ECE0 exp=62898CBAFA7480ECE0
8798.50ns INFO [00008800] Port=1 RD @07
8799.50ns INFO [00008801] * RD COMPARE * port=0 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8799.50ns INFO [00008801] Port=0 RD @05
8800.50ns INFO [00008802] * RD COMPARE * port=1 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8800.50ns INFO [00008802] Port=0 WR @00=C3E02CE01739FE9887
8801.50ns INFO [00008803] * RD COMPARE * port=0 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405
8802.50ns INFO [00008804] Port=0 WR @02=D2F4D5EC2B83BFA261
8802.50ns INFO [00008804] Port=0 RD @07
8804.50ns INFO [00008806] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8804.50ns INFO [00008806] Port=0 WR @04=935490A3940BB4A57D
8804.50ns INFO [00008806] Port=1 RD @06
8806.50ns INFO [00008808] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8806.50ns INFO [00008808] Port=0 RD @01
8807.50ns INFO [00008809] Port=0 RD @07
8808.50ns INFO [00008810] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8808.50ns INFO [00008810] Port=1 RD @05
8809.50ns INFO [00008811] * RD COMPARE * port=0 adr=07 act=7ED6CD6CB26A694A7B exp=7ED6CD6CB26A694A7B
8809.50ns INFO [00008811] Port=0 WR @04=3197B46FC539261C03
8809.50ns INFO [00008811] Port=0 RD @01
8810.50ns INFO [00008812] * RD COMPARE * port=1 adr=05 act=A11DBBCB1437874405 exp=A11DBBCB1437874405
8810.50ns INFO [00008812] Port=0 WR @02=46ED2483B1254E2A44
8811.50ns INFO [00008813] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8811.50ns INFO [00008813] Port=0 RD @01
8811.50ns INFO [00008813] Port=1 RD @01
8812.50ns INFO [00008814] Port=1 RD @06
8813.50ns INFO [00008815] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8813.50ns INFO [00008815] * RD COMPARE * port=1 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8813.50ns INFO [00008815] Port=0 WR @07=B14673FD68AE0B6D45
8814.50ns INFO [00008816] * RD COMPARE * port=1 adr=06 act=AF0E77D07177C6CCD9 exp=AF0E77D07177C6CCD9
8814.50ns INFO [00008816] Port=0 RD @07
8815.50ns INFO [00008817] Port=0 WR @00=FA212BF68CB9F01753
8816.50ns INFO [00008818] * RD COMPARE * port=0 adr=07 act=B14673FD68AE0B6D45 exp=B14673FD68AE0B6D45
8816.50ns INFO [00008818] Port=1 RD @02
8817.50ns INFO [00008819] Port=0 WR @05=F75FED768EDC1AF595
8818.50ns INFO [00008820] * RD COMPARE * port=1 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44
8818.50ns INFO [00008820] Port=0 RD @04
8818.50ns INFO [00008820] Port=1 RD @00
8819.50ns INFO [00008821] Port=0 WR @03=03F9F135D79F966E1C
8820.50ns INFO [00008822] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8820.50ns INFO [00008822] * RD COMPARE * port=1 adr=00 act=FA212BF68CB9F01753 exp=FA212BF68CB9F01753
8820.50ns INFO [00008822] Port=0 RD @04
8821.50ns INFO [00008823] Port=0 RD @05
8822.50ns INFO [00008824] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8823.50ns INFO [00008825] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595
8823.50ns INFO [00008825] Port=1 RD @07
8824.50ns INFO [00008826] Port=1 RD @02
8825.50ns INFO [00008827] * RD COMPARE * port=1 adr=07 act=B14673FD68AE0B6D45 exp=B14673FD68AE0B6D45
8826.50ns INFO [00008828] * RD COMPARE * port=1 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44
8826.50ns INFO [00008828] Port=0 WR @06=F0C8A68590D49F2A01
8826.50ns INFO [00008828] Port=0 RD @05
8826.50ns INFO [00008828] Port=1 RD @03
8827.50ns INFO [00008829] Port=0 RD @02
8827.50ns INFO [00008829] Port=1 RD @06
8828.50ns INFO [00008830] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595
8828.50ns INFO [00008830] * RD COMPARE * port=1 adr=03 act=03F9F135D79F966E1C exp=03F9F135D79F966E1C
8828.50ns INFO [00008830] Port=0 RD @01
8828.50ns INFO [00008830] Port=1 RD @06
8829.50ns INFO [00008831] * RD COMPARE * port=0 adr=02 act=46ED2483B1254E2A44 exp=46ED2483B1254E2A44
8829.50ns INFO [00008831] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8829.50ns INFO [00008831] Port=0 RD @04
8830.50ns INFO [00008832] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8830.50ns INFO [00008832] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8831.50ns INFO [00008833] * RD COMPARE * port=0 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8831.50ns INFO [00008833] Port=1 RD @04
8832.50ns INFO [00008834] Port=0 WR @02=31720A69322FC4F30F
8832.50ns INFO [00008834] Port=1 RD @04
8833.50ns INFO [00008835] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8834.50ns INFO [00008836] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8834.50ns INFO [00008836] Port=0 WR @03=FD7DFA33067EB2D528
8835.50ns INFO [00008837] Port=0 RD @06
8836.50ns INFO [00008838] Port=0 RD @03
8837.50ns INFO [00008839] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8837.50ns INFO [00008839] Port=0 WR @00=66AEFC07794B23D794
8837.50ns INFO [00008839] Port=0 RD @02
8838.50ns INFO [00008840] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8838.50ns INFO [00008840] Port=0 RD @05
8839.50ns INFO [00008841] * RD COMPARE * port=0 adr=02 act=31720A69322FC4F30F exp=31720A69322FC4F30F
8839.50ns INFO [00008841] Port=0 WR @07=98AE1F2DF2A1A16C6A
8840.50ns INFO [00008842] * RD COMPARE * port=0 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595
8840.50ns INFO [00008842] Port=0 WR @07=5C117776E510CE5113
8840.50ns INFO [00008842] Port=0 RD @06
8840.50ns INFO [00008842] Port=1 RD @03
8841.50ns INFO [00008843] Port=1 RD @06
8842.50ns INFO [00008844] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8842.50ns INFO [00008844] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8842.50ns INFO [00008844] Port=1 RD @00
8843.50ns INFO [00008845] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8843.50ns INFO [00008845] Port=0 WR @02=B6AAD6906D7BD2BD8F
8844.50ns INFO [00008846] * RD COMPARE * port=1 adr=00 act=66AEFC07794B23D794 exp=66AEFC07794B23D794
8844.50ns INFO [00008846] Port=0 WR @00=394EDE9ABB647D6499
8844.50ns INFO [00008846] Port=0 RD @06
8844.50ns INFO [00008846] Port=1 RD @04
8845.50ns INFO [00008847] Port=1 RD @03
8846.50ns INFO [00008848] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8846.50ns INFO [00008848] * RD COMPARE * port=1 adr=04 act=3197B46FC539261C03 exp=3197B46FC539261C03
8847.50ns INFO [00008849] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8847.50ns INFO [00008849] Port=0 RD @06
8847.50ns INFO [00008849] Port=1 RD @03
8848.50ns INFO [00008850] Port=1 RD @06
8849.50ns INFO [00008851] * RD COMPARE * port=0 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8849.50ns INFO [00008851] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8849.50ns INFO [00008851] Port=0 WR @04=AB427466878278E4A2
8849.50ns INFO [00008851] Port=0 RD @01
8850.50ns INFO [00008852] * RD COMPARE * port=1 adr=06 act=F0C8A68590D49F2A01 exp=F0C8A68590D49F2A01
8850.50ns INFO [00008852] Port=1 RD @07
8851.50ns INFO [00008853] * RD COMPARE * port=0 adr=01 act=D99B64830651CC6B1C exp=D99B64830651CC6B1C
8851.50ns INFO [00008853] Port=1 RD @03
8852.50ns INFO [00008854] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113
8852.50ns INFO [00008854] Port=0 WR @02=531DDAEFBE7F401EE0
8853.50ns INFO [00008855] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8854.50ns INFO [00008856] Port=1 RD @03
8855.50ns INFO [00008857] Port=0 RD @02
8856.50ns INFO [00008858] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8856.50ns INFO [00008858] Port=0 WR @01=6FB3AC517881FE0D71
8856.50ns INFO [00008858] Port=1 RD @05
8857.50ns INFO [00008859] * RD COMPARE * port=0 adr=02 act=531DDAEFBE7F401EE0 exp=531DDAEFBE7F401EE0
8857.50ns INFO [00008859] Port=0 WR @02=A19408C9043DE0601E
8857.50ns INFO [00008859] Port=1 RD @03
8858.50ns INFO [00008860] * RD COMPARE * port=1 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595
8858.50ns INFO [00008860] Port=0 RD @00
8858.50ns INFO [00008860] Port=1 RD @05
8859.50ns INFO [00008861] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8859.50ns INFO [00008861] Port=0 WR @06=FF077DD7753A766672
8859.50ns INFO [00008861] Port=1 RD @04
8860.50ns INFO [00008862] * RD COMPARE * port=0 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499
8860.50ns INFO [00008862] * RD COMPARE * port=1 adr=05 act=F75FED768EDC1AF595 exp=F75FED768EDC1AF595
8860.50ns INFO [00008862] Port=0 RD @07
8860.50ns INFO [00008862] Port=1 RD @00
8861.50ns INFO [00008863] * RD COMPARE * port=1 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2
8862.50ns INFO [00008864] * RD COMPARE * port=0 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113
8862.50ns INFO [00008864] * RD COMPARE * port=1 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499
8862.50ns INFO [00008864] Port=0 WR @06=7469BE3A299FB988A3
8863.50ns INFO [00008865] Port=0 WR @02=4DCA10E320F6D7C618
8863.50ns INFO [00008865] Port=0 RD @00
8864.50ns INFO [00008866] Port=0 WR @02=4B987371E908817D8B
8864.50ns INFO [00008866] Port=0 RD @01
8864.50ns INFO [00008866] Port=1 RD @04
8865.50ns INFO [00008867] * RD COMPARE * port=0 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499
8866.50ns INFO [00008868] * RD COMPARE * port=0 adr=01 act=6FB3AC517881FE0D71 exp=6FB3AC517881FE0D71
8866.50ns INFO [00008868] * RD COMPARE * port=1 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2
8866.50ns INFO [00008868] Port=0 RD @02
8867.50ns INFO [00008869] Port=1 RD @03
8868.50ns INFO [00008870] * RD COMPARE * port=0 adr=02 act=4B987371E908817D8B exp=4B987371E908817D8B
8868.50ns INFO [00008870] Port=0 WR @02=B73DBC36B4DC85CE7F
8869.50ns INFO [00008871] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8870.50ns INFO [00008872] Port=0 RD @01
8870.50ns INFO [00008872] Port=1 RD @00
8871.50ns INFO [00008873] Port=0 RD @06
8872.50ns INFO [00008874] * RD COMPARE * port=0 adr=01 act=6FB3AC517881FE0D71 exp=6FB3AC517881FE0D71
8872.50ns INFO [00008874] * RD COMPARE * port=1 adr=00 act=394EDE9ABB647D6499 exp=394EDE9ABB647D6499
8872.50ns INFO [00008874] Port=0 WR @00=8471F045325A749AAA
8873.50ns INFO [00008875] * RD COMPARE * port=0 adr=06 act=7469BE3A299FB988A3 exp=7469BE3A299FB988A3
8874.50ns INFO [00008876] Port=0 RD @03
8874.50ns INFO [00008876] Port=1 RD @02
8875.50ns INFO [00008877] Port=0 WR @05=5628ABE9DF389A9A9B
8875.50ns INFO [00008877] Port=1 RD @07
8876.50ns INFO [00008878] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8876.50ns INFO [00008878] * RD COMPARE * port=1 adr=02 act=B73DBC36B4DC85CE7F exp=B73DBC36B4DC85CE7F
8876.50ns INFO [00008878] Port=0 WR @00=2358F72CDE46C42469
8877.50ns INFO [00008879] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113
8877.50ns INFO [00008879] Port=0 WR @00=F2EDDCA3EC6C06B209
8877.50ns INFO [00008879] Port=1 RD @05
8878.50ns INFO [00008880] Port=0 WR @01=2953CA05DE7E3E6804
8879.50ns INFO [00008881] * RD COMPARE * port=1 adr=05 act=5628ABE9DF389A9A9B exp=5628ABE9DF389A9A9B
8879.50ns INFO [00008881] Port=1 RD @03
8880.50ns INFO [00008882] Port=0 WR @02=83A113CC67D195C44D
8880.50ns INFO [00008882] Port=1 RD @03
8881.50ns INFO [00008883] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8882.50ns INFO [00008884] * RD COMPARE * port=1 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8882.50ns INFO [00008884] Port=0 WR @02=0766F0506E7EA12789
8883.50ns INFO [00008885] Port=0 RD @03
8884.50ns INFO [00008886] Port=1 RD @07
8885.50ns INFO [00008887] * RD COMPARE * port=0 adr=03 act=FD7DFA33067EB2D528 exp=FD7DFA33067EB2D528
8885.50ns INFO [00008887] Port=0 WR @03=D3B0E801D8CBDEBA88
8886.50ns INFO [00008888] * RD COMPARE * port=1 adr=07 act=5C117776E510CE5113 exp=5C117776E510CE5113
8887.50ns INFO [00008889] Port=0 WR @06=CAA77AFC9BB813AEBC
8887.50ns INFO [00008889] Port=0 RD @02
8888.50ns INFO [00008890] Port=0 WR @01=8F21780C4100DB2813
8888.50ns INFO [00008890] Port=0 RD @02
8889.50ns INFO [00008891] * RD COMPARE * port=0 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789
8890.50ns INFO [00008892] * RD COMPARE * port=0 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789
8890.50ns INFO [00008892] Port=0 WR @03=3253CC069314447CF9
8891.50ns INFO [00008893] Port=0 WR @00=44E1C22D00906514C9
8892.50ns INFO [00008894] Port=0 WR @00=25C9E210ED1EC170AB
8892.50ns INFO [00008894] Port=0 RD @06
8893.50ns INFO [00008895] Port=0 WR @03=EC1A222FA8B0264D76
8894.50ns INFO [00008896] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC
8894.50ns INFO [00008896] Port=0 WR @05=50993CC257FBC8876E
8894.50ns INFO [00008896] Port=0 RD @04
8894.50ns INFO [00008896] Port=1 RD @01
8895.50ns INFO [00008897] Port=0 RD @06
8896.50ns INFO [00008898] * RD COMPARE * port=0 adr=04 act=AB427466878278E4A2 exp=AB427466878278E4A2
8896.50ns INFO [00008898] * RD COMPARE * port=1 adr=01 act=8F21780C4100DB2813 exp=8F21780C4100DB2813
8897.50ns INFO [00008899] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC
8897.50ns INFO [00008899] Port=1 RD @03
8898.00ns INFO [00008900] [00008900] ...tick...
8898.50ns INFO [00008900] Port=0 WR @05=8FA97E1CD2BC9E09FC
8898.50ns INFO [00008900] Port=1 RD @06
8899.50ns INFO [00008901] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8899.50ns INFO [00008901] Port=0 WR @04=53040CF3840F4DF2D4
8899.50ns INFO [00008901] Port=1 RD @02
8900.50ns INFO [00008902] * RD COMPARE * port=1 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC
8900.50ns INFO [00008902] Port=1 RD @00
8901.50ns INFO [00008903] * RD COMPARE * port=1 adr=02 act=0766F0506E7EA12789 exp=0766F0506E7EA12789
8902.50ns INFO [00008904] * RD COMPARE * port=1 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB
8902.50ns INFO [00008904] Port=0 WR @07=96A3FA6A64558B3F9D
8904.50ns INFO [00008906] Port=0 RD @05
8904.50ns INFO [00008906] Port=1 RD @07
8905.50ns INFO [00008907] Port=0 RD @06
8906.50ns INFO [00008908] * RD COMPARE * port=0 adr=05 act=8FA97E1CD2BC9E09FC exp=8FA97E1CD2BC9E09FC
8906.50ns INFO [00008908] * RD COMPARE * port=1 adr=07 act=96A3FA6A64558B3F9D exp=96A3FA6A64558B3F9D
8907.50ns INFO [00008909] * RD COMPARE * port=0 adr=06 act=CAA77AFC9BB813AEBC exp=CAA77AFC9BB813AEBC
8907.50ns INFO [00008909] Port=0 WR @02=7D77E59373F2A2D1BE
8909.50ns INFO [00008911] Port=0 RD @00
8910.50ns INFO [00008912] Port=0 WR @05=710CE568D31DD3C56B
8910.50ns INFO [00008912] Port=0 RD @00
8911.50ns INFO [00008913] * RD COMPARE * port=0 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB
8912.50ns INFO [00008914] * RD COMPARE * port=0 adr=00 act=25C9E210ED1EC170AB exp=25C9E210ED1EC170AB
8912.50ns INFO [00008914] Port=0 RD @04
8913.50ns INFO [00008915] Port=0 WR @06=808C39A064CBBB7CFD
8913.50ns INFO [00008915] Port=1 RD @02
8914.50ns INFO [00008916] * RD COMPARE * port=0 adr=04 act=53040CF3840F4DF2D4 exp=53040CF3840F4DF2D4
8914.50ns INFO [00008916] Port=0 RD @04
8914.50ns INFO [00008916] Port=1 RD @03
8915.50ns INFO [00008917] * RD COMPARE * port=1 adr=02 act=7D77E59373F2A2D1BE exp=7D77E59373F2A2D1BE
8915.50ns INFO [00008917] Port=0 WR @04=7C35A98C7B8718C660
8916.50ns INFO [00008918] * RD COMPARE * port=0 adr=04 act=53040CF3840F4DF2D4 exp=53040CF3840F4DF2D4
8916.50ns INFO [00008918] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8916.50ns INFO [00008918] Port=0 WR @00=228A7D73357AB69010
8918.50ns INFO [00008920] Port=0 WR @01=D07CBDA7BD9EA02DDA
8919.50ns INFO [00008921] Port=0 WR @00=D2D6DE158C163C3A01
8919.50ns INFO [00008921] Port=0 RD @05
8920.50ns INFO [00008922] Port=0 WR @06=4F8314DE6D40007AFD
8920.50ns INFO [00008922] Port=0 RD @00
8921.50ns INFO [00008923] * RD COMPARE * port=0 adr=05 act=710CE568D31DD3C56B exp=710CE568D31DD3C56B
8921.50ns INFO [00008923] Port=0 RD @01
8921.50ns INFO [00008923] Port=1 RD @06
8922.50ns INFO [00008924] * RD COMPARE * port=0 adr=00 act=D2D6DE158C163C3A01 exp=D2D6DE158C163C3A01
8923.50ns INFO [00008925] * RD COMPARE * port=0 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA
8923.50ns INFO [00008925] * RD COMPARE * port=1 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD
8923.50ns INFO [00008925] Port=0 RD @06
8923.50ns INFO [00008925] Port=1 RD @07
8924.50ns INFO [00008926] Port=0 RD @06
8924.50ns INFO [00008926] Port=1 RD @06
8925.50ns INFO [00008927] * RD COMPARE * port=0 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD
8925.50ns INFO [00008927] * RD COMPARE * port=1 adr=07 act=96A3FA6A64558B3F9D exp=96A3FA6A64558B3F9D
8925.50ns INFO [00008927] Port=0 WR @06=6A18F3AB587FD153AD
8925.50ns INFO [00008927] Port=1 RD @01
8926.50ns INFO [00008928] * RD COMPARE * port=0 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD
8926.50ns INFO [00008928] * RD COMPARE * port=1 adr=06 act=4F8314DE6D40007AFD exp=4F8314DE6D40007AFD
8927.50ns INFO [00008929] * RD COMPARE * port=1 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA
8927.50ns INFO [00008929] Port=1 RD @00
8929.50ns INFO [00008931] * RD COMPARE * port=1 adr=00 act=D2D6DE158C163C3A01 exp=D2D6DE158C163C3A01
8929.50ns INFO [00008931] Port=0 WR @06=D6A57D5338827C9936
8929.50ns INFO [00008931] Port=0 RD @04
8929.50ns INFO [00008931] Port=1 RD @03
8930.50ns INFO [00008932] Port=0 WR @07=5E3CDBA45BFC9F914E
8931.50ns INFO [00008933] * RD COMPARE * port=0 adr=04 act=7C35A98C7B8718C660 exp=7C35A98C7B8718C660
8931.50ns INFO [00008933] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8931.50ns INFO [00008933] Port=0 WR @02=80B589FA4D0CB59395
8932.50ns INFO [00008934] Port=0 WR @06=BB3D90D4930DB693F2
8933.50ns INFO [00008935] Port=0 WR @00=36A251D8EC17B79904
8933.50ns INFO [00008935] Port=1 RD @03
8935.50ns INFO [00008937] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8936.50ns INFO [00008938] Port=1 RD @05
8937.50ns INFO [00008939] Port=1 RD @01
8938.50ns INFO [00008940] * RD COMPARE * port=1 adr=05 act=710CE568D31DD3C56B exp=710CE568D31DD3C56B
8938.50ns INFO [00008940] Port=0 WR @07=81823E6E5877A51946
8938.50ns INFO [00008940] Port=0 RD @01
8939.50ns INFO [00008941] * RD COMPARE * port=1 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA
8939.50ns INFO [00008941] Port=0 WR @07=AD82B2CA78DC50B0EB
8939.50ns INFO [00008941] Port=1 RD @00
8940.50ns INFO [00008942] * RD COMPARE * port=0 adr=01 act=D07CBDA7BD9EA02DDA exp=D07CBDA7BD9EA02DDA
8940.50ns INFO [00008942] Port=0 WR @01=CDE497DEC97B684A4A
8940.50ns INFO [00008942] Port=0 RD @03
8940.50ns INFO [00008942] Port=1 RD @06
8941.50ns INFO [00008943] * RD COMPARE * port=1 adr=00 act=36A251D8EC17B79904 exp=36A251D8EC17B79904
8941.50ns INFO [00008943] Port=0 RD @03
8941.50ns INFO [00008943] Port=1 RD @06
8942.50ns INFO [00008944] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8942.50ns INFO [00008944] * RD COMPARE * port=1 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2
8942.50ns INFO [00008944] Port=0 WR @04=634F6AE0C49DF1698D
8942.50ns INFO [00008944] Port=1 RD @02
8943.50ns INFO [00008945] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8943.50ns INFO [00008945] * RD COMPARE * port=1 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2
8943.50ns INFO [00008945] Port=0 WR @02=0452FC02A4590A54F3
8943.50ns INFO [00008945] Port=1 RD @04
8944.50ns INFO [00008946] * RD COMPARE * port=1 adr=02 act=80B589FA4D0CB59395 exp=80B589FA4D0CB59395
8945.50ns INFO [00008947] * RD COMPARE * port=1 adr=04 act=634F6AE0C49DF1698D exp=634F6AE0C49DF1698D
8945.50ns INFO [00008947] Port=0 RD @07
8945.50ns INFO [00008947] Port=1 RD @02
8946.50ns INFO [00008948] Port=0 WR @07=68348C682535334C12
8946.50ns INFO [00008948] Port=0 RD @06
8946.50ns INFO [00008948] Port=1 RD @03
8947.50ns INFO [00008949] * RD COMPARE * port=0 adr=07 act=AD82B2CA78DC50B0EB exp=AD82B2CA78DC50B0EB
8947.50ns INFO [00008949] * RD COMPARE * port=1 adr=02 act=0452FC02A4590A54F3 exp=0452FC02A4590A54F3
8947.50ns INFO [00008949] Port=0 WR @02=88943B07342D3DEA72
8947.50ns INFO [00008949] Port=1 RD @03
8948.50ns INFO [00008950] * RD COMPARE * port=0 adr=06 act=BB3D90D4930DB693F2 exp=BB3D90D4930DB693F2
8948.50ns INFO [00008950] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8948.50ns INFO [00008950] Port=1 RD @00
8949.50ns INFO [00008951] * RD COMPARE * port=1 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8950.50ns INFO [00008952] * RD COMPARE * port=1 adr=00 act=36A251D8EC17B79904 exp=36A251D8EC17B79904
8950.50ns INFO [00008952] Port=0 RD @01
8951.50ns INFO [00008953] Port=0 WR @06=BC658E5EFE133AEEEB
8951.50ns INFO [00008953] Port=0 RD @01
8952.50ns INFO [00008954] * RD COMPARE * port=0 adr=01 act=CDE497DEC97B684A4A exp=CDE497DEC97B684A4A
8953.50ns INFO [00008955] * RD COMPARE * port=0 adr=01 act=CDE497DEC97B684A4A exp=CDE497DEC97B684A4A
8953.50ns INFO [00008955] Port=0 WR @04=CC1F70D2B7B700FAD3
8953.50ns INFO [00008955] Port=0 RD @06
8954.50ns INFO [00008956] Port=0 WR @00=3EF8073E54FE4722C8
8955.50ns INFO [00008957] * RD COMPARE * port=0 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB
8955.50ns INFO [00008957] Port=0 WR @01=52A2D7BA1203C364FB
8956.50ns INFO [00008958] Port=1 RD @06
8957.50ns INFO [00008959] Port=0 RD @00
8958.50ns INFO [00008960] * RD COMPARE * port=1 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB
8958.50ns INFO [00008960] Port=0 RD @03
8959.50ns INFO [00008961] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8960.50ns INFO [00008962] * RD COMPARE * port=0 adr=03 act=EC1A222FA8B0264D76 exp=EC1A222FA8B0264D76
8960.50ns INFO [00008962] Port=1 RD @06
8961.50ns INFO [00008963] Port=0 WR @06=A4B3106F79165FF784
8961.50ns INFO [00008963] Port=1 RD @02
8962.50ns INFO [00008964] * RD COMPARE * port=1 adr=06 act=BC658E5EFE133AEEEB exp=BC658E5EFE133AEEEB
8962.50ns INFO [00008964] Port=0 RD @07
8963.50ns INFO [00008965] * RD COMPARE * port=1 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72
8963.50ns INFO [00008965] Port=1 RD @02
8964.50ns INFO [00008966] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12
8965.50ns INFO [00008967] * RD COMPARE * port=1 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72
8965.50ns INFO [00008967] Port=0 RD @07
8965.50ns INFO [00008967] Port=1 RD @04
8967.50ns INFO [00008969] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12
8967.50ns INFO [00008969] * RD COMPARE * port=1 adr=04 act=CC1F70D2B7B700FAD3 exp=CC1F70D2B7B700FAD3
8967.50ns INFO [00008969] Port=0 RD @02
8967.50ns INFO [00008969] Port=1 RD @01
8968.50ns INFO [00008970] Port=0 RD @02
8969.50ns INFO [00008971] * RD COMPARE * port=0 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72
8969.50ns INFO [00008971] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
8969.50ns INFO [00008971] Port=0 WR @03=0EDF59E23B38A5DE60
8969.50ns INFO [00008971] Port=0 RD @00
8969.50ns INFO [00008971] Port=1 RD @00
8970.50ns INFO [00008972] * RD COMPARE * port=0 adr=02 act=88943B07342D3DEA72 exp=88943B07342D3DEA72
8970.50ns INFO [00008972] Port=0 WR @02=0E11309E84CCB9F2D8
8970.50ns INFO [00008972] Port=0 RD @00
8971.50ns INFO [00008973] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8971.50ns INFO [00008973] * RD COMPARE * port=1 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8972.50ns INFO [00008974] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8972.50ns INFO [00008974] Port=0 WR @02=7BC0299DC34A507B0E
8972.50ns INFO [00008974] Port=1 RD @06
8974.50ns INFO [00008976] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784
8974.50ns INFO [00008976] Port=0 WR @04=D0CF06366633402B25
8974.50ns INFO [00008976] Port=0 RD @00
8975.50ns INFO [00008977] Port=0 RD @01
8976.50ns INFO [00008978] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8976.50ns INFO [00008978] Port=1 RD @03
8977.50ns INFO [00008979] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
8978.50ns INFO [00008980] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8978.50ns INFO [00008980] Port=0 RD @04
8979.50ns INFO [00008981] Port=1 RD @03
8980.50ns INFO [00008982] * RD COMPARE * port=0 adr=04 act=D0CF06366633402B25 exp=D0CF06366633402B25
8980.50ns INFO [00008982] Port=0 RD @01
8980.50ns INFO [00008982] Port=1 RD @06
8981.50ns INFO [00008983] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8982.50ns INFO [00008984] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
8982.50ns INFO [00008984] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784
8982.50ns INFO [00008984] Port=0 WR @05=4227CDF2F42E6E187B
8982.50ns INFO [00008984] Port=1 RD @01
8983.50ns INFO [00008985] Port=0 RD @00
8984.50ns INFO [00008986] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
8985.50ns INFO [00008987] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8985.50ns INFO [00008987] Port=1 RD @06
8987.50ns INFO [00008989] * RD COMPARE * port=1 adr=06 act=A4B3106F79165FF784 exp=A4B3106F79165FF784
8987.50ns INFO [00008989] Port=0 RD @02
8987.50ns INFO [00008989] Port=1 RD @02
8988.50ns INFO [00008990] Port=0 WR @06=775D63432B6439EA66
8988.50ns INFO [00008990] Port=0 RD @00
8989.50ns INFO [00008991] * RD COMPARE * port=0 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E
8989.50ns INFO [00008991] * RD COMPARE * port=1 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E
8989.50ns INFO [00008991] Port=0 RD @01
8989.50ns INFO [00008991] Port=1 RD @03
8990.50ns INFO [00008992] * RD COMPARE * port=0 adr=00 act=3EF8073E54FE4722C8 exp=3EF8073E54FE4722C8
8990.50ns INFO [00008992] Port=0 WR @00=6906D351399762D591
8990.50ns INFO [00008992] Port=0 RD @05
8991.50ns INFO [00008993] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
8991.50ns INFO [00008993] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8991.50ns INFO [00008993] Port=0 RD @03
8992.50ns INFO [00008994] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
8992.50ns INFO [00008994] Port=0 RD @06
8993.50ns INFO [00008995] * RD COMPARE * port=0 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8993.50ns INFO [00008995] Port=0 RD @03
8994.50ns INFO [00008996] * RD COMPARE * port=0 adr=06 act=775D63432B6439EA66 exp=775D63432B6439EA66
8994.50ns INFO [00008996] Port=0 RD @07
8995.50ns INFO [00008997] * RD COMPARE * port=0 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8995.50ns INFO [00008997] Port=0 WR @00=933AF13B48F0EE07F6
8995.50ns INFO [00008997] Port=1 RD @03
8996.50ns INFO [00008998] * RD COMPARE * port=0 adr=07 act=68348C682535334C12 exp=68348C682535334C12
8996.50ns INFO [00008998] Port=0 WR @06=6C3643D128B5D9FB6B
8996.50ns INFO [00008998] Port=1 RD @03
8997.50ns INFO [00008999] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8997.50ns INFO [00008999] Port=0 WR @03=BD5233C2545C37DD3C
8998.00ns INFO [00009000] [00009000] ...tick...
8998.50ns INFO [00009000] * RD COMPARE * port=1 adr=03 act=0EDF59E23B38A5DE60 exp=0EDF59E23B38A5DE60
8998.50ns INFO [00009000] Port=0 RD @05
8999.50ns INFO [00009001] Port=1 RD @02
9000.50ns INFO [00009002] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9000.50ns INFO [00009002] Port=0 WR @02=9F99FC679349153D04
9001.50ns INFO [00009003] * RD COMPARE * port=1 adr=02 act=7BC0299DC34A507B0E exp=7BC0299DC34A507B0E
9001.50ns INFO [00009003] Port=0 RD @05
9002.50ns INFO [00009004] Port=1 RD @00
9003.50ns INFO [00009005] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9003.50ns INFO [00009005] Port=1 RD @03
9004.50ns INFO [00009006] * RD COMPARE * port=1 adr=00 act=933AF13B48F0EE07F6 exp=933AF13B48F0EE07F6
9004.50ns INFO [00009006] Port=0 RD @04
9004.50ns INFO [00009006] Port=1 RD @01
9005.50ns INFO [00009007] * RD COMPARE * port=1 adr=03 act=BD5233C2545C37DD3C exp=BD5233C2545C37DD3C
9005.50ns INFO [00009007] Port=0 WR @06=B509CCD36D3597764E
9005.50ns INFO [00009007] Port=1 RD @05
9006.50ns INFO [00009008] * RD COMPARE * port=0 adr=04 act=D0CF06366633402B25 exp=D0CF06366633402B25
9006.50ns INFO [00009008] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9006.50ns INFO [00009008] Port=0 WR @04=5F50C7A440B28DE066
9006.50ns INFO [00009008] Port=1 RD @06
9007.50ns INFO [00009009] * RD COMPARE * port=1 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9007.50ns INFO [00009009] Port=1 RD @04
9008.50ns INFO [00009010] * RD COMPARE * port=1 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E
9008.50ns INFO [00009010] Port=0 RD @06
9009.50ns INFO [00009011] * RD COMPARE * port=1 adr=04 act=5F50C7A440B28DE066 exp=5F50C7A440B28DE066
9010.50ns INFO [00009012] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E
9010.50ns INFO [00009012] Port=1 RD @07
9011.50ns INFO [00009013] Port=0 WR @02=D854F0F07B876CEB94
9012.50ns INFO [00009014] * RD COMPARE * port=1 adr=07 act=68348C682535334C12 exp=68348C682535334C12
9012.50ns INFO [00009014] Port=0 WR @07=96C2DA93C6AFB7D082
9012.50ns INFO [00009014] Port=0 RD @01
9012.50ns INFO [00009014] Port=1 RD @01
9014.50ns INFO [00009016] * RD COMPARE * port=0 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9014.50ns INFO [00009016] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9015.50ns INFO [00009017] Port=0 RD @07
9016.50ns INFO [00009018] Port=0 RD @06
9017.50ns INFO [00009019] * RD COMPARE * port=0 adr=07 act=96C2DA93C6AFB7D082 exp=96C2DA93C6AFB7D082
9017.50ns INFO [00009019] Port=0 WR @07=CA6DC01486D17DD25A
9017.50ns INFO [00009019] Port=0 RD @02
9017.50ns INFO [00009019] Port=1 RD @01
9018.50ns INFO [00009020] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E
9019.50ns INFO [00009021] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9019.50ns INFO [00009021] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9019.50ns INFO [00009021] Port=0 RD @07
9020.50ns INFO [00009022] Port=0 RD @07
9021.50ns INFO [00009023] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9021.50ns INFO [00009023] Port=0 WR @00=17C56FCDE6B9AEC35D
9022.50ns INFO [00009024] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9022.50ns INFO [00009024] Port=0 WR @03=4A7EC259DE1C75FE13
9023.50ns INFO [00009025] Port=1 RD @02
9024.50ns INFO [00009026] Port=0 WR @00=F2256274B3382871E7
9024.50ns INFO [00009026] Port=0 RD @07
9024.50ns INFO [00009026] Port=1 RD @03
9025.50ns INFO [00009027] * RD COMPARE * port=1 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9025.50ns INFO [00009027] Port=0 WR @00=436CF161106DE583B1
9025.50ns INFO [00009027] Port=0 RD @05
9025.50ns INFO [00009027] Port=1 RD @03
9026.50ns INFO [00009028] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9026.50ns INFO [00009028] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13
9026.50ns INFO [00009028] Port=0 WR @00=FA29B9DF573BBA088F
9026.50ns INFO [00009028] Port=0 RD @05
9026.50ns INFO [00009028] Port=1 RD @07
9027.50ns INFO [00009029] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9027.50ns INFO [00009029] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13
9027.50ns INFO [00009029] Port=0 RD @02
9027.50ns INFO [00009029] Port=1 RD @03
9028.50ns INFO [00009030] * RD COMPARE * port=0 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9028.50ns INFO [00009030] * RD COMPARE * port=1 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9029.50ns INFO [00009031] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9029.50ns INFO [00009031] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13
9030.50ns INFO [00009032] Port=0 WR @04=84807D888A5AA9CB6D
9030.50ns INFO [00009032] Port=1 RD @05
9032.50ns INFO [00009034] * RD COMPARE * port=1 adr=05 act=4227CDF2F42E6E187B exp=4227CDF2F42E6E187B
9034.50ns INFO [00009036] Port=0 RD @07
9035.50ns INFO [00009037] Port=0 WR @05=E42DD187537E250557
9035.50ns INFO [00009037] Port=0 RD @06
9036.50ns INFO [00009038] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9037.50ns INFO [00009039] * RD COMPARE * port=0 adr=06 act=B509CCD36D3597764E exp=B509CCD36D3597764E
9037.50ns INFO [00009039] Port=0 WR @04=7B475709C5DA619B48
9037.50ns INFO [00009039] Port=1 RD @03
9038.50ns INFO [00009040] Port=0 RD @02
9038.50ns INFO [00009040] Port=1 RD @01
9039.50ns INFO [00009041] * RD COMPARE * port=1 adr=03 act=4A7EC259DE1C75FE13 exp=4A7EC259DE1C75FE13
9039.50ns INFO [00009041] Port=0 RD @07
9039.50ns INFO [00009041] Port=1 RD @02
9040.50ns INFO [00009042] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9040.50ns INFO [00009042] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9040.50ns INFO [00009042] Port=0 WR @07=E0C642B7F4B482E419
9041.50ns INFO [00009043] * RD COMPARE * port=0 adr=07 act=CA6DC01486D17DD25A exp=CA6DC01486D17DD25A
9041.50ns INFO [00009043] * RD COMPARE * port=1 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9041.50ns INFO [00009043] Port=0 RD @04
9041.50ns INFO [00009043] Port=1 RD @05
9043.50ns INFO [00009045] * RD COMPARE * port=0 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48
9043.50ns INFO [00009045] * RD COMPARE * port=1 adr=05 act=E42DD187537E250557 exp=E42DD187537E250557
9043.50ns INFO [00009045] Port=1 RD @01
9044.50ns INFO [00009046] Port=0 WR @01=E791DEE542D4D2059C
9045.50ns INFO [00009047] * RD COMPARE * port=1 adr=01 act=52A2D7BA1203C364FB exp=52A2D7BA1203C364FB
9046.50ns INFO [00009048] Port=0 WR @06=29D642677AC2898084
9046.50ns INFO [00009048] Port=0 RD @01
9046.50ns INFO [00009048] Port=1 RD @01
9047.50ns INFO [00009049] Port=0 WR @01=A92731215ED99D8AB2
9047.50ns INFO [00009049] Port=0 RD @04
9047.50ns INFO [00009049] Port=1 RD @00
9048.50ns INFO [00009050] * RD COMPARE * port=0 adr=01 act=E791DEE542D4D2059C exp=E791DEE542D4D2059C
9048.50ns INFO [00009050] * RD COMPARE * port=1 adr=01 act=E791DEE542D4D2059C exp=E791DEE542D4D2059C
9048.50ns INFO [00009050] Port=0 WR @05=FC3525A98574FEDBC3
9048.50ns INFO [00009050] Port=1 RD @00
9049.50ns INFO [00009051] * RD COMPARE * port=0 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48
9049.50ns INFO [00009051] * RD COMPARE * port=1 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F
9049.50ns INFO [00009051] Port=0 WR @05=317B82F2EC266490F8
9049.50ns INFO [00009051] Port=1 RD @07
9050.50ns INFO [00009052] * RD COMPARE * port=1 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F
9051.50ns INFO [00009053] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9051.50ns INFO [00009053] Port=1 RD @07
9052.50ns INFO [00009054] Port=0 RD @01
9052.50ns INFO [00009054] Port=1 RD @05
9053.50ns INFO [00009055] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9053.50ns INFO [00009055] Port=0 WR @05=B825947DAF9AD7E41A
9053.50ns INFO [00009055] Port=0 RD @07
9054.50ns INFO [00009056] * RD COMPARE * port=0 adr=01 act=A92731215ED99D8AB2 exp=A92731215ED99D8AB2
9054.50ns INFO [00009056] * RD COMPARE * port=1 adr=05 act=317B82F2EC266490F8 exp=317B82F2EC266490F8
9054.50ns INFO [00009056] Port=0 WR @05=773507ECCB8907951C
9054.50ns INFO [00009056] Port=1 RD @06
9055.50ns INFO [00009057] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9055.50ns INFO [00009057] Port=0 WR @03=C78CC6F65D32460E16
9055.50ns INFO [00009057] Port=0 RD @07
9055.50ns INFO [00009057] Port=1 RD @01
9056.50ns INFO [00009058] * RD COMPARE * port=1 adr=06 act=29D642677AC2898084 exp=29D642677AC2898084
9056.50ns INFO [00009058] Port=0 WR @01=9BC64A6CECBD2DEF9D
9056.50ns INFO [00009058] Port=0 RD @02
9057.50ns INFO [00009059] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9057.50ns INFO [00009059] * RD COMPARE * port=1 adr=01 act=A92731215ED99D8AB2 exp=A92731215ED99D8AB2
9057.50ns INFO [00009059] Port=0 RD @02
9058.50ns INFO [00009060] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9058.50ns INFO [00009060] Port=0 RD @00
9059.50ns INFO [00009061] * RD COMPARE * port=0 adr=02 act=D854F0F07B876CEB94 exp=D854F0F07B876CEB94
9059.50ns INFO [00009061] Port=0 RD @03
9060.50ns INFO [00009062] * RD COMPARE * port=0 adr=00 act=FA29B9DF573BBA088F exp=FA29B9DF573BBA088F
9060.50ns INFO [00009062] Port=0 WR @00=F5FD13B1C65D1A764A
9060.50ns INFO [00009062] Port=0 RD @01
9061.50ns INFO [00009063] * RD COMPARE * port=0 adr=03 act=C78CC6F65D32460E16 exp=C78CC6F65D32460E16
9061.50ns INFO [00009063] Port=1 RD @07
9062.50ns INFO [00009064] * RD COMPARE * port=0 adr=01 act=9BC64A6CECBD2DEF9D exp=9BC64A6CECBD2DEF9D
9062.50ns INFO [00009064] Port=0 WR @05=408FD3AC0FBCE79274
9062.50ns INFO [00009064] Port=1 RD @04
9063.50ns INFO [00009065] * RD COMPARE * port=1 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9063.50ns INFO [00009065] Port=0 WR @04=71BAF77B00065C0A87
9063.50ns INFO [00009065] Port=0 RD @03
9064.50ns INFO [00009066] * RD COMPARE * port=1 adr=04 act=7B475709C5DA619B48 exp=7B475709C5DA619B48
9064.50ns INFO [00009066] Port=0 RD @00
9065.50ns INFO [00009067] * RD COMPARE * port=0 adr=03 act=C78CC6F65D32460E16 exp=C78CC6F65D32460E16
9065.50ns INFO [00009067] Port=0 WR @02=A1F421DDD5A5F8AA76
9065.50ns INFO [00009067] Port=0 RD @05
9066.50ns INFO [00009068] * RD COMPARE * port=0 adr=00 act=F5FD13B1C65D1A764A exp=F5FD13B1C65D1A764A
9066.50ns INFO [00009068] Port=0 WR @03=54A00225A42B55549A
9066.50ns INFO [00009068] Port=1 RD @02
9067.50ns INFO [00009069] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9067.50ns INFO [00009069] Port=1 RD @00
9068.50ns INFO [00009070] * RD COMPARE * port=1 adr=02 act=A1F421DDD5A5F8AA76 exp=A1F421DDD5A5F8AA76
9068.50ns INFO [00009070] Port=0 WR @06=8FEEAF5A72BA293BAF
9068.50ns INFO [00009070] Port=0 RD @02
9069.50ns INFO [00009071] * RD COMPARE * port=1 adr=00 act=F5FD13B1C65D1A764A exp=F5FD13B1C65D1A764A
9069.50ns INFO [00009071] Port=1 RD @05
9070.50ns INFO [00009072] * RD COMPARE * port=0 adr=02 act=A1F421DDD5A5F8AA76 exp=A1F421DDD5A5F8AA76
9070.50ns INFO [00009072] Port=0 WR @02=653BC3A54F20289416
9070.50ns INFO [00009072] Port=0 RD @07
9071.50ns INFO [00009073] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9072.50ns INFO [00009074] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9072.50ns INFO [00009074] Port=0 RD @06
9073.50ns INFO [00009075] Port=0 WR @04=6FC13F151B4E1722C5
9073.50ns INFO [00009075] Port=0 RD @07
9074.50ns INFO [00009076] * RD COMPARE * port=0 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF
9074.50ns INFO [00009076] Port=0 WR @00=2E781F1C3400C5033C
9074.50ns INFO [00009076] Port=0 RD @06
9074.50ns INFO [00009076] Port=1 RD @05
9075.50ns INFO [00009077] * RD COMPARE * port=0 adr=07 act=E0C642B7F4B482E419 exp=E0C642B7F4B482E419
9075.50ns INFO [00009077] Port=0 RD @01
9076.50ns INFO [00009078] * RD COMPARE * port=0 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF
9076.50ns INFO [00009078] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9076.50ns INFO [00009078] Port=0 WR @07=AEF7A24D86E98935F8
9077.50ns INFO [00009079] * RD COMPARE * port=0 adr=01 act=9BC64A6CECBD2DEF9D exp=9BC64A6CECBD2DEF9D
9077.50ns INFO [00009079] Port=1 RD @06
9078.50ns INFO [00009080] Port=0 WR @04=44833909A3D14A0107
9078.50ns INFO [00009080] Port=0 RD @02
9078.50ns INFO [00009080] Port=1 RD @02
9079.50ns INFO [00009081] * RD COMPARE * port=1 adr=06 act=8FEEAF5A72BA293BAF exp=8FEEAF5A72BA293BAF
9079.50ns INFO [00009081] Port=0 RD @04
9080.50ns INFO [00009082] * RD COMPARE * port=0 adr=02 act=653BC3A54F20289416 exp=653BC3A54F20289416
9080.50ns INFO [00009082] * RD COMPARE * port=1 adr=02 act=653BC3A54F20289416 exp=653BC3A54F20289416
9081.50ns INFO [00009083] * RD COMPARE * port=0 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107
9081.50ns INFO [00009083] Port=0 WR @01=E5141B0B40514EDC38
9082.50ns INFO [00009084] Port=0 WR @06=3B0AF80490139110A4
9082.50ns INFO [00009084] Port=0 RD @07
9084.50ns INFO [00009086] * RD COMPARE * port=0 adr=07 act=AEF7A24D86E98935F8 exp=AEF7A24D86E98935F8
9084.50ns INFO [00009086] Port=0 WR @01=DE52E603CE42A6B742
9084.50ns INFO [00009086] Port=0 RD @00
9085.50ns INFO [00009087] Port=0 RD @00
9086.50ns INFO [00009088] * RD COMPARE * port=0 adr=00 act=2E781F1C3400C5033C exp=2E781F1C3400C5033C
9087.50ns INFO [00009089] * RD COMPARE * port=0 adr=00 act=2E781F1C3400C5033C exp=2E781F1C3400C5033C
9088.50ns INFO [00009090] Port=0 WR @02=8E7FC8F60CB53A510A
9089.50ns INFO [00009091] Port=0 RD @07
9090.50ns INFO [00009092] Port=0 WR @00=3EED84D8C63796FBF6
9090.50ns INFO [00009092] Port=0 RD @01
9091.50ns INFO [00009093] * RD COMPARE * port=0 adr=07 act=AEF7A24D86E98935F8 exp=AEF7A24D86E98935F8
9092.50ns INFO [00009094] * RD COMPARE * port=0 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742
9092.50ns INFO [00009094] Port=1 RD @04
9093.50ns INFO [00009095] Port=1 RD @02
9094.50ns INFO [00009096] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107
9094.50ns INFO [00009096] Port=0 WR @07=77DAEC2D53B63BA863
9095.50ns INFO [00009097] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9097.50ns INFO [00009099] Port=0 WR @07=BB004D062936B63A45
9097.50ns INFO [00009099] Port=1 RD @04
9098.00ns INFO [00009100] [00009100] ...tick...
9099.50ns INFO [00009101] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107
9099.50ns INFO [00009101] Port=0 WR @03=1E7CBFD2DCEB41A9B5
9099.50ns INFO [00009101] Port=0 RD @06
9099.50ns INFO [00009101] Port=1 RD @04
9100.50ns INFO [00009102] Port=0 RD @07
9100.50ns INFO [00009102] Port=1 RD @06
9101.50ns INFO [00009103] * RD COMPARE * port=0 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4
9101.50ns INFO [00009103] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107
9102.50ns INFO [00009104] * RD COMPARE * port=0 adr=07 act=BB004D062936B63A45 exp=BB004D062936B63A45
9102.50ns INFO [00009104] * RD COMPARE * port=1 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4
9103.50ns INFO [00009105] Port=0 RD @00
9103.50ns INFO [00009105] Port=1 RD @00
9104.50ns INFO [00009106] Port=0 RD @06
9104.50ns INFO [00009106] Port=1 RD @01
9105.50ns INFO [00009107] * RD COMPARE * port=0 adr=00 act=3EED84D8C63796FBF6 exp=3EED84D8C63796FBF6
9105.50ns INFO [00009107] * RD COMPARE * port=1 adr=00 act=3EED84D8C63796FBF6 exp=3EED84D8C63796FBF6
9106.50ns INFO [00009108] * RD COMPARE * port=0 adr=06 act=3B0AF80490139110A4 exp=3B0AF80490139110A4
9106.50ns INFO [00009108] * RD COMPARE * port=1 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742
9106.50ns INFO [00009108] Port=1 RD @02
9108.50ns INFO [00009110] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9108.50ns INFO [00009110] Port=0 RD @02
9108.50ns INFO [00009110] Port=1 RD @01
9109.50ns INFO [00009111] Port=0 WR @06=E0D015D25235896B03
9110.50ns INFO [00009112] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9110.50ns INFO [00009112] * RD COMPARE * port=1 adr=01 act=DE52E603CE42A6B742 exp=DE52E603CE42A6B742
9110.50ns INFO [00009112] Port=1 RD @04
9111.50ns INFO [00009113] Port=0 WR @04=5182AD2767F4737D6A
9112.50ns INFO [00009114] * RD COMPARE * port=1 adr=04 act=44833909A3D14A0107 exp=44833909A3D14A0107
9112.50ns INFO [00009114] Port=0 RD @06
9113.50ns INFO [00009115] Port=0 WR @00=AAABE4BD0B32DA9628
9113.50ns INFO [00009115] Port=0 RD @05
9113.50ns INFO [00009115] Port=1 RD @07
9114.50ns INFO [00009116] * RD COMPARE * port=0 adr=06 act=E0D015D25235896B03 exp=E0D015D25235896B03
9114.50ns INFO [00009116] Port=0 WR @07=2EBDAD0E3DDD9C22B6
9114.50ns INFO [00009116] Port=0 RD @03
9115.50ns INFO [00009117] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9115.50ns INFO [00009117] * RD COMPARE * port=1 adr=07 act=BB004D062936B63A45 exp=BB004D062936B63A45
9115.50ns INFO [00009117] Port=0 RD @04
9116.50ns INFO [00009118] * RD COMPARE * port=0 adr=03 act=1E7CBFD2DCEB41A9B5 exp=1E7CBFD2DCEB41A9B5
9117.50ns INFO [00009119] * RD COMPARE * port=0 adr=04 act=5182AD2767F4737D6A exp=5182AD2767F4737D6A
9117.50ns INFO [00009119] Port=0 WR @01=FD789AE4CF5082F004
9118.50ns INFO [00009120] Port=0 WR @01=7DFA465F4180CE1062
9120.50ns INFO [00009122] Port=1 RD @02
9122.50ns INFO [00009124] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9122.50ns INFO [00009124] Port=0 WR @06=E8D81E2C622EC71170
9123.50ns INFO [00009125] Port=0 WR @07=D943B7F0FBD1188F3A
9125.50ns INFO [00009127] Port=0 RD @01
9126.50ns INFO [00009128] Port=0 WR @01=A55812458992522738
9127.50ns INFO [00009129] * RD COMPARE * port=0 adr=01 act=7DFA465F4180CE1062 exp=7DFA465F4180CE1062
9127.50ns INFO [00009129] Port=0 WR @01=05326D2A2DFC583C47
9127.50ns INFO [00009129] Port=1 RD @05
9128.50ns INFO [00009130] Port=1 RD @07
9129.50ns INFO [00009131] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9130.50ns INFO [00009132] * RD COMPARE * port=1 adr=07 act=D943B7F0FBD1188F3A exp=D943B7F0FBD1188F3A
9130.50ns INFO [00009132] Port=0 WR @07=BC720ED06F336082B4
9131.50ns INFO [00009133] Port=0 WR @07=303C28328B7EDC43A7
9132.50ns INFO [00009134] Port=0 WR @03=AC1F33DCD75B3DD6BA
9132.50ns INFO [00009134] Port=0 RD @00
9133.50ns INFO [00009135] Port=0 WR @01=55B3A89D9A342C4D0C
9133.50ns INFO [00009135] Port=0 RD @02
9134.50ns INFO [00009136] * RD COMPARE * port=0 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9134.50ns INFO [00009136] Port=0 WR @03=976DB6A25F9716AAD2
9134.50ns INFO [00009136] Port=0 RD @05
9134.50ns INFO [00009136] Port=1 RD @05
9135.50ns INFO [00009137] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9136.50ns INFO [00009138] * RD COMPARE * port=0 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9136.50ns INFO [00009138] * RD COMPARE * port=1 adr=05 act=408FD3AC0FBCE79274 exp=408FD3AC0FBCE79274
9136.50ns INFO [00009138] Port=0 WR @06=3C6CA1FEB78D48E6B5
9136.50ns INFO [00009138] Port=0 RD @02
9137.50ns INFO [00009139] Port=0 WR @05=9E80B5492EFE181B15
9138.50ns INFO [00009140] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9138.50ns INFO [00009140] Port=1 RD @01
9140.50ns INFO [00009142] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9140.50ns INFO [00009142] Port=1 RD @01
9141.50ns INFO [00009143] Port=0 WR @03=520F9579CD76AD0094
9141.50ns INFO [00009143] Port=0 RD @06
9142.50ns INFO [00009144] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9142.50ns INFO [00009144] Port=0 RD @00
9142.50ns INFO [00009144] Port=1 RD @04
9143.50ns INFO [00009145] * RD COMPARE * port=0 adr=06 act=3C6CA1FEB78D48E6B5 exp=3C6CA1FEB78D48E6B5
9143.50ns INFO [00009145] Port=0 WR @03=752EED5AAA9B72B7C9
9143.50ns INFO [00009145] Port=1 RD @00
9144.50ns INFO [00009146] * RD COMPARE * port=0 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9144.50ns INFO [00009146] * RD COMPARE * port=1 adr=04 act=5182AD2767F4737D6A exp=5182AD2767F4737D6A
9144.50ns INFO [00009146] Port=1 RD @07
9145.50ns INFO [00009147] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9145.50ns INFO [00009147] Port=1 RD @01
9146.50ns INFO [00009148] * RD COMPARE * port=1 adr=07 act=303C28328B7EDC43A7 exp=303C28328B7EDC43A7
9146.50ns INFO [00009148] Port=0 RD @05
9147.50ns INFO [00009149] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9147.50ns INFO [00009149] Port=1 RD @07
9148.50ns INFO [00009150] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9148.50ns INFO [00009150] Port=0 RD @05
9149.50ns INFO [00009151] * RD COMPARE * port=1 adr=07 act=303C28328B7EDC43A7 exp=303C28328B7EDC43A7
9149.50ns INFO [00009151] Port=0 WR @07=D7BE918F8F781ABFB6
9149.50ns INFO [00009151] Port=1 RD @00
9150.50ns INFO [00009152] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9151.50ns INFO [00009153] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9153.50ns INFO [00009155] Port=1 RD @05
9154.50ns INFO [00009156] Port=0 RD @03
9155.50ns INFO [00009157] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9155.50ns INFO [00009157] Port=1 RD @00
9156.50ns INFO [00009158] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9
9156.50ns INFO [00009158] Port=0 WR @06=013E96D72623EFFE24
9157.50ns INFO [00009159] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9157.50ns INFO [00009159] Port=0 RD @05
9157.50ns INFO [00009159] Port=1 RD @01
9158.50ns INFO [00009160] Port=0 RD @03
9159.50ns INFO [00009161] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9159.50ns INFO [00009161] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9159.50ns INFO [00009161] Port=1 RD @05
9160.50ns INFO [00009162] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9
9160.50ns INFO [00009162] Port=0 RD @02
9161.50ns INFO [00009163] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9161.50ns INFO [00009163] Port=0 WR @06=D4760D2424F4EABBE7
9161.50ns INFO [00009163] Port=1 RD @07
9162.50ns INFO [00009164] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9162.50ns INFO [00009164] Port=0 RD @03
9162.50ns INFO [00009164] Port=1 RD @00
9163.50ns INFO [00009165] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9163.50ns INFO [00009165] Port=0 WR @04=7E6B332F98AC6D5A52
9164.50ns INFO [00009166] * RD COMPARE * port=0 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9
9164.50ns INFO [00009166] * RD COMPARE * port=1 adr=00 act=AAABE4BD0B32DA9628 exp=AAABE4BD0B32DA9628
9164.50ns INFO [00009166] Port=0 WR @04=AF2E2120F9FB3AD064
9164.50ns INFO [00009166] Port=1 RD @03
9166.50ns INFO [00009168] * RD COMPARE * port=1 adr=03 act=752EED5AAA9B72B7C9 exp=752EED5AAA9B72B7C9
9166.50ns INFO [00009168] Port=0 WR @00=A2DB1860BFA6FBD586
9167.50ns INFO [00009169] Port=0 RD @02
9167.50ns INFO [00009169] Port=1 RD @06
9169.50ns INFO [00009171] * RD COMPARE * port=0 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9169.50ns INFO [00009171] * RD COMPARE * port=1 adr=06 act=D4760D2424F4EABBE7 exp=D4760D2424F4EABBE7
9169.50ns INFO [00009171] Port=0 RD @00
9171.50ns INFO [00009173] * RD COMPARE * port=0 adr=00 act=A2DB1860BFA6FBD586 exp=A2DB1860BFA6FBD586
9171.50ns INFO [00009173] Port=0 RD @05
9171.50ns INFO [00009173] Port=1 RD @01
9173.50ns INFO [00009175] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9173.50ns INFO [00009175] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9173.50ns INFO [00009175] Port=1 RD @07
9174.50ns INFO [00009176] Port=1 RD @01
9175.50ns INFO [00009177] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9176.50ns INFO [00009178] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9176.50ns INFO [00009178] Port=0 WR @03=C3C593F80BC2BFD76C
9177.50ns INFO [00009179] Port=0 RD @05
9177.50ns INFO [00009179] Port=1 RD @06
9178.50ns INFO [00009180] Port=0 WR @06=C7BB5FB39A8BDEB214
9178.50ns INFO [00009180] Port=1 RD @03
9179.50ns INFO [00009181] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9179.50ns INFO [00009181] * RD COMPARE * port=1 adr=06 act=D4760D2424F4EABBE7 exp=D4760D2424F4EABBE7
9179.50ns INFO [00009181] Port=0 WR @00=FF52EA12FC8648359B
9180.50ns INFO [00009182] * RD COMPARE * port=1 adr=03 act=C3C593F80BC2BFD76C exp=C3C593F80BC2BFD76C
9181.50ns INFO [00009183] Port=0 RD @06
9181.50ns INFO [00009183] Port=1 RD @01
9182.50ns INFO [00009184] Port=0 WR @03=6E3A2FC06FE4F5B3F0
9182.50ns INFO [00009184] Port=1 RD @04
9183.50ns INFO [00009185] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214
9183.50ns INFO [00009185] * RD COMPARE * port=1 adr=01 act=55B3A89D9A342C4D0C exp=55B3A89D9A342C4D0C
9183.50ns INFO [00009185] Port=1 RD @04
9184.50ns INFO [00009186] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064
9184.50ns INFO [00009186] Port=0 RD @07
9185.50ns INFO [00009187] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064
9185.50ns INFO [00009187] Port=0 RD @05
9185.50ns INFO [00009187] Port=1 RD @04
9186.50ns INFO [00009188] * RD COMPARE * port=0 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9186.50ns INFO [00009188] Port=0 RD @06
9186.50ns INFO [00009188] Port=1 RD @07
9187.50ns INFO [00009189] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9187.50ns INFO [00009189] * RD COMPARE * port=1 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064
9187.50ns INFO [00009189] Port=0 WR @01=7FC6F1D18BE982E5D2
9187.50ns INFO [00009189] Port=0 RD @05
9187.50ns INFO [00009189] Port=1 RD @05
9188.50ns INFO [00009190] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214
9188.50ns INFO [00009190] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9188.50ns INFO [00009190] Port=1 RD @07
9189.50ns INFO [00009191] * RD COMPARE * port=0 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9189.50ns INFO [00009191] * RD COMPARE * port=1 adr=05 act=9E80B5492EFE181B15 exp=9E80B5492EFE181B15
9190.50ns INFO [00009192] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9190.50ns INFO [00009192] Port=0 RD @03
9190.50ns INFO [00009192] Port=1 RD @02
9191.50ns INFO [00009193] Port=0 RD @03
9191.50ns INFO [00009193] Port=1 RD @07
9192.50ns INFO [00009194] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9192.50ns INFO [00009194] * RD COMPARE * port=1 adr=02 act=8E7FC8F60CB53A510A exp=8E7FC8F60CB53A510A
9192.50ns INFO [00009194] Port=0 WR @07=F407D679D7B5112C1F
9192.50ns INFO [00009194] Port=0 RD @04
9193.50ns INFO [00009195] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9193.50ns INFO [00009195] * RD COMPARE * port=1 adr=07 act=D7BE918F8F781ABFB6 exp=D7BE918F8F781ABFB6
9193.50ns INFO [00009195] Port=1 RD @00
9194.50ns INFO [00009196] * RD COMPARE * port=0 adr=04 act=AF2E2120F9FB3AD064 exp=AF2E2120F9FB3AD064
9194.50ns INFO [00009196] Port=0 WR @02=634EC5B8C2592E36B4
9195.50ns INFO [00009197] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B
9195.50ns INFO [00009197] Port=0 WR @05=D18FD8DC5A96FB11D6
9195.50ns INFO [00009197] Port=0 RD @06
9196.50ns INFO [00009198] Port=0 WR @01=CF72B11A317411C952
9196.50ns INFO [00009198] Port=1 RD @00
9197.50ns INFO [00009199] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214
9197.50ns INFO [00009199] Port=0 RD @05
9198.00ns INFO [00009200] [00009200] ...tick...
9198.50ns INFO [00009200] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B
9199.50ns INFO [00009201] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6
9199.50ns INFO [00009201] Port=0 RD @07
9200.50ns INFO [00009202] Port=0 WR @04=A94F4161DFAED3D9DB
9200.50ns INFO [00009202] Port=0 RD @01
9201.50ns INFO [00009203] * RD COMPARE * port=0 adr=07 act=F407D679D7B5112C1F exp=F407D679D7B5112C1F
9201.50ns INFO [00009203] Port=0 RD @06
9201.50ns INFO [00009203] Port=1 RD @06
9202.50ns INFO [00009204] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9202.50ns INFO [00009204] Port=0 WR @06=A4D730DB4CA6B65EAA
9203.50ns INFO [00009205] * RD COMPARE * port=0 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214
9203.50ns INFO [00009205] * RD COMPARE * port=1 adr=06 act=C7BB5FB39A8BDEB214 exp=C7BB5FB39A8BDEB214
9204.50ns INFO [00009206] Port=0 WR @06=DF1A7005DD33F5A823
9204.50ns INFO [00009206] Port=0 RD @00
9204.50ns INFO [00009206] Port=1 RD @03
9206.50ns INFO [00009208] * RD COMPARE * port=0 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B
9206.50ns INFO [00009208] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9206.50ns INFO [00009208] Port=0 WR @06=6FF44D7C085BC11315
9206.50ns INFO [00009208] Port=0 RD @03
9206.50ns INFO [00009208] Port=1 RD @01
9207.50ns INFO [00009209] Port=0 RD @06
9207.50ns INFO [00009209] Port=1 RD @05
9208.50ns INFO [00009210] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9208.50ns INFO [00009210] * RD COMPARE * port=1 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9208.50ns INFO [00009210] Port=0 RD @00
9209.50ns INFO [00009211] * RD COMPARE * port=0 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315
9209.50ns INFO [00009211] * RD COMPARE * port=1 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6
9209.50ns INFO [00009211] Port=0 RD @04
9209.50ns INFO [00009211] Port=1 RD @02
9210.50ns INFO [00009212] * RD COMPARE * port=0 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B
9211.50ns INFO [00009213] * RD COMPARE * port=0 adr=04 act=A94F4161DFAED3D9DB exp=A94F4161DFAED3D9DB
9211.50ns INFO [00009213] * RD COMPARE * port=1 adr=02 act=634EC5B8C2592E36B4 exp=634EC5B8C2592E36B4
9211.50ns INFO [00009213] Port=0 RD @06
9213.50ns INFO [00009215] * RD COMPARE * port=0 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315
9213.50ns INFO [00009215] Port=0 RD @01
9214.50ns INFO [00009216] Port=0 RD @01
9214.50ns INFO [00009216] Port=1 RD @01
9215.50ns INFO [00009217] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9215.50ns INFO [00009217] Port=0 WR @07=79431EFC60D7ADBBD6
9215.50ns INFO [00009217] Port=1 RD @00
9216.50ns INFO [00009218] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9216.50ns INFO [00009218] * RD COMPARE * port=1 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9217.50ns INFO [00009219] * RD COMPARE * port=1 adr=00 act=FF52EA12FC8648359B exp=FF52EA12FC8648359B
9217.50ns INFO [00009219] Port=0 WR @02=E607BBA12F4115B0BA
9221.50ns INFO [00009223] Port=0 RD @01
9223.50ns INFO [00009225] * RD COMPARE * port=0 adr=01 act=CF72B11A317411C952 exp=CF72B11A317411C952
9223.50ns INFO [00009225] Port=0 WR @04=9672FE868F69797DB3
9224.50ns INFO [00009226] Port=0 RD @02
9224.50ns INFO [00009226] Port=1 RD @06
9225.50ns INFO [00009227] Port=1 RD @03
9226.50ns INFO [00009228] * RD COMPARE * port=0 adr=02 act=E607BBA12F4115B0BA exp=E607BBA12F4115B0BA
9226.50ns INFO [00009228] * RD COMPARE * port=1 adr=06 act=6FF44D7C085BC11315 exp=6FF44D7C085BC11315
9226.50ns INFO [00009228] Port=0 WR @04=4C1432C69D60CC8020
9227.50ns INFO [00009229] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9227.50ns INFO [00009229] Port=0 WR @06=DD9F0659CE461B4634
9228.50ns INFO [00009230] Port=0 WR @02=B9394272F9E0BA65C7
9228.50ns INFO [00009230] Port=0 RD @03
9229.50ns INFO [00009231] Port=0 WR @01=6D193CE25679BC4859
9230.50ns INFO [00009232] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9230.50ns INFO [00009232] Port=1 RD @03
9231.50ns INFO [00009233] Port=0 WR @07=B13A9619589948176F
9231.50ns INFO [00009233] Port=0 RD @05
9232.50ns INFO [00009234] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9232.50ns INFO [00009234] Port=0 WR @06=F39AAEEFAB15A6B97E
9232.50ns INFO [00009234] Port=1 RD @01
9233.50ns INFO [00009235] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6
9234.50ns INFO [00009236] * RD COMPARE * port=1 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859
9236.50ns INFO [00009238] Port=0 WR @00=74ADA60D719B73D156
9236.50ns INFO [00009238] Port=1 RD @01
9237.50ns INFO [00009239] Port=0 WR @07=A9ABC961E9FBC3448C
9238.50ns INFO [00009240] * RD COMPARE * port=1 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859
9238.50ns INFO [00009240] Port=0 RD @00
9238.50ns INFO [00009240] Port=1 RD @07
9239.50ns INFO [00009241] Port=1 RD @02
9240.50ns INFO [00009242] * RD COMPARE * port=0 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156
9240.50ns INFO [00009242] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9240.50ns INFO [00009242] Port=0 WR @02=48522EFD0AB3EC9709
9240.50ns INFO [00009242] Port=0 RD @03
9241.50ns INFO [00009243] * RD COMPARE * port=1 adr=02 act=B9394272F9E0BA65C7 exp=B9394272F9E0BA65C7
9241.50ns INFO [00009243] Port=0 RD @03
9242.50ns INFO [00009244] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9243.50ns INFO [00009245] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9244.50ns INFO [00009246] Port=0 RD @05
9244.50ns INFO [00009246] Port=1 RD @06
9245.50ns INFO [00009247] Port=0 WR @05=A8D89FA33B333A6928
9246.50ns INFO [00009248] * RD COMPARE * port=0 adr=05 act=D18FD8DC5A96FB11D6 exp=D18FD8DC5A96FB11D6
9246.50ns INFO [00009248] * RD COMPARE * port=1 adr=06 act=F39AAEEFAB15A6B97E exp=F39AAEEFAB15A6B97E
9246.50ns INFO [00009248] Port=0 RD @03
9246.50ns INFO [00009248] Port=1 RD @03
9247.50ns INFO [00009249] Port=0 RD @05
9247.50ns INFO [00009249] Port=1 RD @07
9248.50ns INFO [00009250] * RD COMPARE * port=0 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9248.50ns INFO [00009250] * RD COMPARE * port=1 adr=03 act=6E3A2FC06FE4F5B3F0 exp=6E3A2FC06FE4F5B3F0
9249.50ns INFO [00009251] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928
9249.50ns INFO [00009251] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9249.50ns INFO [00009251] Port=0 RD @04
9249.50ns INFO [00009251] Port=1 RD @06
9250.50ns INFO [00009252] Port=1 RD @04
9251.50ns INFO [00009253] * RD COMPARE * port=0 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020
9251.50ns INFO [00009253] * RD COMPARE * port=1 adr=06 act=F39AAEEFAB15A6B97E exp=F39AAEEFAB15A6B97E
9251.50ns INFO [00009253] Port=0 WR @03=96D9102A2A9EE94614
9251.50ns INFO [00009253] Port=0 RD @07
9252.50ns INFO [00009254] * RD COMPARE * port=1 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020
9252.50ns INFO [00009254] Port=0 WR @03=863A2ACFE6BE993ABA
9252.50ns INFO [00009254] Port=0 RD @07
9253.50ns INFO [00009255] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9253.50ns INFO [00009255] Port=1 RD @00
9254.50ns INFO [00009256] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9254.50ns INFO [00009256] Port=1 RD @07
9255.50ns INFO [00009257] * RD COMPARE * port=1 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156
9256.50ns INFO [00009258] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9256.50ns INFO [00009258] Port=0 WR @06=26939044601EB83800
9256.50ns INFO [00009258] Port=0 RD @00
9257.50ns INFO [00009259] Port=0 WR @03=A547775A14D2B6CB0F
9257.50ns INFO [00009259] Port=0 RD @04
9257.50ns INFO [00009259] Port=1 RD @02
9258.50ns INFO [00009260] * RD COMPARE * port=0 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156
9258.50ns INFO [00009260] Port=0 WR @06=408D447F698F9797A6
9258.50ns INFO [00009260] Port=1 RD @03
9259.50ns INFO [00009261] * RD COMPARE * port=0 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020
9259.50ns INFO [00009261] * RD COMPARE * port=1 adr=02 act=48522EFD0AB3EC9709 exp=48522EFD0AB3EC9709
9259.50ns INFO [00009261] Port=0 RD @06
9259.50ns INFO [00009261] Port=1 RD @04
9260.50ns INFO [00009262] * RD COMPARE * port=1 adr=03 act=A547775A14D2B6CB0F exp=A547775A14D2B6CB0F
9260.50ns INFO [00009262] Port=0 WR @03=FE6C3AC6B8F1D9F4F4
9260.50ns INFO [00009262] Port=0 RD @07
9261.50ns INFO [00009263] * RD COMPARE * port=0 adr=06 act=408D447F698F9797A6 exp=408D447F698F9797A6
9261.50ns INFO [00009263] * RD COMPARE * port=1 adr=04 act=4C1432C69D60CC8020 exp=4C1432C69D60CC8020
9261.50ns INFO [00009263] Port=0 WR @04=457A413AE1CE4C4789
9261.50ns INFO [00009263] Port=1 RD @03
9262.50ns INFO [00009264] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9262.50ns INFO [00009264] Port=0 WR @06=7FDC8083161E66F660
9262.50ns INFO [00009264] Port=1 RD @04
9263.50ns INFO [00009265] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9263.50ns INFO [00009265] Port=0 RD @07
9264.50ns INFO [00009266] * RD COMPARE * port=1 adr=04 act=457A413AE1CE4C4789 exp=457A413AE1CE4C4789
9265.50ns INFO [00009267] * RD COMPARE * port=0 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9266.50ns INFO [00009268] Port=0 WR @02=80CC2468F7CF463DC3
9266.50ns INFO [00009268] Port=1 RD @07
9267.50ns INFO [00009269] Port=0 WR @07=DED6CA8B271FA2A51B
9267.50ns INFO [00009269] Port=0 RD @01
9267.50ns INFO [00009269] Port=1 RD @05
9268.50ns INFO [00009270] * RD COMPARE * port=1 adr=07 act=A9ABC961E9FBC3448C exp=A9ABC961E9FBC3448C
9268.50ns INFO [00009270] Port=0 RD @05
9268.50ns INFO [00009270] Port=1 RD @00
9269.50ns INFO [00009271] * RD COMPARE * port=0 adr=01 act=6D193CE25679BC4859 exp=6D193CE25679BC4859
9269.50ns INFO [00009271] * RD COMPARE * port=1 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928
9269.50ns INFO [00009271] Port=0 WR @00=8CE317E9E4FB24FD0D
9270.50ns INFO [00009272] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928
9270.50ns INFO [00009272] * RD COMPARE * port=1 adr=00 act=74ADA60D719B73D156 exp=74ADA60D719B73D156
9270.50ns INFO [00009272] Port=0 RD @03
9271.50ns INFO [00009273] Port=0 RD @05
9272.50ns INFO [00009274] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9273.50ns INFO [00009275] * RD COMPARE * port=0 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928
9273.50ns INFO [00009275] Port=0 RD @00
9273.50ns INFO [00009275] Port=1 RD @06
9274.50ns INFO [00009276] Port=0 RD @06
9275.50ns INFO [00009277] * RD COMPARE * port=0 adr=00 act=8CE317E9E4FB24FD0D exp=8CE317E9E4FB24FD0D
9275.50ns INFO [00009277] * RD COMPARE * port=1 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660
9275.50ns INFO [00009277] Port=1 RD @05
9276.50ns INFO [00009278] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660
9276.50ns INFO [00009278] Port=0 WR @05=642D726FC4FF905001
9276.50ns INFO [00009278] Port=1 RD @04
9277.50ns INFO [00009279] * RD COMPARE * port=1 adr=05 act=A8D89FA33B333A6928 exp=A8D89FA33B333A6928
9277.50ns INFO [00009279] Port=0 RD @05
9277.50ns INFO [00009279] Port=1 RD @02
9278.50ns INFO [00009280] * RD COMPARE * port=1 adr=04 act=457A413AE1CE4C4789 exp=457A413AE1CE4C4789
9278.50ns INFO [00009280] Port=1 RD @02
9279.50ns INFO [00009281] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9279.50ns INFO [00009281] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3
9279.50ns INFO [00009281] Port=0 WR @01=FB2F2D0D88CE9E93EC
9280.50ns INFO [00009282] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3
9280.50ns INFO [00009282] Port=0 WR @04=CA74DC23F135A0A709
9280.50ns INFO [00009282] Port=0 RD @00
9281.50ns INFO [00009283] Port=0 RD @03
9282.50ns INFO [00009284] * RD COMPARE * port=0 adr=00 act=8CE317E9E4FB24FD0D exp=8CE317E9E4FB24FD0D
9282.50ns INFO [00009284] Port=0 RD @05
9283.50ns INFO [00009285] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9284.50ns INFO [00009286] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9285.50ns INFO [00009287] Port=0 RD @06
9286.50ns INFO [00009288] Port=1 RD @03
9287.50ns INFO [00009289] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660
9288.50ns INFO [00009290] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9288.50ns INFO [00009290] Port=0 WR @04=50D7DD46213BAF5C9F
9289.50ns INFO [00009291] Port=0 RD @05
9291.50ns INFO [00009293] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9291.50ns INFO [00009293] Port=0 RD @06
9291.50ns INFO [00009293] Port=1 RD @05
9292.50ns INFO [00009294] Port=0 WR @06=AED182147321C69D27
9292.50ns INFO [00009294] Port=1 RD @01
9293.50ns INFO [00009295] * RD COMPARE * port=0 adr=06 act=7FDC8083161E66F660 exp=7FDC8083161E66F660
9293.50ns INFO [00009295] * RD COMPARE * port=1 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9293.50ns INFO [00009295] Port=1 RD @04
9294.50ns INFO [00009296] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC
9295.50ns INFO [00009297] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F
9295.50ns INFO [00009297] Port=0 WR @00=0B09E75DCF78B4ADE0
9296.50ns INFO [00009298] Port=0 WR @06=CD0CB589BB6E1DD1C3
9297.50ns INFO [00009299] Port=0 WR @06=448374725E98887AD6
9297.50ns INFO [00009299] Port=0 RD @05
9298.00ns INFO [00009300] [00009300] ...tick...
9298.50ns INFO [00009300] Port=0 RD @06
9298.50ns INFO [00009300] Port=1 RD @05
9299.50ns INFO [00009301] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9299.50ns INFO [00009301] Port=1 RD @07
9300.50ns INFO [00009302] * RD COMPARE * port=0 adr=06 act=448374725E98887AD6 exp=448374725E98887AD6
9300.50ns INFO [00009302] * RD COMPARE * port=1 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9300.50ns INFO [00009302] Port=1 RD @01
9301.50ns INFO [00009303] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B
9301.50ns INFO [00009303] Port=0 RD @05
9302.50ns INFO [00009304] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC
9302.50ns INFO [00009304] Port=0 WR @05=F5B7D90DC779B390F9
9302.50ns INFO [00009304] Port=0 RD @01
9303.50ns INFO [00009305] * RD COMPARE * port=0 adr=05 act=642D726FC4FF905001 exp=642D726FC4FF905001
9304.50ns INFO [00009306] * RD COMPARE * port=0 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC
9304.50ns INFO [00009306] Port=0 WR @05=BC27D8506621AE4827
9304.50ns INFO [00009306] Port=1 RD @07
9306.50ns INFO [00009308] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B
9306.50ns INFO [00009308] Port=0 WR @05=5CA4EE41CA98A9604B
9306.50ns INFO [00009308] Port=0 RD @04
9306.50ns INFO [00009308] Port=1 RD @01
9308.50ns INFO [00009310] * RD COMPARE * port=0 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F
9308.50ns INFO [00009310] * RD COMPARE * port=1 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC
9308.50ns INFO [00009310] Port=0 RD @06
9309.50ns INFO [00009311] Port=1 RD @07
9310.50ns INFO [00009312] * RD COMPARE * port=0 adr=06 act=448374725E98887AD6 exp=448374725E98887AD6
9310.50ns INFO [00009312] Port=0 RD @00
9310.50ns INFO [00009312] Port=1 RD @04
9311.50ns INFO [00009313] * RD COMPARE * port=1 adr=07 act=DED6CA8B271FA2A51B exp=DED6CA8B271FA2A51B
9311.50ns INFO [00009313] Port=1 RD @05
9312.50ns INFO [00009314] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9312.50ns INFO [00009314] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F
9312.50ns INFO [00009314] Port=0 RD @05
9313.50ns INFO [00009315] * RD COMPARE * port=1 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9313.50ns INFO [00009315] Port=0 RD @00
9314.50ns INFO [00009316] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9315.50ns INFO [00009317] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9315.50ns INFO [00009317] Port=0 RD @05
9315.50ns INFO [00009317] Port=1 RD @02
9316.50ns INFO [00009318] Port=1 RD @04
9317.50ns INFO [00009319] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9317.50ns INFO [00009319] * RD COMPARE * port=1 adr=02 act=80CC2468F7CF463DC3 exp=80CC2468F7CF463DC3
9317.50ns INFO [00009319] Port=0 WR @04=9D012F9B71CC73E112
9317.50ns INFO [00009319] Port=0 RD @05
9317.50ns INFO [00009319] Port=1 RD @03
9318.50ns INFO [00009320] * RD COMPARE * port=1 adr=04 act=50D7DD46213BAF5C9F exp=50D7DD46213BAF5C9F
9318.50ns INFO [00009320] Port=0 WR @06=356EA0F9F51FF54D9A
9318.50ns INFO [00009320] Port=0 RD @01
9319.50ns INFO [00009321] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9319.50ns INFO [00009321] * RD COMPARE * port=1 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9320.50ns INFO [00009322] * RD COMPARE * port=0 adr=01 act=FB2F2D0D88CE9E93EC exp=FB2F2D0D88CE9E93EC
9320.50ns INFO [00009322] Port=0 WR @01=F50F7C258612DC1A9B
9322.50ns INFO [00009324] Port=0 WR @07=143630DA475CBB9D99
9322.50ns INFO [00009324] Port=0 RD @03
9323.50ns INFO [00009325] Port=0 RD @05
9324.50ns INFO [00009326] * RD COMPARE * port=0 adr=03 act=FE6C3AC6B8F1D9F4F4 exp=FE6C3AC6B8F1D9F4F4
9324.50ns INFO [00009326] Port=0 WR @03=ACFD91722ACAD8E690
9324.50ns INFO [00009326] Port=0 RD @04
9325.50ns INFO [00009327] * RD COMPARE * port=0 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9325.50ns INFO [00009327] Port=1 RD @04
9326.50ns INFO [00009328] * RD COMPARE * port=0 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112
9326.50ns INFO [00009328] Port=0 WR @02=1CB708466758658BAB
9327.50ns INFO [00009329] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112
9327.50ns INFO [00009329] Port=0 WR @07=2979DE9BE6C2F9DB58
9327.50ns INFO [00009329] Port=0 RD @03
9327.50ns INFO [00009329] Port=1 RD @04
9328.50ns INFO [00009330] Port=1 RD @05
9329.50ns INFO [00009331] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690
9329.50ns INFO [00009331] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112
9329.50ns INFO [00009331] Port=0 WR @06=E7DE6543219597B6C0
9330.50ns INFO [00009332] * RD COMPARE * port=1 adr=05 act=5CA4EE41CA98A9604B exp=5CA4EE41CA98A9604B
9330.50ns INFO [00009332] Port=1 RD @03
9331.50ns INFO [00009333] Port=0 RD @01
9332.50ns INFO [00009334] * RD COMPARE * port=1 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690
9332.50ns INFO [00009334] Port=0 RD @03
9332.50ns INFO [00009334] Port=1 RD @00
9333.50ns INFO [00009335] * RD COMPARE * port=0 adr=01 act=F50F7C258612DC1A9B exp=F50F7C258612DC1A9B
9333.50ns INFO [00009335] Port=0 WR @05=6766054D3859C5AA23
9333.50ns INFO [00009335] Port=0 RD @06
9334.50ns INFO [00009336] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690
9334.50ns INFO [00009336] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9335.50ns INFO [00009337] * RD COMPARE * port=0 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0
9335.50ns INFO [00009337] Port=0 RD @03
9336.50ns INFO [00009338] Port=0 WR @03=1E95737C84BBB2A1A3
9336.50ns INFO [00009338] Port=0 RD @06
9337.50ns INFO [00009339] * RD COMPARE * port=0 adr=03 act=ACFD91722ACAD8E690 exp=ACFD91722ACAD8E690
9337.50ns INFO [00009339] Port=1 RD @00
9338.50ns INFO [00009340] * RD COMPARE * port=0 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0
9339.50ns INFO [00009341] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9339.50ns INFO [00009341] Port=1 RD @04
9340.50ns INFO [00009342] Port=0 WR @01=CBF1E112D0FBC237A9
9340.50ns INFO [00009342] Port=1 RD @00
9341.50ns INFO [00009343] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112
9342.50ns INFO [00009344] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9342.50ns INFO [00009344] Port=0 RD @07
9342.50ns INFO [00009344] Port=1 RD @04
9343.50ns INFO [00009345] Port=1 RD @01
9344.50ns INFO [00009346] * RD COMPARE * port=0 adr=07 act=2979DE9BE6C2F9DB58 exp=2979DE9BE6C2F9DB58
9344.50ns INFO [00009346] * RD COMPARE * port=1 adr=04 act=9D012F9B71CC73E112 exp=9D012F9B71CC73E112
9344.50ns INFO [00009346] Port=0 WR @05=A28E613F72EB992BBD
9345.50ns INFO [00009347] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9345.50ns INFO [00009347] Port=1 RD @00
9346.50ns INFO [00009348] Port=0 WR @05=DC3DC9B44FFB0049B0
9346.50ns INFO [00009348] Port=0 RD @07
9346.50ns INFO [00009348] Port=1 RD @06
9347.50ns INFO [00009349] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9348.50ns INFO [00009350] * RD COMPARE * port=0 adr=07 act=2979DE9BE6C2F9DB58 exp=2979DE9BE6C2F9DB58
9348.50ns INFO [00009350] * RD COMPARE * port=1 adr=06 act=E7DE6543219597B6C0 exp=E7DE6543219597B6C0
9348.50ns INFO [00009350] Port=0 RD @00
9349.50ns INFO [00009351] Port=0 RD @05
9350.50ns INFO [00009352] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9350.50ns INFO [00009352] Port=0 WR @02=9A0A945D1E29E718EA
9350.50ns INFO [00009352] Port=1 RD @00
9351.50ns INFO [00009353] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9352.50ns INFO [00009354] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9352.50ns INFO [00009354] Port=0 WR @06=237295C5FDD803C0C4
9352.50ns INFO [00009354] Port=0 RD @01
9353.50ns INFO [00009355] Port=0 WR @02=688C2E8BEDE27690F5
9353.50ns INFO [00009355] Port=0 RD @01
9354.50ns INFO [00009356] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9355.50ns INFO [00009357] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9355.50ns INFO [00009357] Port=1 RD @01
9356.50ns INFO [00009358] Port=0 WR @04=CC382627029370E66F
9357.50ns INFO [00009359] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9358.50ns INFO [00009360] Port=0 RD @05
9359.50ns INFO [00009361] Port=0 WR @04=54BA2577D36CFBFEBB
9359.50ns INFO [00009361] Port=1 RD @06
9360.50ns INFO [00009362] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9360.50ns INFO [00009362] Port=0 RD @04
9361.50ns INFO [00009363] * RD COMPARE * port=1 adr=06 act=237295C5FDD803C0C4 exp=237295C5FDD803C0C4
9362.50ns INFO [00009364] * RD COMPARE * port=0 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB
9362.50ns INFO [00009364] Port=0 RD @01
9362.50ns INFO [00009364] Port=1 RD @02
9363.50ns INFO [00009365] Port=1 RD @03
9364.50ns INFO [00009366] * RD COMPARE * port=0 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9364.50ns INFO [00009366] * RD COMPARE * port=1 adr=02 act=688C2E8BEDE27690F5 exp=688C2E8BEDE27690F5
9364.50ns INFO [00009366] Port=0 WR @07=17939703AE0F3C9D3E
9365.50ns INFO [00009367] * RD COMPARE * port=1 adr=03 act=1E95737C84BBB2A1A3 exp=1E95737C84BBB2A1A3
9365.50ns INFO [00009367] Port=0 WR @02=76414B54436BB1F508
9365.50ns INFO [00009367] Port=0 RD @07
9365.50ns INFO [00009367] Port=1 RD @04
9366.50ns INFO [00009368] Port=0 WR @06=98B79284F865F7397C
9366.50ns INFO [00009368] Port=0 RD @03
9367.50ns INFO [00009369] * RD COMPARE * port=0 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E
9367.50ns INFO [00009369] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB
9368.50ns INFO [00009370] * RD COMPARE * port=0 adr=03 act=1E95737C84BBB2A1A3 exp=1E95737C84BBB2A1A3
9368.50ns INFO [00009370] Port=1 RD @07
9369.50ns INFO [00009371] Port=0 WR @06=8B89E220F25C4926DD
9370.50ns INFO [00009372] * RD COMPARE * port=1 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E
9370.50ns INFO [00009372] Port=1 RD @07
9371.50ns INFO [00009373] Port=0 WR @06=7AB72EFF7A6C588E78
9372.50ns INFO [00009374] * RD COMPARE * port=1 adr=07 act=17939703AE0F3C9D3E exp=17939703AE0F3C9D3E
9373.50ns INFO [00009375] Port=0 RD @05
9373.50ns INFO [00009375] Port=1 RD @01
9374.50ns INFO [00009376] Port=1 RD @05
9375.50ns INFO [00009377] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9375.50ns INFO [00009377] * RD COMPARE * port=1 adr=01 act=CBF1E112D0FBC237A9 exp=CBF1E112D0FBC237A9
9376.50ns INFO [00009378] * RD COMPARE * port=1 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9376.50ns INFO [00009378] Port=1 RD @02
9378.50ns INFO [00009380] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508
9379.50ns INFO [00009381] Port=0 RD @04
9380.50ns INFO [00009382] Port=0 RD @05
9380.50ns INFO [00009382] Port=1 RD @04
9381.50ns INFO [00009383] * RD COMPARE * port=0 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB
9381.50ns INFO [00009383] Port=0 WR @03=4579A0BBAD03947918
9382.50ns INFO [00009384] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9382.50ns INFO [00009384] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB
9384.50ns INFO [00009386] Port=0 WR @07=3BE22B2C89DDDAA1BC
9384.50ns INFO [00009386] Port=0 RD @05
9385.50ns INFO [00009387] Port=0 WR @05=69844284967B0C294E
9386.50ns INFO [00009388] * RD COMPARE * port=0 adr=05 act=DC3DC9B44FFB0049B0 exp=DC3DC9B44FFB0049B0
9386.50ns INFO [00009388] Port=0 WR @06=ADBDF6A6AE8150C432
9386.50ns INFO [00009388] Port=0 RD @05
9386.50ns INFO [00009388] Port=1 RD @02
9388.50ns INFO [00009390] * RD COMPARE * port=0 adr=05 act=69844284967B0C294E exp=69844284967B0C294E
9388.50ns INFO [00009390] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508
9388.50ns INFO [00009390] Port=1 RD @05
9389.50ns INFO [00009391] Port=0 WR @05=6A6F477C695CF7B411
9390.50ns INFO [00009392] * RD COMPARE * port=1 adr=05 act=69844284967B0C294E exp=69844284967B0C294E
9390.50ns INFO [00009392] Port=0 RD @00
9390.50ns INFO [00009392] Port=1 RD @04
9391.50ns INFO [00009393] Port=0 WR @01=94C88A2B4D821301DE
9391.50ns INFO [00009393] Port=0 RD @06
9392.50ns INFO [00009394] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9392.50ns INFO [00009394] * RD COMPARE * port=1 adr=04 act=54BA2577D36CFBFEBB exp=54BA2577D36CFBFEBB
9392.50ns INFO [00009394] Port=0 RD @05
9393.50ns INFO [00009395] * RD COMPARE * port=0 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432
9393.50ns INFO [00009395] Port=0 WR @01=5FB97A9550604F273A
9394.50ns INFO [00009396] * RD COMPARE * port=0 adr=05 act=6A6F477C695CF7B411 exp=6A6F477C695CF7B411
9394.50ns INFO [00009396] Port=0 RD @07
9394.50ns INFO [00009396] Port=1 RD @01
9395.50ns INFO [00009397] Port=0 RD @05
9395.50ns INFO [00009397] Port=1 RD @00
9396.50ns INFO [00009398] * RD COMPARE * port=0 adr=07 act=3BE22B2C89DDDAA1BC exp=3BE22B2C89DDDAA1BC
9396.50ns INFO [00009398] * RD COMPARE * port=1 adr=01 act=5FB97A9550604F273A exp=5FB97A9550604F273A
9396.50ns INFO [00009398] Port=0 WR @07=1BFB59FBEDA306C7CA
9396.50ns INFO [00009398] Port=1 RD @02
9397.50ns INFO [00009399] * RD COMPARE * port=0 adr=05 act=6A6F477C695CF7B411 exp=6A6F477C695CF7B411
9397.50ns INFO [00009399] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9397.50ns INFO [00009399] Port=0 WR @02=61FA6B2BA1956F4E26
9397.50ns INFO [00009399] Port=0 RD @06
9397.50ns INFO [00009399] Port=1 RD @06
9398.00ns INFO [00009400] [00009400] ...tick...
9398.50ns INFO [00009400] * RD COMPARE * port=1 adr=02 act=76414B54436BB1F508 exp=76414B54436BB1F508
9398.50ns INFO [00009400] Port=1 RD @00
9399.50ns INFO [00009401] * RD COMPARE * port=0 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432
9399.50ns INFO [00009401] * RD COMPARE * port=1 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432
9400.50ns INFO [00009402] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9400.50ns INFO [00009402] Port=0 WR @04=FBD810B2F04A991244
9401.50ns INFO [00009403] Port=0 WR @05=CA89BD53732BD2E858
9402.50ns INFO [00009404] Port=1 RD @04
9403.50ns INFO [00009405] Port=0 WR @05=8B9093FFB4D2384F0D
9403.50ns INFO [00009405] Port=0 RD @00
9403.50ns INFO [00009405] Port=1 RD @00
9404.50ns INFO [00009406] * RD COMPARE * port=1 adr=04 act=FBD810B2F04A991244 exp=FBD810B2F04A991244
9404.50ns INFO [00009406] Port=0 RD @02
9404.50ns INFO [00009406] Port=1 RD @01
9405.50ns INFO [00009407] * RD COMPARE * port=0 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9405.50ns INFO [00009407] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9405.50ns INFO [00009407] Port=0 WR @03=F8341C948C2DED28EF
9405.50ns INFO [00009407] Port=1 RD @06
9406.50ns INFO [00009408] * RD COMPARE * port=0 adr=02 act=61FA6B2BA1956F4E26 exp=61FA6B2BA1956F4E26
9406.50ns INFO [00009408] * RD COMPARE * port=1 adr=01 act=5FB97A9550604F273A exp=5FB97A9550604F273A
9407.50ns INFO [00009409] * RD COMPARE * port=1 adr=06 act=ADBDF6A6AE8150C432 exp=ADBDF6A6AE8150C432
9407.50ns INFO [00009409] Port=0 WR @05=22F68C228C395AD17E
9408.50ns INFO [00009410] Port=0 WR @06=93683511ED034B04C2
9409.50ns INFO [00009411] Port=0 WR @07=2582F91CE493E319DD
9410.50ns INFO [00009412] Port=0 WR @01=DD56DFE39EFD9EBAB4
9410.50ns INFO [00009412] Port=0 RD @05
9411.50ns INFO [00009413] Port=0 RD @06
9412.50ns INFO [00009414] * RD COMPARE * port=0 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E
9413.50ns INFO [00009415] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2
9413.50ns INFO [00009415] Port=0 RD @03
9413.50ns INFO [00009415] Port=1 RD @00
9415.50ns INFO [00009417] * RD COMPARE * port=0 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF
9415.50ns INFO [00009417] * RD COMPARE * port=1 adr=00 act=0B09E75DCF78B4ADE0 exp=0B09E75DCF78B4ADE0
9415.50ns INFO [00009417] Port=1 RD @03
9416.50ns INFO [00009418] Port=0 WR @04=5F2BDB61F1C55A712E
9416.50ns INFO [00009418] Port=0 RD @03
9416.50ns INFO [00009418] Port=1 RD @05
9417.50ns INFO [00009419] * RD COMPARE * port=1 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF
9417.50ns INFO [00009419] Port=0 WR @00=ADF0464648DF863047
9418.50ns INFO [00009420] * RD COMPARE * port=0 adr=03 act=F8341C948C2DED28EF exp=F8341C948C2DED28EF
9418.50ns INFO [00009420] * RD COMPARE * port=1 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E
9419.50ns INFO [00009421] Port=0 RD @01
9419.50ns INFO [00009421] Port=1 RD @06
9420.50ns INFO [00009422] Port=0 WR @02=81883512FFEB4039CE
9420.50ns INFO [00009422] Port=0 RD @06
9420.50ns INFO [00009422] Port=1 RD @04
9421.50ns INFO [00009423] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4
9421.50ns INFO [00009423] * RD COMPARE * port=1 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2
9421.50ns INFO [00009423] Port=0 WR @03=5E15D219FABA965918
9422.50ns INFO [00009424] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2
9422.50ns INFO [00009424] * RD COMPARE * port=1 adr=04 act=5F2BDB61F1C55A712E exp=5F2BDB61F1C55A712E
9422.50ns INFO [00009424] Port=0 WR @02=6F9EB9953BB4923686
9423.50ns INFO [00009425] Port=0 RD @06
9425.50ns INFO [00009427] * RD COMPARE * port=0 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2
9425.50ns INFO [00009427] Port=0 WR @00=5EEEE7FB78FACD0849
9426.50ns INFO [00009428] Port=0 WR @03=C1101688EC2543E0FD
9428.50ns INFO [00009430] Port=0 WR @04=0041372CA6F91AEC49
9428.50ns INFO [00009430] Port=1 RD @03
9430.50ns INFO [00009432] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9430.50ns INFO [00009432] Port=0 WR @04=8E3BA20DE570450178
9430.50ns INFO [00009432] Port=0 RD @05
9430.50ns INFO [00009432] Port=1 RD @00
9431.50ns INFO [00009433] Port=0 WR @02=0B6A3C4B1567367289
9432.50ns INFO [00009434] * RD COMPARE * port=0 adr=05 act=22F68C228C395AD17E exp=22F68C228C395AD17E
9432.50ns INFO [00009434] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849
9432.50ns INFO [00009434] Port=0 WR @07=549C2855D6343F2B8D
9433.50ns INFO [00009435] Port=0 WR @05=260941F6785AA293E0
9433.50ns INFO [00009435] Port=1 RD @01
9434.50ns INFO [00009436] Port=1 RD @04
9435.50ns INFO [00009437] * RD COMPARE * port=1 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4
9435.50ns INFO [00009437] Port=0 WR @02=EB014A25CA3202DF9D
9435.50ns INFO [00009437] Port=0 RD @01
9436.50ns INFO [00009438] * RD COMPARE * port=1 adr=04 act=8E3BA20DE570450178 exp=8E3BA20DE570450178
9436.50ns INFO [00009438] Port=1 RD @07
9437.50ns INFO [00009439] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4
9437.50ns INFO [00009439] Port=0 WR @02=A7D6B54752605145AD
9437.50ns INFO [00009439] Port=1 RD @03
9438.50ns INFO [00009440] * RD COMPARE * port=1 adr=07 act=549C2855D6343F2B8D exp=549C2855D6343F2B8D
9438.50ns INFO [00009440] Port=0 RD @05
9439.50ns INFO [00009441] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9439.50ns INFO [00009441] Port=0 RD @01
9440.50ns INFO [00009442] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9440.50ns INFO [00009442] Port=1 RD @04
9441.50ns INFO [00009443] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4
9441.50ns INFO [00009443] Port=0 WR @07=FC48D2E52DAEC9FF5E
9442.50ns INFO [00009444] * RD COMPARE * port=1 adr=04 act=8E3BA20DE570450178 exp=8E3BA20DE570450178
9442.50ns INFO [00009444] Port=0 RD @05
9444.50ns INFO [00009446] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9445.50ns INFO [00009447] Port=0 RD @03
9445.50ns INFO [00009447] Port=1 RD @00
9447.50ns INFO [00009449] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9447.50ns INFO [00009449] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849
9448.50ns INFO [00009450] Port=1 RD @07
9450.50ns INFO [00009452] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9450.50ns INFO [00009452] Port=0 RD @01
9451.50ns INFO [00009453] Port=0 WR @01=613AF30B2843A4A52C
9452.50ns INFO [00009454] * RD COMPARE * port=0 adr=01 act=DD56DFE39EFD9EBAB4 exp=DD56DFE39EFD9EBAB4
9452.50ns INFO [00009454] Port=0 RD @03
9453.50ns INFO [00009455] Port=0 RD @05
9454.50ns INFO [00009456] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9454.50ns INFO [00009456] Port=0 RD @05
9455.50ns INFO [00009457] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9456.50ns INFO [00009458] * RD COMPARE * port=0 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9456.50ns INFO [00009458] Port=0 WR @04=5666BAE1732DE9F2FC
9456.50ns INFO [00009458] Port=0 RD @03
9456.50ns INFO [00009458] Port=1 RD @00
9457.50ns INFO [00009459] Port=0 WR @00=CFC63BF1AFDD90844D
9458.50ns INFO [00009460] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9458.50ns INFO [00009460] * RD COMPARE * port=1 adr=00 act=5EEEE7FB78FACD0849 exp=5EEEE7FB78FACD0849
9458.50ns INFO [00009460] Port=0 RD @03
9458.50ns INFO [00009460] Port=1 RD @06
9460.50ns INFO [00009462] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9460.50ns INFO [00009462] * RD COMPARE * port=1 adr=06 act=93683511ED034B04C2 exp=93683511ED034B04C2
9460.50ns INFO [00009462] Port=0 RD @03
9461.50ns INFO [00009463] Port=0 RD @07
9461.50ns INFO [00009463] Port=1 RD @07
9462.50ns INFO [00009464] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9462.50ns INFO [00009464] Port=1 RD @01
9463.50ns INFO [00009465] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9463.50ns INFO [00009465] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9463.50ns INFO [00009465] Port=0 RD @07
9464.50ns INFO [00009466] * RD COMPARE * port=1 adr=01 act=613AF30B2843A4A52C exp=613AF30B2843A4A52C
9464.50ns INFO [00009466] Port=1 RD @07
9465.50ns INFO [00009467] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9465.50ns INFO [00009467] Port=1 RD @05
9466.50ns INFO [00009468] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9466.50ns INFO [00009468] Port=0 WR @01=1A16DA7C021A8E7696
9466.50ns INFO [00009468] Port=0 RD @04
9466.50ns INFO [00009468] Port=1 RD @00
9467.50ns INFO [00009469] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9467.50ns INFO [00009469] Port=0 WR @00=AA91AAA56BC9B6304C
9467.50ns INFO [00009469] Port=0 RD @03
9467.50ns INFO [00009469] Port=1 RD @03
9468.50ns INFO [00009470] * RD COMPARE * port=0 adr=04 act=5666BAE1732DE9F2FC exp=5666BAE1732DE9F2FC
9468.50ns INFO [00009470] * RD COMPARE * port=1 adr=00 act=CFC63BF1AFDD90844D exp=CFC63BF1AFDD90844D
9469.50ns INFO [00009471] * RD COMPARE * port=0 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9469.50ns INFO [00009471] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9469.50ns INFO [00009471] Port=1 RD @05
9470.50ns INFO [00009472] Port=0 WR @06=7CE602F3108C60F283
9470.50ns INFO [00009472] Port=0 RD @04
9471.50ns INFO [00009473] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9471.50ns INFO [00009473] Port=0 RD @01
9471.50ns INFO [00009473] Port=1 RD @03
9472.50ns INFO [00009474] * RD COMPARE * port=0 adr=04 act=5666BAE1732DE9F2FC exp=5666BAE1732DE9F2FC
9472.50ns INFO [00009474] Port=0 WR @04=CFA8249E2898DA018D
9472.50ns INFO [00009474] Port=0 RD @02
9473.50ns INFO [00009475] * RD COMPARE * port=0 adr=01 act=1A16DA7C021A8E7696 exp=1A16DA7C021A8E7696
9473.50ns INFO [00009475] * RD COMPARE * port=1 adr=03 act=C1101688EC2543E0FD exp=C1101688EC2543E0FD
9473.50ns INFO [00009475] Port=0 WR @03=03F072C38DD51C1E71
9473.50ns INFO [00009475] Port=0 RD @02
9474.50ns INFO [00009476] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD
9475.50ns INFO [00009477] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD
9475.50ns INFO [00009477] Port=0 WR @03=F2AE4B377027CE6A18
9475.50ns INFO [00009477] Port=0 RD @02
9475.50ns INFO [00009477] Port=1 RD @04
9476.50ns INFO [00009478] Port=0 WR @01=E9546F3E2E92554218
9476.50ns INFO [00009478] Port=1 RD @06
9477.50ns INFO [00009479] * RD COMPARE * port=0 adr=02 act=A7D6B54752605145AD exp=A7D6B54752605145AD
9477.50ns INFO [00009479] * RD COMPARE * port=1 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D
9477.50ns INFO [00009479] Port=0 RD @07
9477.50ns INFO [00009479] Port=1 RD @05
9478.50ns INFO [00009480] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9478.50ns INFO [00009480] Port=1 RD @01
9479.50ns INFO [00009481] * RD COMPARE * port=0 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9479.50ns INFO [00009481] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9480.50ns INFO [00009482] * RD COMPARE * port=1 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218
9480.50ns INFO [00009482] Port=0 WR @02=5724B04738782384CD
9480.50ns INFO [00009482] Port=1 RD @04
9481.50ns INFO [00009483] Port=0 RD @01
9482.50ns INFO [00009484] * RD COMPARE * port=1 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D
9482.50ns INFO [00009484] Port=0 WR @00=E9EB06E324A3E774C6
9482.50ns INFO [00009484] Port=1 RD @05
9483.50ns INFO [00009485] * RD COMPARE * port=0 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218
9483.50ns INFO [00009485] Port=0 WR @05=D8B0A5B32AAEB7063B
9483.50ns INFO [00009485] Port=0 RD @01
9483.50ns INFO [00009485] Port=1 RD @02
9484.50ns INFO [00009486] * RD COMPARE * port=1 adr=05 act=260941F6785AA293E0 exp=260941F6785AA293E0
9484.50ns INFO [00009486] Port=0 WR @00=4FEE49E42999D02D67
9484.50ns INFO [00009486] Port=1 RD @05
9485.50ns INFO [00009487] * RD COMPARE * port=0 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218
9485.50ns INFO [00009487] * RD COMPARE * port=1 adr=02 act=5724B04738782384CD exp=5724B04738782384CD
9485.50ns INFO [00009487] Port=0 WR @05=19EA129E8419D721AC
9486.50ns INFO [00009488] * RD COMPARE * port=1 adr=05 act=D8B0A5B32AAEB7063B exp=D8B0A5B32AAEB7063B
9486.50ns INFO [00009488] Port=0 WR @00=C5BCEFA54EF9F52B76
9486.50ns INFO [00009488] Port=0 RD @04
9486.50ns INFO [00009488] Port=1 RD @01
9487.50ns INFO [00009489] Port=0 RD @03
9487.50ns INFO [00009489] Port=1 RD @00
9488.50ns INFO [00009490] * RD COMPARE * port=0 adr=04 act=CFA8249E2898DA018D exp=CFA8249E2898DA018D
9488.50ns INFO [00009490] * RD COMPARE * port=1 adr=01 act=E9546F3E2E92554218 exp=E9546F3E2E92554218
9488.50ns INFO [00009490] Port=0 WR @04=C3A55C5C3CAD5C8E3B
9488.50ns INFO [00009490] Port=1 RD @06
9489.50ns INFO [00009491] * RD COMPARE * port=0 adr=03 act=F2AE4B377027CE6A18 exp=F2AE4B377027CE6A18
9489.50ns INFO [00009491] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9489.50ns INFO [00009491] Port=0 WR @02=90678890DD77B3E16B
9489.50ns INFO [00009491] Port=0 RD @04
9490.50ns INFO [00009492] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9490.50ns INFO [00009492] Port=0 WR @01=9F68DAC196245085A4
9491.50ns INFO [00009493] * RD COMPARE * port=0 adr=04 act=C3A55C5C3CAD5C8E3B exp=C3A55C5C3CAD5C8E3B
9491.50ns INFO [00009493] Port=1 RD @01
9492.50ns INFO [00009494] Port=0 RD @00
9493.50ns INFO [00009495] * RD COMPARE * port=1 adr=01 act=9F68DAC196245085A4 exp=9F68DAC196245085A4
9493.50ns INFO [00009495] Port=0 WR @01=421174E4A31C9D2EE5
9493.50ns INFO [00009495] Port=0 RD @04
9494.50ns INFO [00009496] * RD COMPARE * port=0 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9494.50ns INFO [00009496] Port=0 RD @03
9494.50ns INFO [00009496] Port=1 RD @07
9495.50ns INFO [00009497] * RD COMPARE * port=0 adr=04 act=C3A55C5C3CAD5C8E3B exp=C3A55C5C3CAD5C8E3B
9496.50ns INFO [00009498] * RD COMPARE * port=0 adr=03 act=F2AE4B377027CE6A18 exp=F2AE4B377027CE6A18
9496.50ns INFO [00009498] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9496.50ns INFO [00009498] Port=1 RD @00
9497.50ns INFO [00009499] Port=0 WR @03=3FAABAB984A720EB92
9497.50ns INFO [00009499] Port=1 RD @07
9498.00ns INFO [00009500] [00009500] ...tick...
9498.50ns INFO [00009500] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9499.50ns INFO [00009501] * RD COMPARE * port=1 adr=07 act=FC48D2E52DAEC9FF5E exp=FC48D2E52DAEC9FF5E
9499.50ns INFO [00009501] Port=0 WR @01=909E3B6FD0C1C243F5
9499.50ns INFO [00009501] Port=1 RD @05
9500.50ns INFO [00009502] Port=0 WR @07=A5535D0FD96C1E0A5B
9501.50ns INFO [00009503] * RD COMPARE * port=1 adr=05 act=19EA129E8419D721AC exp=19EA129E8419D721AC
9502.50ns INFO [00009504] Port=0 WR @04=CDA56C6F3C9A61501B
9502.50ns INFO [00009504] Port=1 RD @02
9504.50ns INFO [00009506] * RD COMPARE * port=1 adr=02 act=90678890DD77B3E16B exp=90678890DD77B3E16B
9504.50ns INFO [00009506] Port=0 WR @02=02D78211640AFCB2CF
9504.50ns INFO [00009506] Port=1 RD @07
9505.50ns INFO [00009507] Port=0 WR @05=248AC521655E1AAB92
9505.50ns INFO [00009507] Port=0 RD @03
9506.50ns INFO [00009508] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B
9506.50ns INFO [00009508] Port=1 RD @00
9507.50ns INFO [00009509] * RD COMPARE * port=0 adr=03 act=3FAABAB984A720EB92 exp=3FAABAB984A720EB92
9507.50ns INFO [00009509] Port=0 RD @03
9507.50ns INFO [00009509] Port=1 RD @06
9508.50ns INFO [00009510] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9508.50ns INFO [00009510] Port=0 WR @02=99835889A18FE3F16C
9508.50ns INFO [00009510] Port=0 RD @00
9509.50ns INFO [00009511] * RD COMPARE * port=0 adr=03 act=3FAABAB984A720EB92 exp=3FAABAB984A720EB92
9509.50ns INFO [00009511] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9509.50ns INFO [00009511] Port=0 WR @04=A1893987E373C57D5C
9510.50ns INFO [00009512] * RD COMPARE * port=0 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9510.50ns INFO [00009512] Port=0 WR @03=E9B3605F0DBD7607D5
9510.50ns INFO [00009512] Port=0 RD @05
9511.50ns INFO [00009513] Port=0 RD @02
9512.50ns INFO [00009514] * RD COMPARE * port=0 adr=05 act=248AC521655E1AAB92 exp=248AC521655E1AAB92
9512.50ns INFO [00009514] Port=0 WR @02=2496CFA3F98C03A0AC
9513.50ns INFO [00009515] * RD COMPARE * port=0 adr=02 act=99835889A18FE3F16C exp=99835889A18FE3F16C
9515.50ns INFO [00009517] Port=0 RD @06
9515.50ns INFO [00009517] Port=1 RD @07
9516.50ns INFO [00009518] Port=0 RD @06
9517.50ns INFO [00009519] * RD COMPARE * port=0 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9517.50ns INFO [00009519] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B
9517.50ns INFO [00009519] Port=1 RD @06
9518.50ns INFO [00009520] * RD COMPARE * port=0 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9518.50ns INFO [00009520] Port=0 WR @05=D5A587CB30704507B6
9519.50ns INFO [00009521] * RD COMPARE * port=1 adr=06 act=7CE602F3108C60F283 exp=7CE602F3108C60F283
9519.50ns INFO [00009521] Port=0 WR @06=7351DC7B2353BF8443
9520.50ns INFO [00009522] Port=1 RD @04
9521.50ns INFO [00009523] Port=0 WR @03=6D1D7A8533C033DF73
9521.50ns INFO [00009523] Port=0 RD @05
9522.50ns INFO [00009524] * RD COMPARE * port=1 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C
9522.50ns INFO [00009524] Port=0 WR @03=FC26A6CC26E27583B9
9523.50ns INFO [00009525] * RD COMPARE * port=0 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6
9523.50ns INFO [00009525] Port=0 WR @01=C71D5D887A60342FCD
9523.50ns INFO [00009525] Port=1 RD @05
9525.50ns INFO [00009527] * RD COMPARE * port=1 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6
9525.50ns INFO [00009527] Port=0 RD @07
9526.50ns INFO [00009528] Port=0 RD @04
9526.50ns INFO [00009528] Port=1 RD @00
9527.50ns INFO [00009529] * RD COMPARE * port=0 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B
9527.50ns INFO [00009529] Port=0 RD @01
9528.50ns INFO [00009530] * RD COMPARE * port=0 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C
9528.50ns INFO [00009530] * RD COMPARE * port=1 adr=00 act=C5BCEFA54EF9F52B76 exp=C5BCEFA54EF9F52B76
9528.50ns INFO [00009530] Port=0 RD @04
9528.50ns INFO [00009530] Port=1 RD @05
9529.50ns INFO [00009531] * RD COMPARE * port=0 adr=01 act=C71D5D887A60342FCD exp=C71D5D887A60342FCD
9529.50ns INFO [00009531] Port=0 WR @05=139F341E5746CEABD9
9529.50ns INFO [00009531] Port=0 RD @02
9530.50ns INFO [00009532] * RD COMPARE * port=0 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C
9530.50ns INFO [00009532] * RD COMPARE * port=1 adr=05 act=D5A587CB30704507B6 exp=D5A587CB30704507B6
9531.50ns INFO [00009533] * RD COMPARE * port=0 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC
9531.50ns INFO [00009533] Port=1 RD @07
9533.50ns INFO [00009535] * RD COMPARE * port=1 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B
9533.50ns INFO [00009535] Port=1 RD @05
9534.50ns INFO [00009536] Port=0 WR @00=6BB0F73D6DD953A294
9535.50ns INFO [00009537] * RD COMPARE * port=1 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9
9535.50ns INFO [00009537] Port=0 WR @01=A3A1E9CDE7460A10E6
9536.50ns INFO [00009538] Port=0 RD @07
9537.50ns INFO [00009539] Port=0 WR @07=D67770E0675C7C0AEB
9537.50ns INFO [00009539] Port=0 RD @03
9537.50ns INFO [00009539] Port=1 RD @03
9538.50ns INFO [00009540] * RD COMPARE * port=0 adr=07 act=A5535D0FD96C1E0A5B exp=A5535D0FD96C1E0A5B
9538.50ns INFO [00009540] Port=0 RD @02
9539.50ns INFO [00009541] * RD COMPARE * port=0 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9
9539.50ns INFO [00009541] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9
9540.50ns INFO [00009542] * RD COMPARE * port=0 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC
9540.50ns INFO [00009542] Port=0 RD @00
9541.50ns INFO [00009543] Port=0 RD @05
9541.50ns INFO [00009543] Port=1 RD @02
9542.50ns INFO [00009544] * RD COMPARE * port=0 adr=00 act=6BB0F73D6DD953A294 exp=6BB0F73D6DD953A294
9543.50ns INFO [00009545] * RD COMPARE * port=0 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9
9543.50ns INFO [00009545] * RD COMPARE * port=1 adr=02 act=2496CFA3F98C03A0AC exp=2496CFA3F98C03A0AC
9545.50ns INFO [00009547] Port=0 WR @02=D0312F402F4F18FEA7
9547.50ns INFO [00009549] Port=1 RD @05
9548.50ns INFO [00009550] Port=1 RD @01
9549.50ns INFO [00009551] * RD COMPARE * port=1 adr=05 act=139F341E5746CEABD9 exp=139F341E5746CEABD9
9550.50ns INFO [00009552] * RD COMPARE * port=1 adr=01 act=A3A1E9CDE7460A10E6 exp=A3A1E9CDE7460A10E6
9550.50ns INFO [00009552] Port=0 RD @07
9551.50ns INFO [00009553] Port=0 WR @07=5381C1A7599122901B
9551.50ns INFO [00009553] Port=1 RD @03
9552.50ns INFO [00009554] * RD COMPARE * port=0 adr=07 act=D67770E0675C7C0AEB exp=D67770E0675C7C0AEB
9552.50ns INFO [00009554] Port=1 RD @03
9553.50ns INFO [00009555] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9
9553.50ns INFO [00009555] Port=0 WR @01=3F97C9A94FEBFAD8A6
9553.50ns INFO [00009555] Port=0 RD @06
9554.50ns INFO [00009556] * RD COMPARE * port=1 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9
9554.50ns INFO [00009556] Port=0 RD @00
9555.50ns INFO [00009557] * RD COMPARE * port=0 adr=06 act=7351DC7B2353BF8443 exp=7351DC7B2353BF8443
9555.50ns INFO [00009557] Port=0 WR @06=A3D22CF453B67096C5
9556.50ns INFO [00009558] * RD COMPARE * port=0 adr=00 act=6BB0F73D6DD953A294 exp=6BB0F73D6DD953A294
9556.50ns INFO [00009558] Port=0 WR @05=1804A94A47CAB1AD53
9557.50ns INFO [00009559] Port=0 WR @06=5C1BB38F35499F9A64
9558.50ns INFO [00009560] Port=0 RD @03
9559.50ns INFO [00009561] Port=1 RD @01
9560.50ns INFO [00009562] * RD COMPARE * port=0 adr=03 act=FC26A6CC26E27583B9 exp=FC26A6CC26E27583B9
9561.50ns INFO [00009563] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6
9561.50ns INFO [00009563] Port=0 WR @00=88C9D554E6169D14A7
9561.50ns INFO [00009563] Port=0 RD @06
9562.50ns INFO [00009564] Port=0 RD @06
9563.50ns INFO [00009565] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64
9563.50ns INFO [00009565] Port=0 WR @03=866D42A5DE22EE1A91
9563.50ns INFO [00009565] Port=0 RD @01
9564.50ns INFO [00009566] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64
9564.50ns INFO [00009566] Port=0 WR @00=23B441339AB23D7292
9564.50ns INFO [00009566] Port=0 RD @06
9564.50ns INFO [00009566] Port=1 RD @04
9565.50ns INFO [00009567] * RD COMPARE * port=0 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6
9566.50ns INFO [00009568] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64
9566.50ns INFO [00009568] * RD COMPARE * port=1 adr=04 act=A1893987E373C57D5C exp=A1893987E373C57D5C
9566.50ns INFO [00009568] Port=0 WR @02=C516C939797270FB31
9566.50ns INFO [00009568] Port=1 RD @01
9567.50ns INFO [00009569] Port=0 WR @04=5D727BBEA1B5AA4B20
9567.50ns INFO [00009569] Port=0 RD @06
9568.50ns INFO [00009570] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6
9568.50ns INFO [00009570] Port=0 RD @04
9569.50ns INFO [00009571] * RD COMPARE * port=0 adr=06 act=5C1BB38F35499F9A64 exp=5C1BB38F35499F9A64
9569.50ns INFO [00009571] Port=0 WR @04=AFB6FDE0447B028476
9569.50ns INFO [00009571] Port=1 RD @07
9570.50ns INFO [00009572] * RD COMPARE * port=0 adr=04 act=5D727BBEA1B5AA4B20 exp=5D727BBEA1B5AA4B20
9570.50ns INFO [00009572] Port=0 WR @06=CB454E45B6EB06C1AE
9571.50ns INFO [00009573] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9571.50ns INFO [00009573] Port=0 RD @06
9571.50ns INFO [00009573] Port=1 RD @01
9572.50ns INFO [00009574] Port=0 RD @03
9572.50ns INFO [00009574] Port=1 RD @07
9573.50ns INFO [00009575] * RD COMPARE * port=0 adr=06 act=CB454E45B6EB06C1AE exp=CB454E45B6EB06C1AE
9573.50ns INFO [00009575] * RD COMPARE * port=1 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6
9573.50ns INFO [00009575] Port=0 RD @01
9574.50ns INFO [00009576] * RD COMPARE * port=0 adr=03 act=866D42A5DE22EE1A91 exp=866D42A5DE22EE1A91
9574.50ns INFO [00009576] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9574.50ns INFO [00009576] Port=0 WR @04=81747E44F8568C2DBF
9574.50ns INFO [00009576] Port=0 RD @02
9574.50ns INFO [00009576] Port=1 RD @05
9575.50ns INFO [00009577] * RD COMPARE * port=0 adr=01 act=3F97C9A94FEBFAD8A6 exp=3F97C9A94FEBFAD8A6
9575.50ns INFO [00009577] Port=0 WR @04=1511DC7EC35A25E464
9575.50ns INFO [00009577] Port=0 RD @00
9576.50ns INFO [00009578] * RD COMPARE * port=0 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31
9576.50ns INFO [00009578] * RD COMPARE * port=1 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53
9576.50ns INFO [00009578] Port=0 WR @06=ECA3DB1B52FBFEA91E
9576.50ns INFO [00009578] Port=0 RD @00
9577.50ns INFO [00009579] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9578.50ns INFO [00009580] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9578.50ns INFO [00009580] Port=1 RD @06
9579.50ns INFO [00009581] Port=0 WR @01=6B19EFFFA3CE9AE768
9580.50ns INFO [00009582] * RD COMPARE * port=1 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E
9582.50ns INFO [00009584] Port=0 RD @05
9583.50ns INFO [00009585] Port=0 WR @04=EBDEC96AD92C73B06D
9584.50ns INFO [00009586] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53
9584.50ns INFO [00009586] Port=0 WR @03=8B0FA33F1EC477EE1A
9584.50ns INFO [00009586] Port=0 RD @02
9585.50ns INFO [00009587] Port=0 RD @05
9586.50ns INFO [00009588] * RD COMPARE * port=0 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31
9586.50ns INFO [00009588] Port=0 WR @03=813C9CF4127B081D77
9586.50ns INFO [00009588] Port=0 RD @07
9586.50ns INFO [00009588] Port=1 RD @02
9587.50ns INFO [00009589] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53
9587.50ns INFO [00009589] Port=0 RD @06
9587.50ns INFO [00009589] Port=1 RD @03
9588.50ns INFO [00009590] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9588.50ns INFO [00009590] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31
9588.50ns INFO [00009590] Port=0 RD @06
9588.50ns INFO [00009590] Port=1 RD @00
9589.50ns INFO [00009591] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E
9589.50ns INFO [00009591] * RD COMPARE * port=1 adr=03 act=813C9CF4127B081D77 exp=813C9CF4127B081D77
9589.50ns INFO [00009591] Port=0 RD @00
9589.50ns INFO [00009591] Port=1 RD @07
9590.50ns INFO [00009592] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E
9590.50ns INFO [00009592] * RD COMPARE * port=1 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9591.50ns INFO [00009593] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9591.50ns INFO [00009593] * RD COMPARE * port=1 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9591.50ns INFO [00009593] Port=0 RD @03
9591.50ns INFO [00009593] Port=1 RD @04
9593.50ns INFO [00009595] * RD COMPARE * port=0 adr=03 act=813C9CF4127B081D77 exp=813C9CF4127B081D77
9593.50ns INFO [00009595] * RD COMPARE * port=1 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D
9593.50ns INFO [00009595] Port=1 RD @02
9594.50ns INFO [00009596] Port=0 RD @04
9595.50ns INFO [00009597] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31
9595.50ns INFO [00009597] Port=1 RD @01
9596.50ns INFO [00009598] * RD COMPARE * port=0 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D
9596.50ns INFO [00009598] Port=0 WR @03=C7356A5186FD242D66
9597.50ns INFO [00009599] * RD COMPARE * port=1 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768
9598.00ns INFO [00009600] [00009600] ...tick...
9598.50ns INFO [00009600] Port=0 RD @07
9598.50ns INFO [00009600] Port=1 RD @02
9599.50ns INFO [00009601] Port=0 WR @03=558E17FEC1124A2801
9599.50ns INFO [00009601] Port=0 RD @07
9600.50ns INFO [00009602] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9600.50ns INFO [00009602] * RD COMPARE * port=1 adr=02 act=C516C939797270FB31 exp=C516C939797270FB31
9600.50ns INFO [00009602] Port=0 WR @07=359800579BCF4F11C9
9600.50ns INFO [00009602] Port=0 RD @00
9601.50ns INFO [00009603] * RD COMPARE * port=0 adr=07 act=5381C1A7599122901B exp=5381C1A7599122901B
9602.50ns INFO [00009604] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9603.50ns INFO [00009605] Port=0 WR @03=F81273FF612381FD4F
9606.50ns INFO [00009608] Port=0 WR @03=66AE784418CA84CD20
9607.50ns INFO [00009609] Port=0 RD @06
9607.50ns INFO [00009609] Port=1 RD @04
9608.50ns INFO [00009610] Port=0 RD @01
9608.50ns INFO [00009610] Port=1 RD @07
9609.50ns INFO [00009611] * RD COMPARE * port=0 adr=06 act=ECA3DB1B52FBFEA91E exp=ECA3DB1B52FBFEA91E
9609.50ns INFO [00009611] * RD COMPARE * port=1 adr=04 act=EBDEC96AD92C73B06D exp=EBDEC96AD92C73B06D
9609.50ns INFO [00009611] Port=0 RD @01
9610.50ns INFO [00009612] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768
9610.50ns INFO [00009612] * RD COMPARE * port=1 adr=07 act=359800579BCF4F11C9 exp=359800579BCF4F11C9
9610.50ns INFO [00009612] Port=0 RD @03
9610.50ns INFO [00009612] Port=1 RD @03
9611.50ns INFO [00009613] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768
9611.50ns INFO [00009613] Port=0 WR @04=3AB409C06F60C0A746
9611.50ns INFO [00009613] Port=0 RD @00
9612.50ns INFO [00009614] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9612.50ns INFO [00009614] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9612.50ns INFO [00009614] Port=0 RD @03
9613.50ns INFO [00009615] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9613.50ns INFO [00009615] Port=0 WR @02=29411B07333787B634
9614.50ns INFO [00009616] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9615.50ns INFO [00009617] Port=0 WR @06=9F5F749A6324FDC898
9616.50ns INFO [00009618] Port=0 RD @01
9617.50ns INFO [00009619] Port=0 WR @01=22BE7EC5892C701E00
9618.50ns INFO [00009620] * RD COMPARE * port=0 adr=01 act=6B19EFFFA3CE9AE768 exp=6B19EFFFA3CE9AE768
9618.50ns INFO [00009620] Port=0 WR @04=43713460422882D15E
9619.50ns INFO [00009621] Port=0 RD @03
9621.50ns INFO [00009623] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9621.50ns INFO [00009623] Port=0 WR @06=7567F83B854E5E547A
9621.50ns INFO [00009623] Port=0 RD @01
9621.50ns INFO [00009623] Port=1 RD @04
9623.50ns INFO [00009625] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00
9623.50ns INFO [00009625] * RD COMPARE * port=1 adr=04 act=43713460422882D15E exp=43713460422882D15E
9623.50ns INFO [00009625] Port=0 WR @06=194FBA75FD2F6ED3D2
9624.50ns INFO [00009626] Port=0 WR @06=E6D3D618065A2DD3B6
9625.50ns INFO [00009627] Port=0 WR @06=92854D40EFA6CD39FB
9625.50ns INFO [00009627] Port=0 RD @05
9626.50ns INFO [00009628] Port=0 WR @07=2D27E3BE69AFCDF4B0
9627.50ns INFO [00009629] * RD COMPARE * port=0 adr=05 act=1804A94A47CAB1AD53 exp=1804A94A47CAB1AD53
9627.50ns INFO [00009629] Port=0 WR @05=B5AF12F14C4DB12616
9627.50ns INFO [00009629] Port=0 RD @00
9628.50ns INFO [00009630] Port=0 RD @07
9629.50ns INFO [00009631] * RD COMPARE * port=0 adr=00 act=23B441339AB23D7292 exp=23B441339AB23D7292
9629.50ns INFO [00009631] Port=0 RD @01
9630.50ns INFO [00009632] * RD COMPARE * port=0 adr=07 act=2D27E3BE69AFCDF4B0 exp=2D27E3BE69AFCDF4B0
9630.50ns INFO [00009632] Port=1 RD @06
9631.50ns INFO [00009633] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00
9632.50ns INFO [00009634] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB
9632.50ns INFO [00009634] Port=1 RD @01
9633.50ns INFO [00009635] Port=0 WR @07=1CF3F01C8F0B6E81E0
9633.50ns INFO [00009635] Port=1 RD @06
9634.50ns INFO [00009636] * RD COMPARE * port=1 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00
9634.50ns INFO [00009636] Port=0 WR @00=7F475B22D409AEE72B
9634.50ns INFO [00009636] Port=0 RD @01
9634.50ns INFO [00009636] Port=1 RD @06
9635.50ns INFO [00009637] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB
9636.50ns INFO [00009638] * RD COMPARE * port=0 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00
9636.50ns INFO [00009638] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB
9636.50ns INFO [00009638] Port=0 RD @05
9638.50ns INFO [00009640] * RD COMPARE * port=0 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9638.50ns INFO [00009640] Port=0 RD @00
9639.50ns INFO [00009641] Port=1 RD @03
9640.50ns INFO [00009642] * RD COMPARE * port=0 adr=00 act=7F475B22D409AEE72B exp=7F475B22D409AEE72B
9640.50ns INFO [00009642] Port=0 WR @02=BD1AFC853006E9D3D7
9641.50ns INFO [00009643] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9641.50ns INFO [00009643] Port=0 RD @02
9642.50ns INFO [00009644] Port=0 WR @04=E35C6384EF7B2B4F9F
9642.50ns INFO [00009644] Port=1 RD @01
9643.50ns INFO [00009645] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9644.50ns INFO [00009646] * RD COMPARE * port=1 adr=01 act=22BE7EC5892C701E00 exp=22BE7EC5892C701E00
9645.50ns INFO [00009647] Port=0 WR @07=B20AEE3873C4DCF48C
9645.50ns INFO [00009647] Port=1 RD @02
9646.50ns INFO [00009648] Port=1 RD @03
9647.50ns INFO [00009649] * RD COMPARE * port=1 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9647.50ns INFO [00009649] Port=1 RD @06
9648.50ns INFO [00009650] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9649.50ns INFO [00009651] * RD COMPARE * port=1 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB
9649.50ns INFO [00009651] Port=0 RD @04
9650.50ns INFO [00009652] Port=0 RD @02
9651.50ns INFO [00009653] * RD COMPARE * port=0 adr=04 act=E35C6384EF7B2B4F9F exp=E35C6384EF7B2B4F9F
9652.50ns INFO [00009654] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9652.50ns INFO [00009654] Port=0 WR @01=CE964583BB9591582A
9653.50ns INFO [00009655] Port=0 WR @00=69A1B33A61A63650B0
9653.50ns INFO [00009655] Port=0 RD @06
9654.50ns INFO [00009656] Port=1 RD @03
9655.50ns INFO [00009657] * RD COMPARE * port=0 adr=06 act=92854D40EFA6CD39FB exp=92854D40EFA6CD39FB
9655.50ns INFO [00009657] Port=0 RD @02
9656.50ns INFO [00009658] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9656.50ns INFO [00009658] Port=0 RD @07
9657.50ns INFO [00009659] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9657.50ns INFO [00009659] Port=0 WR @04=B8B577CF01F73C4064
9658.50ns INFO [00009660] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9658.50ns INFO [00009660] Port=1 RD @02
9660.50ns INFO [00009662] * RD COMPARE * port=1 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9660.50ns INFO [00009662] Port=0 WR @06=20C1F7FBB6C3333303
9661.50ns INFO [00009663] Port=0 RD @03
9662.50ns INFO [00009664] Port=0 WR @06=D7458607C87064F0A1
9662.50ns INFO [00009664] Port=1 RD @00
9663.50ns INFO [00009665] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9664.50ns INFO [00009666] * RD COMPARE * port=1 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0
9665.50ns INFO [00009667] Port=0 RD @01
9666.50ns INFO [00009668] Port=0 RD @03
9667.50ns INFO [00009669] * RD COMPARE * port=0 adr=01 act=CE964583BB9591582A exp=CE964583BB9591582A
9667.50ns INFO [00009669] Port=0 RD @02
9668.50ns INFO [00009670] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9668.50ns INFO [00009670] Port=0 RD @00
9669.50ns INFO [00009671] * RD COMPARE * port=0 adr=02 act=BD1AFC853006E9D3D7 exp=BD1AFC853006E9D3D7
9669.50ns INFO [00009671] Port=0 WR @06=EA85A11370281B9C8E
9670.50ns INFO [00009672] * RD COMPARE * port=0 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0
9671.50ns INFO [00009673] Port=0 RD @07
9672.50ns INFO [00009674] Port=0 RD @03
9673.50ns INFO [00009675] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9673.50ns INFO [00009675] Port=1 RD @07
9674.50ns INFO [00009676] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9674.50ns INFO [00009676] Port=0 WR @02=9CEF09D706106CD58E
9674.50ns INFO [00009676] Port=1 RD @00
9675.50ns INFO [00009677] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9676.50ns INFO [00009678] * RD COMPARE * port=1 adr=00 act=69A1B33A61A63650B0 exp=69A1B33A61A63650B0
9676.50ns INFO [00009678] Port=0 WR @02=EAEEFBCADF0B679741
9677.50ns INFO [00009679] Port=0 RD @02
9677.50ns INFO [00009679] Port=1 RD @02
9679.50ns INFO [00009681] * RD COMPARE * port=0 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741
9679.50ns INFO [00009681] * RD COMPARE * port=1 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741
9679.50ns INFO [00009681] Port=1 RD @03
9681.50ns INFO [00009683] * RD COMPARE * port=1 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9681.50ns INFO [00009683] Port=0 RD @02
9682.50ns INFO [00009684] Port=0 WR @01=6884904746463AD7DC
9683.50ns INFO [00009685] * RD COMPARE * port=0 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741
9684.50ns INFO [00009686] Port=1 RD @02
9685.50ns INFO [00009687] Port=0 WR @01=A91FA336C2D28F5B48
9685.50ns INFO [00009687] Port=1 RD @05
9686.50ns INFO [00009688] * RD COMPARE * port=1 adr=02 act=EAEEFBCADF0B679741 exp=EAEEFBCADF0B679741
9686.50ns INFO [00009688] Port=0 RD @06
9686.50ns INFO [00009688] Port=1 RD @06
9687.50ns INFO [00009689] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9687.50ns INFO [00009689] Port=0 RD @05
9687.50ns INFO [00009689] Port=1 RD @05
9688.50ns INFO [00009690] * RD COMPARE * port=0 adr=06 act=EA85A11370281B9C8E exp=EA85A11370281B9C8E
9688.50ns INFO [00009690] * RD COMPARE * port=1 adr=06 act=EA85A11370281B9C8E exp=EA85A11370281B9C8E
9688.50ns INFO [00009690] Port=0 WR @06=90222C7ACB8CA0AE4F
9688.50ns INFO [00009690] Port=1 RD @05
9689.50ns INFO [00009691] * RD COMPARE * port=0 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9689.50ns INFO [00009691] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9690.50ns INFO [00009692] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9690.50ns INFO [00009692] Port=0 WR @01=F59AC76B831F79B10A
9692.50ns INFO [00009694] Port=0 RD @03
9692.50ns INFO [00009694] Port=1 RD @05
9693.50ns INFO [00009695] Port=0 WR @02=01707FDC04CC8085E7
9694.50ns INFO [00009696] * RD COMPARE * port=0 adr=03 act=66AE784418CA84CD20 exp=66AE784418CA84CD20
9694.50ns INFO [00009696] * RD COMPARE * port=1 adr=05 act=B5AF12F14C4DB12616 exp=B5AF12F14C4DB12616
9694.50ns INFO [00009696] Port=0 RD @01
9694.50ns INFO [00009696] Port=1 RD @07
9695.50ns INFO [00009697] Port=1 RD @07
9696.50ns INFO [00009698] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A
9696.50ns INFO [00009698] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9696.50ns INFO [00009698] Port=0 WR @06=821C0B6BFA0BD7A4CF
9696.50ns INFO [00009698] Port=0 RD @01
9697.50ns INFO [00009699] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9697.50ns INFO [00009699] Port=0 WR @03=176ABA64F92A124860
9697.50ns INFO [00009699] Port=0 RD @01
9697.50ns INFO [00009699] Port=1 RD @07
9698.00ns INFO [00009700] [00009700] ...tick...
9698.50ns INFO [00009700] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A
9698.50ns INFO [00009700] Port=1 RD @06
9699.50ns INFO [00009701] * RD COMPARE * port=0 adr=01 act=F59AC76B831F79B10A exp=F59AC76B831F79B10A
9699.50ns INFO [00009701] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9700.50ns INFO [00009702] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF
9700.50ns INFO [00009702] Port=0 WR @02=79BAF4B522B64CCB72
9700.50ns INFO [00009702] Port=0 RD @04
9700.50ns INFO [00009702] Port=1 RD @04
9701.50ns INFO [00009703] Port=0 RD @04
9702.50ns INFO [00009704] * RD COMPARE * port=0 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9702.50ns INFO [00009704] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9702.50ns INFO [00009704] Port=0 WR @05=F91F3C47BFAA94B977
9702.50ns INFO [00009704] Port=0 RD @02
9702.50ns INFO [00009704] Port=1 RD @06
9703.50ns INFO [00009705] * RD COMPARE * port=0 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9703.50ns INFO [00009705] Port=0 RD @03
9704.50ns INFO [00009706] * RD COMPARE * port=0 adr=02 act=79BAF4B522B64CCB72 exp=79BAF4B522B64CCB72
9704.50ns INFO [00009706] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF
9704.50ns INFO [00009706] Port=0 WR @01=7846E5E0CCB2A64B6B
9705.50ns INFO [00009707] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860
9705.50ns INFO [00009707] Port=0 WR @02=5A4F0285BBD3FDBA17
9705.50ns INFO [00009707] Port=1 RD @06
9706.50ns INFO [00009708] Port=1 RD @04
9707.50ns INFO [00009709] * RD COMPARE * port=1 adr=06 act=821C0B6BFA0BD7A4CF exp=821C0B6BFA0BD7A4CF
9708.50ns INFO [00009710] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9708.50ns INFO [00009710] Port=0 RD @02
9709.50ns INFO [00009711] Port=0 RD @05
9710.50ns INFO [00009712] * RD COMPARE * port=0 adr=02 act=5A4F0285BBD3FDBA17 exp=5A4F0285BBD3FDBA17
9711.50ns INFO [00009713] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977
9711.50ns INFO [00009713] Port=0 WR @00=C31123FCB0028BBF17
9711.50ns INFO [00009713] Port=0 RD @07
9713.50ns INFO [00009715] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9713.50ns INFO [00009715] Port=0 WR @02=06D17CE89C53893392
9713.50ns INFO [00009715] Port=0 RD @07
9714.50ns INFO [00009716] Port=0 WR @01=DE02AE8F90A1B0509A
9715.50ns INFO [00009717] * RD COMPARE * port=0 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9715.50ns INFO [00009717] Port=0 RD @01
9717.50ns INFO [00009719] * RD COMPARE * port=0 adr=01 act=DE02AE8F90A1B0509A exp=DE02AE8F90A1B0509A
9717.50ns INFO [00009719] Port=0 WR @06=1B5A49A6ED940B886F
9717.50ns INFO [00009719] Port=0 RD @05
9719.50ns INFO [00009721] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977
9719.50ns INFO [00009721] Port=0 WR @00=70090EE0B25C923259
9719.50ns INFO [00009721] Port=0 RD @05
9719.50ns INFO [00009721] Port=1 RD @05
9720.50ns INFO [00009722] Port=0 RD @06
9721.50ns INFO [00009723] * RD COMPARE * port=0 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977
9721.50ns INFO [00009723] * RD COMPARE * port=1 adr=05 act=F91F3C47BFAA94B977 exp=F91F3C47BFAA94B977
9721.50ns INFO [00009723] Port=1 RD @04
9722.50ns INFO [00009724] * RD COMPARE * port=0 adr=06 act=1B5A49A6ED940B886F exp=1B5A49A6ED940B886F
9722.50ns INFO [00009724] Port=1 RD @04
9723.50ns INFO [00009725] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9724.50ns INFO [00009726] * RD COMPARE * port=1 adr=04 act=B8B577CF01F73C4064 exp=B8B577CF01F73C4064
9724.50ns INFO [00009726] Port=0 RD @00
9724.50ns INFO [00009726] Port=1 RD @01
9725.50ns INFO [00009727] Port=0 RD @02
9725.50ns INFO [00009727] Port=1 RD @07
9726.50ns INFO [00009728] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9726.50ns INFO [00009728] * RD COMPARE * port=1 adr=01 act=DE02AE8F90A1B0509A exp=DE02AE8F90A1B0509A
9726.50ns INFO [00009728] Port=0 RD @00
9727.50ns INFO [00009729] * RD COMPARE * port=0 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392
9727.50ns INFO [00009729] * RD COMPARE * port=1 adr=07 act=B20AEE3873C4DCF48C exp=B20AEE3873C4DCF48C
9727.50ns INFO [00009729] Port=0 WR @01=8D7D1AA07094D276F6
9728.50ns INFO [00009730] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9728.50ns INFO [00009730] Port=1 RD @00
9729.50ns INFO [00009731] Port=1 RD @06
9730.50ns INFO [00009732] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9730.50ns INFO [00009732] Port=0 RD @03
9731.50ns INFO [00009733] * RD COMPARE * port=1 adr=06 act=1B5A49A6ED940B886F exp=1B5A49A6ED940B886F
9731.50ns INFO [00009733] Port=0 RD @03
9732.50ns INFO [00009734] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860
9733.50ns INFO [00009735] * RD COMPARE * port=0 adr=03 act=176ABA64F92A124860 exp=176ABA64F92A124860
9733.50ns INFO [00009735] Port=0 WR @05=45394BD0BF34483816
9734.50ns INFO [00009736] Port=1 RD @00
9735.50ns INFO [00009737] Port=1 RD @00
9736.50ns INFO [00009738] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9737.50ns INFO [00009739] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9737.50ns INFO [00009739] Port=0 RD @00
9738.50ns INFO [00009740] Port=0 WR @05=83370FD5A58BAC0638
9739.50ns INFO [00009741] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9739.50ns INFO [00009741] Port=0 WR @07=137A84F2B9079FB875
9739.50ns INFO [00009741] Port=1 RD @02
9741.50ns INFO [00009743] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392
9744.50ns INFO [00009746] Port=0 WR @03=121BADD7C09375FEC5
9744.50ns INFO [00009746] Port=0 RD @00
9744.50ns INFO [00009746] Port=1 RD @05
9745.50ns INFO [00009747] Port=0 WR @04=7B913E3D1044BD5C0C
9746.50ns INFO [00009748] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9746.50ns INFO [00009748] * RD COMPARE * port=1 adr=05 act=83370FD5A58BAC0638 exp=83370FD5A58BAC0638
9749.50ns INFO [00009751] Port=0 WR @06=9AD44A97A6AAF7B21E
9749.50ns INFO [00009751] Port=1 RD @07
9750.50ns INFO [00009752] Port=0 WR @05=A2DFD88D2DF94F33B2
9750.50ns INFO [00009752] Port=1 RD @02
9751.50ns INFO [00009753] * RD COMPARE * port=1 adr=07 act=137A84F2B9079FB875 exp=137A84F2B9079FB875
9752.50ns INFO [00009754] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392
9753.50ns INFO [00009755] Port=0 RD @02
9754.50ns INFO [00009756] Port=0 RD @01
9755.50ns INFO [00009757] * RD COMPARE * port=0 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392
9755.50ns INFO [00009757] Port=0 WR @05=6C1C3CB49BCA52AFB1
9755.50ns INFO [00009757] Port=1 RD @01
9756.50ns INFO [00009758] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9756.50ns INFO [00009758] Port=0 RD @06
9756.50ns INFO [00009758] Port=1 RD @05
9757.50ns INFO [00009759] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9757.50ns INFO [00009759] Port=0 WR @07=51AD090938C281264E
9758.50ns INFO [00009760] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9758.50ns INFO [00009760] * RD COMPARE * port=1 adr=05 act=6C1C3CB49BCA52AFB1 exp=6C1C3CB49BCA52AFB1
9758.50ns INFO [00009760] Port=0 RD @06
9760.50ns INFO [00009762] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9760.50ns INFO [00009762] Port=0 RD @06
9761.50ns INFO [00009763] Port=1 RD @04
9762.50ns INFO [00009764] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9763.50ns INFO [00009765] * RD COMPARE * port=1 adr=04 act=7B913E3D1044BD5C0C exp=7B913E3D1044BD5C0C
9763.50ns INFO [00009765] Port=0 WR @05=BC87CCE72F76B371E1
9764.50ns INFO [00009766] Port=0 RD @07
9766.50ns INFO [00009768] * RD COMPARE * port=0 adr=07 act=51AD090938C281264E exp=51AD090938C281264E
9766.50ns INFO [00009768] Port=1 RD @01
9767.50ns INFO [00009769] Port=0 RD @03
9767.50ns INFO [00009769] Port=1 RD @02
9768.50ns INFO [00009770] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9768.50ns INFO [00009770] Port=0 WR @03=A77A711B2DF56B4854
9768.50ns INFO [00009770] Port=0 RD @01
9769.50ns INFO [00009771] * RD COMPARE * port=0 adr=03 act=121BADD7C09375FEC5 exp=121BADD7C09375FEC5
9769.50ns INFO [00009771] * RD COMPARE * port=1 adr=02 act=06D17CE89C53893392 exp=06D17CE89C53893392
9769.50ns INFO [00009771] Port=0 WR @02=65251223761707F5C4
9769.50ns INFO [00009771] Port=0 RD @05
9770.50ns INFO [00009772] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9770.50ns INFO [00009772] Port=0 RD @07
9771.50ns INFO [00009773] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1
9771.50ns INFO [00009773] Port=1 RD @06
9772.50ns INFO [00009774] * RD COMPARE * port=0 adr=07 act=51AD090938C281264E exp=51AD090938C281264E
9772.50ns INFO [00009774] Port=1 RD @07
9773.50ns INFO [00009775] * RD COMPARE * port=1 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9773.50ns INFO [00009775] Port=0 WR @04=6772173815275CF4C8
9774.50ns INFO [00009776] * RD COMPARE * port=1 adr=07 act=51AD090938C281264E exp=51AD090938C281264E
9774.50ns INFO [00009776] Port=1 RD @01
9776.50ns INFO [00009778] * RD COMPARE * port=1 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9776.50ns INFO [00009778] Port=1 RD @05
9777.50ns INFO [00009779] Port=0 RD @01
9778.50ns INFO [00009780] * RD COMPARE * port=1 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1
9778.50ns INFO [00009780] Port=0 WR @07=EB1BDC30141D06E64D
9778.50ns INFO [00009780] Port=0 RD @06
9779.50ns INFO [00009781] * RD COMPARE * port=0 adr=01 act=8D7D1AA07094D276F6 exp=8D7D1AA07094D276F6
9780.50ns INFO [00009782] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9780.50ns INFO [00009782] Port=0 RD @00
9780.50ns INFO [00009782] Port=1 RD @04
9782.50ns INFO [00009784] * RD COMPARE * port=0 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9782.50ns INFO [00009784] * RD COMPARE * port=1 adr=04 act=6772173815275CF4C8 exp=6772173815275CF4C8
9782.50ns INFO [00009784] Port=0 WR @01=9826172A79DD445408
9782.50ns INFO [00009784] Port=0 RD @02
9783.50ns INFO [00009785] Port=0 RD @05
9784.50ns INFO [00009786] * RD COMPARE * port=0 adr=02 act=65251223761707F5C4 exp=65251223761707F5C4
9784.50ns INFO [00009786] Port=1 RD @07
9785.50ns INFO [00009787] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1
9785.50ns INFO [00009787] Port=1 RD @07
9786.50ns INFO [00009788] * RD COMPARE * port=1 adr=07 act=EB1BDC30141D06E64D exp=EB1BDC30141D06E64D
9787.50ns INFO [00009789] * RD COMPARE * port=1 adr=07 act=EB1BDC30141D06E64D exp=EB1BDC30141D06E64D
9787.50ns INFO [00009789] Port=1 RD @02
9788.50ns INFO [00009790] Port=0 WR @01=9C226C24932C44B2B5
9788.50ns INFO [00009790] Port=0 RD @05
9788.50ns INFO [00009790] Port=1 RD @04
9789.50ns INFO [00009791] * RD COMPARE * port=1 adr=02 act=65251223761707F5C4 exp=65251223761707F5C4
9789.50ns INFO [00009791] Port=0 WR @07=833C8172186505DC61
9790.50ns INFO [00009792] * RD COMPARE * port=0 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1
9790.50ns INFO [00009792] * RD COMPARE * port=1 adr=04 act=6772173815275CF4C8 exp=6772173815275CF4C8
9790.50ns INFO [00009792] Port=0 RD @01
9791.50ns INFO [00009793] Port=0 WR @02=DA806A509F7BA98031
9792.50ns INFO [00009794] * RD COMPARE * port=0 adr=01 act=9C226C24932C44B2B5 exp=9C226C24932C44B2B5
9792.50ns INFO [00009794] Port=0 WR @01=8218663E16670C13B1
9792.50ns INFO [00009794] Port=0 RD @06
9792.50ns INFO [00009794] Port=1 RD @03
9793.50ns INFO [00009795] Port=0 WR @03=F842EC94EC6CDA98DA
9793.50ns INFO [00009795] Port=0 RD @02
9794.50ns INFO [00009796] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9794.50ns INFO [00009796] * RD COMPARE * port=1 adr=03 act=A77A711B2DF56B4854 exp=A77A711B2DF56B4854
9795.50ns INFO [00009797] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9795.50ns INFO [00009797] Port=0 WR @01=D27A1898FE641C46CA
9796.50ns INFO [00009798] Port=0 WR @07=BA9E68F1FC343DCFD1
9797.50ns INFO [00009799] Port=1 RD @07
9798.00ns INFO [00009800] [00009800] ...tick...
9798.50ns INFO [00009800] Port=0 WR @04=B3722E18B98EE517E1
9798.50ns INFO [00009800] Port=0 RD @02
9799.50ns INFO [00009801] * RD COMPARE * port=1 adr=07 act=BA9E68F1FC343DCFD1 exp=BA9E68F1FC343DCFD1
9800.50ns INFO [00009802] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9800.50ns INFO [00009802] Port=1 RD @07
9801.50ns INFO [00009803] Port=0 WR @07=099C69038427E1A506
9802.50ns INFO [00009804] * RD COMPARE * port=1 adr=07 act=BA9E68F1FC343DCFD1 exp=BA9E68F1FC343DCFD1
9802.50ns INFO [00009804] Port=1 RD @03
9804.50ns INFO [00009806] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9806.50ns INFO [00009808] Port=1 RD @03
9807.50ns INFO [00009809] Port=0 WR @04=CA564A79CB616C7D91
9807.50ns INFO [00009809] Port=0 RD @07
9807.50ns INFO [00009809] Port=1 RD @05
9808.50ns INFO [00009810] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9809.50ns INFO [00009811] * RD COMPARE * port=0 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506
9809.50ns INFO [00009811] * RD COMPARE * port=1 adr=05 act=BC87CCE72F76B371E1 exp=BC87CCE72F76B371E1
9809.50ns INFO [00009811] Port=0 RD @07
9809.50ns INFO [00009811] Port=1 RD @04
9810.50ns INFO [00009812] Port=0 WR @01=24C92287F91290E138
9810.50ns INFO [00009812] Port=1 RD @04
9811.50ns INFO [00009813] * RD COMPARE * port=0 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506
9811.50ns INFO [00009813] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9811.50ns INFO [00009813] Port=0 RD @01
9812.50ns INFO [00009814] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9812.50ns INFO [00009814] Port=1 RD @06
9813.50ns INFO [00009815] * RD COMPARE * port=0 adr=01 act=24C92287F91290E138 exp=24C92287F91290E138
9813.50ns INFO [00009815] Port=0 RD @06
9813.50ns INFO [00009815] Port=1 RD @04
9814.50ns INFO [00009816] * RD COMPARE * port=1 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9814.50ns INFO [00009816] Port=1 RD @02
9815.50ns INFO [00009817] * RD COMPARE * port=0 adr=06 act=9AD44A97A6AAF7B21E exp=9AD44A97A6AAF7B21E
9815.50ns INFO [00009817] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9815.50ns INFO [00009817] Port=0 WR @06=5AB5699E3953A59F68
9815.50ns INFO [00009817] Port=1 RD @02
9816.50ns INFO [00009818] * RD COMPARE * port=1 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9816.50ns INFO [00009818] Port=0 WR @06=54CB468FA2AAA0519E
9817.50ns INFO [00009819] * RD COMPARE * port=1 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9817.50ns INFO [00009819] Port=0 RD @02
9819.50ns INFO [00009821] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9819.50ns INFO [00009821] Port=1 RD @04
9821.50ns INFO [00009823] * RD COMPARE * port=1 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9822.50ns INFO [00009824] Port=0 RD @04
9823.50ns INFO [00009825] Port=0 RD @01
9823.50ns INFO [00009825] Port=1 RD @00
9824.50ns INFO [00009826] * RD COMPARE * port=0 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9825.50ns INFO [00009827] * RD COMPARE * port=0 adr=01 act=24C92287F91290E138 exp=24C92287F91290E138
9825.50ns INFO [00009827] * RD COMPARE * port=1 adr=00 act=70090EE0B25C923259 exp=70090EE0B25C923259
9825.50ns INFO [00009827] Port=0 WR @00=604A200EA9DBF28BC5
9826.50ns INFO [00009828] Port=0 WR @05=C6E013F0B1AE188808
9826.50ns INFO [00009828] Port=1 RD @07
9827.50ns INFO [00009829] Port=1 RD @03
9828.50ns INFO [00009830] * RD COMPARE * port=1 adr=07 act=099C69038427E1A506 exp=099C69038427E1A506
9828.50ns INFO [00009830] Port=0 RD @03
9829.50ns INFO [00009831] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9829.50ns INFO [00009831] Port=1 RD @06
9830.50ns INFO [00009832] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9830.50ns INFO [00009832] Port=0 WR @01=1B6878CD5D3632959B
9831.50ns INFO [00009833] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E
9832.50ns INFO [00009834] Port=0 WR @07=95007A0CB4B2B4E746
9832.50ns INFO [00009834] Port=1 RD @06
9833.50ns INFO [00009835] Port=1 RD @06
9834.50ns INFO [00009836] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E
9834.50ns INFO [00009836] Port=0 RD @07
9835.50ns INFO [00009837] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E
9835.50ns INFO [00009837] Port=1 RD @01
9836.50ns INFO [00009838] * RD COMPARE * port=0 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746
9836.50ns INFO [00009838] Port=1 RD @06
9837.50ns INFO [00009839] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B
9837.50ns INFO [00009839] Port=0 WR @06=57554A2195763922DB
9837.50ns INFO [00009839] Port=0 RD @03
9837.50ns INFO [00009839] Port=1 RD @05
9838.50ns INFO [00009840] * RD COMPARE * port=1 adr=06 act=54CB468FA2AAA0519E exp=54CB468FA2AAA0519E
9838.50ns INFO [00009840] Port=0 RD @05
9839.50ns INFO [00009841] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9839.50ns INFO [00009841] * RD COMPARE * port=1 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808
9840.50ns INFO [00009842] * RD COMPARE * port=0 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808
9840.50ns INFO [00009842] Port=0 RD @02
9840.50ns INFO [00009842] Port=1 RD @03
9841.50ns INFO [00009843] Port=0 WR @00=3802B74246D7912F2B
9841.50ns INFO [00009843] Port=0 RD @04
9842.50ns INFO [00009844] * RD COMPARE * port=0 adr=02 act=DA806A509F7BA98031 exp=DA806A509F7BA98031
9842.50ns INFO [00009844] * RD COMPARE * port=1 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9842.50ns INFO [00009844] Port=1 RD @00
9843.50ns INFO [00009845] * RD COMPARE * port=0 adr=04 act=CA564A79CB616C7D91 exp=CA564A79CB616C7D91
9843.50ns INFO [00009845] Port=0 WR @04=304FB61F5C6FF434DD
9844.50ns INFO [00009846] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B
9846.50ns INFO [00009848] Port=0 WR @02=F8749ABF8E3F2525CE
9846.50ns INFO [00009848] Port=0 RD @05
9846.50ns INFO [00009848] Port=1 RD @01
9847.50ns INFO [00009849] Port=0 RD @03
9847.50ns INFO [00009849] Port=1 RD @01
9848.50ns INFO [00009850] * RD COMPARE * port=0 adr=05 act=C6E013F0B1AE188808 exp=C6E013F0B1AE188808
9848.50ns INFO [00009850] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B
9848.50ns INFO [00009850] Port=0 RD @07
9848.50ns INFO [00009850] Port=1 RD @01
9849.50ns INFO [00009851] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9849.50ns INFO [00009851] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B
9849.50ns INFO [00009851] Port=0 RD @00
9849.50ns INFO [00009851] Port=1 RD @01
9850.50ns INFO [00009852] * RD COMPARE * port=0 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746
9850.50ns INFO [00009852] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B
9850.50ns INFO [00009852] Port=0 RD @06
9851.50ns INFO [00009853] * RD COMPARE * port=0 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B
9851.50ns INFO [00009853] * RD COMPARE * port=1 adr=01 act=1B6878CD5D3632959B exp=1B6878CD5D3632959B
9851.50ns INFO [00009853] Port=0 WR @06=2286D3F983653D654F
9851.50ns INFO [00009853] Port=1 RD @07
9852.50ns INFO [00009854] * RD COMPARE * port=0 adr=06 act=57554A2195763922DB exp=57554A2195763922DB
9852.50ns INFO [00009854] Port=0 WR @07=CF85FDE7F3B3A77794
9852.50ns INFO [00009854] Port=0 RD @03
9852.50ns INFO [00009854] Port=1 RD @00
9853.50ns INFO [00009855] * RD COMPARE * port=1 adr=07 act=95007A0CB4B2B4E746 exp=95007A0CB4B2B4E746
9853.50ns INFO [00009855] Port=1 RD @00
9854.50ns INFO [00009856] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9854.50ns INFO [00009856] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B
9854.50ns INFO [00009856] Port=0 WR @01=4C2015DBAF601DCE8C
9855.50ns INFO [00009857] * RD COMPARE * port=1 adr=00 act=3802B74246D7912F2B exp=3802B74246D7912F2B
9855.50ns INFO [00009857] Port=0 WR @05=F7078A24D378286450
9856.50ns INFO [00009858] Port=0 WR @00=07CE7307D190CAFF9A
9856.50ns INFO [00009858] Port=0 RD @07
9857.50ns INFO [00009859] Port=0 WR @07=24A24645650F161146
9858.50ns INFO [00009860] * RD COMPARE * port=0 adr=07 act=CF85FDE7F3B3A77794 exp=CF85FDE7F3B3A77794
9858.50ns INFO [00009860] Port=0 WR @04=2A738090B983F14590
9858.50ns INFO [00009860] Port=0 RD @00
9859.50ns INFO [00009861] Port=1 RD @02
9860.50ns INFO [00009862] * RD COMPARE * port=0 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A
9860.50ns INFO [00009862] Port=1 RD @02
9861.50ns INFO [00009863] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9861.50ns INFO [00009863] Port=0 WR @05=8F3DE3FCC86EF49597
9861.50ns INFO [00009863] Port=0 RD @07
9862.50ns INFO [00009864] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9863.50ns INFO [00009865] * RD COMPARE * port=0 adr=07 act=24A24645650F161146 exp=24A24645650F161146
9864.50ns INFO [00009866] Port=0 RD @03
9864.50ns INFO [00009866] Port=1 RD @04
9865.50ns INFO [00009867] Port=0 WR @01=1576A785E68F2C8BB5
9865.50ns INFO [00009867] Port=0 RD @07
9866.50ns INFO [00009868] * RD COMPARE * port=0 adr=03 act=F842EC94EC6CDA98DA exp=F842EC94EC6CDA98DA
9866.50ns INFO [00009868] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590
9866.50ns INFO [00009868] Port=0 WR @07=AEB6F77CB72CDF7BCA
9866.50ns INFO [00009868] Port=1 RD @00
9867.50ns INFO [00009869] * RD COMPARE * port=0 adr=07 act=24A24645650F161146 exp=24A24645650F161146
9867.50ns INFO [00009869] Port=0 WR @07=265F218320F1669A35
9867.50ns INFO [00009869] Port=0 RD @01
9868.50ns INFO [00009870] * RD COMPARE * port=1 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A
9868.50ns INFO [00009870] Port=0 WR @03=281269F9B04530E203
9868.50ns INFO [00009870] Port=1 RD @07
9869.50ns INFO [00009871] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5
9869.50ns INFO [00009871] Port=1 RD @02
9870.50ns INFO [00009872] * RD COMPARE * port=1 adr=07 act=265F218320F1669A35 exp=265F218320F1669A35
9870.50ns INFO [00009872] Port=0 RD @06
9871.50ns INFO [00009873] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9871.50ns INFO [00009873] Port=0 WR @07=9FC131F51423F55D32
9871.50ns INFO [00009873] Port=0 RD @01
9871.50ns INFO [00009873] Port=1 RD @03
9872.50ns INFO [00009874] * RD COMPARE * port=0 adr=06 act=2286D3F983653D654F exp=2286D3F983653D654F
9872.50ns INFO [00009874] Port=0 WR @06=FA653F9023BA79349D
9872.50ns INFO [00009874] Port=0 RD @01
9873.50ns INFO [00009875] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5
9873.50ns INFO [00009875] * RD COMPARE * port=1 adr=03 act=281269F9B04530E203 exp=281269F9B04530E203
9873.50ns INFO [00009875] Port=0 WR @05=EA9C8798308DF91816
9873.50ns INFO [00009875] Port=1 RD @04
9874.50ns INFO [00009876] * RD COMPARE * port=0 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5
9875.50ns INFO [00009877] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590
9875.50ns INFO [00009877] Port=0 RD @03
9875.50ns INFO [00009877] Port=1 RD @01
9876.50ns INFO [00009878] Port=0 WR @06=BAAD7F55B38C5E6A25
9877.50ns INFO [00009879] * RD COMPARE * port=0 adr=03 act=281269F9B04530E203 exp=281269F9B04530E203
9877.50ns INFO [00009879] * RD COMPARE * port=1 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5
9877.50ns INFO [00009879] Port=0 RD @04
9878.50ns INFO [00009880] Port=0 RD @05
9879.50ns INFO [00009881] * RD COMPARE * port=0 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590
9879.50ns INFO [00009881] Port=0 WR @03=D17D9FF7ED240B00C0
9879.50ns INFO [00009881] Port=1 RD @02
9880.50ns INFO [00009882] * RD COMPARE * port=0 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816
9880.50ns INFO [00009882] Port=0 RD @07
9881.50ns INFO [00009883] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9881.50ns INFO [00009883] Port=0 WR @07=73621720B1D9E4D3D0
9881.50ns INFO [00009883] Port=0 RD @05
9881.50ns INFO [00009883] Port=1 RD @04
9882.50ns INFO [00009884] * RD COMPARE * port=0 adr=07 act=9FC131F51423F55D32 exp=9FC131F51423F55D32
9883.50ns INFO [00009885] * RD COMPARE * port=0 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816
9883.50ns INFO [00009885] * RD COMPARE * port=1 adr=04 act=2A738090B983F14590 exp=2A738090B983F14590
9883.50ns INFO [00009885] Port=0 WR @03=FC1C77DBB37D9CDE78
9883.50ns INFO [00009885] Port=1 RD @01
9884.50ns INFO [00009886] Port=0 WR @04=0B2EBB347D21BF7CE3
9884.50ns INFO [00009886] Port=0 RD @07
9884.50ns INFO [00009886] Port=1 RD @05
9885.50ns INFO [00009887] * RD COMPARE * port=1 adr=01 act=1576A785E68F2C8BB5 exp=1576A785E68F2C8BB5
9885.50ns INFO [00009887] Port=0 WR @05=18B458F7CF3980D64B
9886.50ns INFO [00009888] * RD COMPARE * port=0 adr=07 act=73621720B1D9E4D3D0 exp=73621720B1D9E4D3D0
9886.50ns INFO [00009888] * RD COMPARE * port=1 adr=05 act=EA9C8798308DF91816 exp=EA9C8798308DF91816
9886.50ns INFO [00009888] Port=1 RD @05
9887.50ns INFO [00009889] Port=1 RD @03
9888.50ns INFO [00009890] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B
9888.50ns INFO [00009890] Port=0 WR @06=B7361222D1960A07D6
9888.50ns INFO [00009890] Port=1 RD @07
9889.50ns INFO [00009891] * RD COMPARE * port=1 adr=03 act=FC1C77DBB37D9CDE78 exp=FC1C77DBB37D9CDE78
9890.50ns INFO [00009892] * RD COMPARE * port=1 adr=07 act=73621720B1D9E4D3D0 exp=73621720B1D9E4D3D0
9890.50ns INFO [00009892] Port=1 RD @00
9891.50ns INFO [00009893] Port=0 WR @01=A70E1F3410AD9D798E
9891.50ns INFO [00009893] Port=1 RD @06
9892.50ns INFO [00009894] * RD COMPARE * port=1 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A
9892.50ns INFO [00009894] Port=1 RD @01
9893.50ns INFO [00009895] * RD COMPARE * port=1 adr=06 act=B7361222D1960A07D6 exp=B7361222D1960A07D6
9893.50ns INFO [00009895] Port=1 RD @01
9894.50ns INFO [00009896] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9894.50ns INFO [00009896] Port=0 RD @00
9895.50ns INFO [00009897] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9895.50ns INFO [00009897] Port=0 RD @05
9896.50ns INFO [00009898] * RD COMPARE * port=0 adr=00 act=07CE7307D190CAFF9A exp=07CE7307D190CAFF9A
9896.50ns INFO [00009898] Port=0 RD @02
9896.50ns INFO [00009898] Port=1 RD @05
9897.50ns INFO [00009899] * RD COMPARE * port=0 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B
9897.50ns INFO [00009899] Port=0 RD @06
9898.00ns INFO [00009900] [00009900] ...tick...
9898.50ns INFO [00009900] * RD COMPARE * port=0 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9898.50ns INFO [00009900] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B
9898.50ns INFO [00009900] Port=0 WR @00=54FE2D607070BEED1B
9898.50ns INFO [00009900] Port=1 RD @05
9899.50ns INFO [00009901] * RD COMPARE * port=0 adr=06 act=B7361222D1960A07D6 exp=B7361222D1960A07D6
9899.50ns INFO [00009901] Port=0 WR @07=7AC93B51C1938B5693
9900.50ns INFO [00009902] * RD COMPARE * port=1 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B
9901.50ns INFO [00009903] Port=0 WR @06=7EE43F42ED36CF0A26
9903.50ns INFO [00009905] Port=1 RD @02
9904.50ns INFO [00009906] Port=0 RD @05
9905.50ns INFO [00009907] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9905.50ns INFO [00009907] Port=0 WR @05=7808C10477EABDE471
9906.50ns INFO [00009908] * RD COMPARE * port=0 adr=05 act=18B458F7CF3980D64B exp=18B458F7CF3980D64B
9907.50ns INFO [00009909] Port=0 WR @06=7A9A91F459BC03E7CB
9907.50ns INFO [00009909] Port=0 RD @00
9909.50ns INFO [00009911] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B
9909.50ns INFO [00009911] Port=1 RD @07
9910.50ns INFO [00009912] Port=0 WR @07=746FA28FEAD43CBFB9
9910.50ns INFO [00009912] Port=0 RD @04
9911.50ns INFO [00009913] * RD COMPARE * port=1 adr=07 act=7AC93B51C1938B5693 exp=7AC93B51C1938B5693
9911.50ns INFO [00009913] Port=0 RD @01
9911.50ns INFO [00009913] Port=1 RD @01
9912.50ns INFO [00009914] * RD COMPARE * port=0 adr=04 act=0B2EBB347D21BF7CE3 exp=0B2EBB347D21BF7CE3
9912.50ns INFO [00009914] Port=0 WR @07=B58FA7BD7424B1C609
9912.50ns INFO [00009914] Port=0 RD @00
9913.50ns INFO [00009915] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9913.50ns INFO [00009915] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9913.50ns INFO [00009915] Port=0 WR @07=6BB8719A1A7C31CE45
9913.50ns INFO [00009915] Port=0 RD @00
9914.50ns INFO [00009916] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B
9914.50ns INFO [00009916] Port=0 RD @05
9915.50ns INFO [00009917] * RD COMPARE * port=0 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B
9915.50ns INFO [00009917] Port=1 RD @00
9916.50ns INFO [00009918] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471
9916.50ns INFO [00009918] Port=0 WR @07=D7F5CD581B293B9634
9916.50ns INFO [00009918] Port=0 RD @01
9917.50ns INFO [00009919] * RD COMPARE * port=1 adr=00 act=54FE2D607070BEED1B exp=54FE2D607070BEED1B
9917.50ns INFO [00009919] Port=0 WR @03=18269D9FBE6B05F971
9918.50ns INFO [00009920] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9919.50ns INFO [00009921] Port=1 RD @05
9921.50ns INFO [00009923] * RD COMPARE * port=1 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471
9921.50ns INFO [00009923] Port=1 RD @04
9922.50ns INFO [00009924] Port=0 WR @00=23AB31A9594CAFDF39
9922.50ns INFO [00009924] Port=0 RD @07
9923.50ns INFO [00009925] * RD COMPARE * port=1 adr=04 act=0B2EBB347D21BF7CE3 exp=0B2EBB347D21BF7CE3
9923.50ns INFO [00009925] Port=0 WR @06=ACCFCE860ADAE5D6E4
9923.50ns INFO [00009925] Port=0 RD @05
9923.50ns INFO [00009925] Port=1 RD @01
9924.50ns INFO [00009926] * RD COMPARE * port=0 adr=07 act=D7F5CD581B293B9634 exp=D7F5CD581B293B9634
9924.50ns INFO [00009926] Port=1 RD @03
9925.50ns INFO [00009927] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471
9925.50ns INFO [00009927] * RD COMPARE * port=1 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9925.50ns INFO [00009927] Port=0 WR @06=3C0FD40B212724FF7A
9925.50ns INFO [00009927] Port=0 RD @07
9926.50ns INFO [00009928] * RD COMPARE * port=1 adr=03 act=18269D9FBE6B05F971 exp=18269D9FBE6B05F971
9927.50ns INFO [00009929] * RD COMPARE * port=0 adr=07 act=D7F5CD581B293B9634 exp=D7F5CD581B293B9634
9928.50ns INFO [00009930] Port=0 WR @04=86C88F31F56BD9AA30
9929.50ns INFO [00009931] Port=0 RD @02
9929.50ns INFO [00009931] Port=1 RD @05
9930.50ns INFO [00009932] Port=1 RD @02
9931.50ns INFO [00009933] * RD COMPARE * port=0 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9931.50ns INFO [00009933] * RD COMPARE * port=1 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471
9932.50ns INFO [00009934] * RD COMPARE * port=1 adr=02 act=F8749ABF8E3F2525CE exp=F8749ABF8E3F2525CE
9933.50ns INFO [00009935] Port=0 WR @03=DF3BDC18AEC16209E8
9934.50ns INFO [00009936] Port=0 WR @04=0C41AEFC5109DD80CD
9934.50ns INFO [00009936] Port=0 RD @00
9935.50ns INFO [00009937] Port=0 WR @07=ED68EBC4A755EC0757
9935.50ns INFO [00009937] Port=0 RD @05
9936.50ns INFO [00009938] * RD COMPARE * port=0 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39
9937.50ns INFO [00009939] * RD COMPARE * port=0 adr=05 act=7808C10477EABDE471 exp=7808C10477EABDE471
9937.50ns INFO [00009939] Port=0 WR @02=EBF4F3C7EFF980924C
9938.50ns INFO [00009940] Port=0 RD @02
9939.50ns INFO [00009941] Port=0 RD @06
9940.50ns INFO [00009942] * RD COMPARE * port=0 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C
9940.50ns INFO [00009942] Port=0 WR @04=7DDB22372A3A6C602C
9940.50ns INFO [00009942] Port=0 RD @03
9940.50ns INFO [00009942] Port=1 RD @02
9941.50ns INFO [00009943] * RD COMPARE * port=0 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A
9941.50ns INFO [00009943] Port=1 RD @04
9942.50ns INFO [00009944] * RD COMPARE * port=0 adr=03 act=DF3BDC18AEC16209E8 exp=DF3BDC18AEC16209E8
9942.50ns INFO [00009944] * RD COMPARE * port=1 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C
9943.50ns INFO [00009945] * RD COMPARE * port=1 adr=04 act=7DDB22372A3A6C602C exp=7DDB22372A3A6C602C
9944.50ns INFO [00009946] Port=0 RD @02
9945.50ns INFO [00009947] Port=0 RD @01
9946.50ns INFO [00009948] * RD COMPARE * port=0 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C
9946.50ns INFO [00009948] Port=0 WR @03=DB9FD4F05C226EB7A8
9946.50ns INFO [00009948] Port=0 RD @01
9946.50ns INFO [00009948] Port=1 RD @07
9947.50ns INFO [00009949] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9947.50ns INFO [00009949] Port=1 RD @02
9948.50ns INFO [00009950] * RD COMPARE * port=0 adr=01 act=A70E1F3410AD9D798E exp=A70E1F3410AD9D798E
9948.50ns INFO [00009950] * RD COMPARE * port=1 adr=07 act=ED68EBC4A755EC0757 exp=ED68EBC4A755EC0757
9948.50ns INFO [00009950] Port=0 RD @04
9948.50ns INFO [00009950] Port=1 RD @06
9949.50ns INFO [00009951] * RD COMPARE * port=1 adr=02 act=EBF4F3C7EFF980924C exp=EBF4F3C7EFF980924C
9949.50ns INFO [00009951] Port=0 WR @03=A8133047FB725C2FCA
9950.50ns INFO [00009952] * RD COMPARE * port=0 adr=04 act=7DDB22372A3A6C602C exp=7DDB22372A3A6C602C
9950.50ns INFO [00009952] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A
9950.50ns INFO [00009952] Port=0 WR @01=B3C674BAFE6191FBC2
9950.50ns INFO [00009952] Port=1 RD @07
9952.50ns INFO [00009954] * RD COMPARE * port=1 adr=07 act=ED68EBC4A755EC0757 exp=ED68EBC4A755EC0757
9952.50ns INFO [00009954] Port=1 RD @01
9953.50ns INFO [00009955] Port=0 WR @07=C4E0D2345BC8CA90F8
9954.50ns INFO [00009956] * RD COMPARE * port=1 adr=01 act=B3C674BAFE6191FBC2 exp=B3C674BAFE6191FBC2
9954.50ns INFO [00009956] Port=0 WR @02=F5CD710914E623C53D
9955.50ns INFO [00009957] Port=0 WR @04=C0717D9B901B614ABA
9956.50ns INFO [00009958] Port=0 WR @07=47D49E34D765201EF8
9956.50ns INFO [00009958] Port=1 RD @00
9958.50ns INFO [00009960] * RD COMPARE * port=1 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39
9958.50ns INFO [00009960] Port=0 WR @03=D4C918FE1BABF5E57C
9959.50ns INFO [00009961] Port=0 RD @03
9960.50ns INFO [00009962] Port=0 RD @04
9961.50ns INFO [00009963] * RD COMPARE * port=0 adr=03 act=D4C918FE1BABF5E57C exp=D4C918FE1BABF5E57C
9961.50ns INFO [00009963] Port=0 WR @07=32353BF0F614303E8E
9961.50ns INFO [00009963] Port=1 RD @06
9962.50ns INFO [00009964] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA
9963.50ns INFO [00009965] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A
9963.50ns INFO [00009965] Port=0 WR @05=CBE9652121A36C8CCD
9964.50ns INFO [00009966] Port=0 RD @07
9966.50ns INFO [00009968] * RD COMPARE * port=0 adr=07 act=32353BF0F614303E8E exp=32353BF0F614303E8E
9966.50ns INFO [00009968] Port=1 RD @00
9967.50ns INFO [00009969] Port=0 WR @02=88B3797D368A4F87AB
9968.50ns INFO [00009970] * RD COMPARE * port=1 adr=00 act=23AB31A9594CAFDF39 exp=23AB31A9594CAFDF39
9968.50ns INFO [00009970] Port=1 RD @06
9970.50ns INFO [00009972] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A
9970.50ns INFO [00009972] Port=0 WR @00=6BE7FF1707DBF321C3
9971.50ns INFO [00009973] Port=0 RD @02
9971.50ns INFO [00009973] Port=1 RD @06
9972.50ns INFO [00009974] Port=0 RD @03
9973.50ns INFO [00009975] * RD COMPARE * port=0 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB
9973.50ns INFO [00009975] * RD COMPARE * port=1 adr=06 act=3C0FD40B212724FF7A exp=3C0FD40B212724FF7A
9974.50ns INFO [00009976] * RD COMPARE * port=0 adr=03 act=D4C918FE1BABF5E57C exp=D4C918FE1BABF5E57C
9974.50ns INFO [00009976] Port=0 WR @03=BA06A52990FEF60952
9974.50ns INFO [00009976] Port=1 RD @04
9975.50ns INFO [00009977] Port=1 RD @01
9976.50ns INFO [00009978] * RD COMPARE * port=1 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA
9976.50ns INFO [00009978] Port=0 WR @03=F4D88497125A6BABD9
9977.50ns INFO [00009979] * RD COMPARE * port=1 adr=01 act=B3C674BAFE6191FBC2 exp=B3C674BAFE6191FBC2
9977.50ns INFO [00009979] Port=0 RD @04
9978.50ns INFO [00009980] Port=0 RD @04
9978.50ns INFO [00009980] Port=1 RD @05
9979.50ns INFO [00009981] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA
9979.50ns INFO [00009981] Port=0 RD @03
9980.50ns INFO [00009982] * RD COMPARE * port=0 adr=04 act=C0717D9B901B614ABA exp=C0717D9B901B614ABA
9980.50ns INFO [00009982] * RD COMPARE * port=1 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD
9981.50ns INFO [00009983] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9
9981.50ns INFO [00009983] Port=0 WR @01=F886B0665F57D8429B
9982.50ns INFO [00009984] Port=0 WR @04=B18CCD4E4AA3822CFD
9983.50ns INFO [00009985] Port=1 RD @02
9984.50ns INFO [00009986] Port=1 RD @03
9985.50ns INFO [00009987] * RD COMPARE * port=1 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB
9985.50ns INFO [00009987] Port=0 WR @01=435D277CD3492E558A
9986.50ns INFO [00009988] * RD COMPARE * port=1 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9
9987.50ns INFO [00009989] Port=0 RD @07
9988.50ns INFO [00009990] Port=0 WR @00=30B2C84E3C3FCF4630
9988.50ns INFO [00009990] Port=0 RD @01
9988.50ns INFO [00009990] Port=1 RD @05
9989.50ns INFO [00009991] * RD COMPARE * port=0 adr=07 act=32353BF0F614303E8E exp=32353BF0F614303E8E
9989.50ns INFO [00009991] Port=0 WR @04=1560480EDFDB8C3CC9
9989.50ns INFO [00009991] Port=0 RD @05
9990.50ns INFO [00009992] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A
9990.50ns INFO [00009992] * RD COMPARE * port=1 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD
9990.50ns INFO [00009992] Port=0 WR @07=BC250CD84AEB2177BC
9990.50ns INFO [00009992] Port=0 RD @03
9991.50ns INFO [00009993] * RD COMPARE * port=0 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD
9991.50ns INFO [00009993] Port=0 WR @06=0170277E788D840352
9991.50ns INFO [00009993] Port=0 RD @02
9992.50ns INFO [00009994] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9
9992.50ns INFO [00009994] Port=0 WR @02=AC70277864E99D11CE
9992.50ns INFO [00009994] Port=1 RD @00
9993.50ns INFO [00009995] * RD COMPARE * port=0 adr=02 act=88B3797D368A4F87AB exp=88B3797D368A4F87AB
9993.50ns INFO [00009995] Port=0 WR @02=0350E30ED039F739DE
9993.50ns INFO [00009995] Port=1 RD @07
9994.50ns INFO [00009996] * RD COMPARE * port=1 adr=00 act=30B2C84E3C3FCF4630 exp=30B2C84E3C3FCF4630
9994.50ns INFO [00009996] Port=0 RD @01
9994.50ns INFO [00009996] Port=1 RD @03
9995.50ns INFO [00009997] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC
9995.50ns INFO [00009997] Port=1 RD @01
9996.50ns INFO [00009998] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A
9996.50ns INFO [00009998] * RD COMPARE * port=1 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9
9996.50ns INFO [00009998] Port=1 RD @06
9997.50ns INFO [00009999] * RD COMPARE * port=1 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A
9997.50ns INFO [00009999] Port=0 WR @00=269CF20DEEF286C4D9
9997.50ns INFO [00009999] Port=1 RD @02
9998.00ns INFO [00010000] [00010000] ...tick...
9998.50ns INFO [00010000] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352
9999.50ns INFO [00010001] * RD COMPARE * port=1 adr=02 act=0350E30ED039F739DE exp=0350E30ED039F739DE
9999.50ns INFO [00010001] Port=0 RD @06
9999.50ns INFO [00010001] Port=1 RD @07
10000.50ns INFO [00010002] Port=1 RD @00
10001.50ns INFO [00010003] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10001.50ns INFO [00010003] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC
10001.50ns INFO [00010003] Port=0 RD @07
10001.50ns INFO [00010003] Port=1 RD @02
10002.50ns INFO [00010004] * RD COMPARE * port=1 adr=00 act=269CF20DEEF286C4D9 exp=269CF20DEEF286C4D9
10002.50ns INFO [00010004] Port=0 WR @02=26B89281510151D281
10002.50ns INFO [00010004] Port=0 RD @05
10003.50ns INFO [00010005] * RD COMPARE * port=0 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC
10003.50ns INFO [00010005] * RD COMPARE * port=1 adr=02 act=0350E30ED039F739DE exp=0350E30ED039F739DE
10003.50ns INFO [00010005] Port=0 WR @05=D9A1C78FF3F7346916
10003.50ns INFO [00010005] Port=0 RD @01
10003.50ns INFO [00010005] Port=1 RD @06
10004.50ns INFO [00010006] * RD COMPARE * port=0 adr=05 act=CBE9652121A36C8CCD exp=CBE9652121A36C8CCD
10004.50ns INFO [00010006] Port=0 WR @02=530E863D6D7268EE38
10004.50ns INFO [00010006] Port=0 RD @06
10004.50ns INFO [00010006] Port=1 RD @07
10005.50ns INFO [00010007] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A
10005.50ns INFO [00010007] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10005.50ns INFO [00010007] Port=1 RD @02
10006.50ns INFO [00010008] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10006.50ns INFO [00010008] * RD COMPARE * port=1 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC
10006.50ns INFO [00010008] Port=1 RD @05
10007.50ns INFO [00010009] * RD COMPARE * port=1 adr=02 act=530E863D6D7268EE38 exp=530E863D6D7268EE38
10007.50ns INFO [00010009] Port=0 WR @02=81F091D61B0B5DEA70
10008.50ns INFO [00010010] * RD COMPARE * port=1 adr=05 act=D9A1C78FF3F7346916 exp=D9A1C78FF3F7346916
10008.50ns INFO [00010010] Port=0 RD @03
10009.50ns INFO [00010011] Port=0 WR @02=4DBFEE39AEE64A3959
10009.50ns INFO [00010011] Port=0 RD @04
10010.50ns INFO [00010012] * RD COMPARE * port=0 adr=03 act=F4D88497125A6BABD9 exp=F4D88497125A6BABD9
10010.50ns INFO [00010012] Port=0 RD @07
10010.50ns INFO [00010012] Port=1 RD @04
10011.50ns INFO [00010013] * RD COMPARE * port=0 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9
10011.50ns INFO [00010013] Port=1 RD @02
10012.50ns INFO [00010014] * RD COMPARE * port=0 adr=07 act=BC250CD84AEB2177BC exp=BC250CD84AEB2177BC
10012.50ns INFO [00010014] * RD COMPARE * port=1 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9
10012.50ns INFO [00010014] Port=0 WR @05=F696F4B3590E2856FD
10013.50ns INFO [00010015] * RD COMPARE * port=1 adr=02 act=4DBFEE39AEE64A3959 exp=4DBFEE39AEE64A3959
10014.50ns INFO [00010016] Port=0 WR @03=45BAE5C3F898C39A42
10014.50ns INFO [00010016] Port=0 RD @06
10015.50ns INFO [00010017] Port=0 WR @02=86E28B04506D620681
10015.50ns INFO [00010017] Port=0 RD @01
10016.50ns INFO [00010018] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10016.50ns INFO [00010018] Port=0 WR @01=A9AAB48CB8779222D2
10017.50ns INFO [00010019] * RD COMPARE * port=0 adr=01 act=435D277CD3492E558A exp=435D277CD3492E558A
10018.50ns INFO [00010020] Port=0 RD @02
10020.50ns INFO [00010022] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10023.50ns INFO [00010025] Port=0 WR @01=9A68EC35809B73C29F
10023.50ns INFO [00010025] Port=0 RD @05
10023.50ns INFO [00010025] Port=1 RD @02
10024.50ns INFO [00010026] Port=0 WR @07=C0F760ED6CCCC13CF3
10025.50ns INFO [00010027] * RD COMPARE * port=0 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD
10025.50ns INFO [00010027] * RD COMPARE * port=1 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10025.50ns INFO [00010027] Port=0 RD @03
10025.50ns INFO [00010027] Port=1 RD @04
10026.50ns INFO [00010028] Port=0 WR @03=FDC27C1F5780AC31BB
10026.50ns INFO [00010028] Port=1 RD @06
10027.50ns INFO [00010029] * RD COMPARE * port=0 adr=03 act=45BAE5C3F898C39A42 exp=45BAE5C3F898C39A42
10027.50ns INFO [00010029] * RD COMPARE * port=1 adr=04 act=1560480EDFDB8C3CC9 exp=1560480EDFDB8C3CC9
10027.50ns INFO [00010029] Port=0 WR @03=D21919337B8D39EA42
10028.50ns INFO [00010030] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10028.50ns INFO [00010030] Port=1 RD @05
10029.50ns INFO [00010031] Port=0 RD @05
10029.50ns INFO [00010031] Port=1 RD @06
10030.50ns INFO [00010032] * RD COMPARE * port=1 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD
10031.50ns INFO [00010033] * RD COMPARE * port=0 adr=05 act=F696F4B3590E2856FD exp=F696F4B3590E2856FD
10031.50ns INFO [00010033] * RD COMPARE * port=1 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10031.50ns INFO [00010033] Port=0 RD @06
10032.50ns INFO [00010034] Port=0 WR @07=23A50AE7FAA63B4775
10033.50ns INFO [00010035] * RD COMPARE * port=0 adr=06 act=0170277E788D840352 exp=0170277E788D840352
10033.50ns INFO [00010035] Port=0 RD @07
10033.50ns INFO [00010035] Port=1 RD @03
10035.50ns INFO [00010037] * RD COMPARE * port=0 adr=07 act=23A50AE7FAA63B4775 exp=23A50AE7FAA63B4775
10035.50ns INFO [00010037] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42
10035.50ns INFO [00010037] Port=0 WR @05=F9DEE7E36253BCB092
10035.50ns INFO [00010037] Port=0 RD @00
10036.50ns INFO [00010038] Port=0 WR @04=D375FBBE0722AEE8A0
10037.50ns INFO [00010039] * RD COMPARE * port=0 adr=00 act=269CF20DEEF286C4D9 exp=269CF20DEEF286C4D9
10037.50ns INFO [00010039] Port=0 WR @04=006A5940D6974F1EED
10037.50ns INFO [00010039] Port=0 RD @02
10037.50ns INFO [00010039] Port=1 RD @01
10039.50ns INFO [00010041] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10039.50ns INFO [00010041] * RD COMPARE * port=1 adr=01 act=9A68EC35809B73C29F exp=9A68EC35809B73C29F
10039.50ns INFO [00010041] Port=0 WR @00=35B1CED2FF2F6C3554
10039.50ns INFO [00010041] Port=1 RD @03
10040.50ns INFO [00010042] Port=0 WR @07=7189C315E459C3CF1E
10040.50ns INFO [00010042] Port=0 RD @01
10041.50ns INFO [00010043] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42
10041.50ns INFO [00010043] Port=0 RD @02
10042.50ns INFO [00010044] * RD COMPARE * port=0 adr=01 act=9A68EC35809B73C29F exp=9A68EC35809B73C29F
10042.50ns INFO [00010044] Port=0 WR @01=98CB0985C182EC1FC1
10042.50ns INFO [00010044] Port=1 RD @05
10043.50ns INFO [00010045] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10043.50ns INFO [00010045] Port=0 RD @01
10043.50ns INFO [00010045] Port=1 RD @00
10044.50ns INFO [00010046] * RD COMPARE * port=1 adr=05 act=F9DEE7E36253BCB092 exp=F9DEE7E36253BCB092
10044.50ns INFO [00010046] Port=0 RD @02
10044.50ns INFO [00010046] Port=1 RD @04
10045.50ns INFO [00010047] * RD COMPARE * port=0 adr=01 act=98CB0985C182EC1FC1 exp=98CB0985C182EC1FC1
10045.50ns INFO [00010047] * RD COMPARE * port=1 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554
10046.50ns INFO [00010048] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10046.50ns INFO [00010048] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED
10047.50ns INFO [00010049] Port=0 RD @00
10048.50ns INFO [00010050] Port=0 WR @06=F88CF4EA2066F84B84
10049.50ns INFO [00010051] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554
10049.50ns INFO [00010051] Port=0 RD @02
10049.50ns INFO [00010051] Port=1 RD @04
10050.50ns INFO [00010052] Port=0 RD @02
10051.50ns INFO [00010053] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10051.50ns INFO [00010053] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED
10051.50ns INFO [00010053] Port=0 RD @02
10051.50ns INFO [00010053] Port=1 RD @03
10052.50ns INFO [00010054] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10052.50ns INFO [00010054] Port=0 WR @03=1B4D6EC163FB0CE984
10052.50ns INFO [00010054] Port=0 RD @00
10052.50ns INFO [00010054] Port=1 RD @04
10053.50ns INFO [00010055] * RD COMPARE * port=0 adr=02 act=86E28B04506D620681 exp=86E28B04506D620681
10053.50ns INFO [00010055] * RD COMPARE * port=1 adr=03 act=D21919337B8D39EA42 exp=D21919337B8D39EA42
10054.50ns INFO [00010056] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554
10054.50ns INFO [00010056] * RD COMPARE * port=1 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED
10054.50ns INFO [00010056] Port=0 RD @06
10055.50ns INFO [00010057] Port=0 RD @03
10056.50ns INFO [00010058] * RD COMPARE * port=0 adr=06 act=F88CF4EA2066F84B84 exp=F88CF4EA2066F84B84
10056.50ns INFO [00010058] Port=1 RD @06
10057.50ns INFO [00010059] * RD COMPARE * port=0 adr=03 act=1B4D6EC163FB0CE984 exp=1B4D6EC163FB0CE984
10057.50ns INFO [00010059] Port=0 RD @00
10058.50ns INFO [00010060] * RD COMPARE * port=1 adr=06 act=F88CF4EA2066F84B84 exp=F88CF4EA2066F84B84
10058.50ns INFO [00010060] Port=0 WR @06=55BF577980933C4D39
10058.50ns INFO [00010060] Port=0 RD @04
10059.50ns INFO [00010061] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554
10059.50ns INFO [00010061] Port=0 RD @00
10060.50ns INFO [00010062] * RD COMPARE * port=0 adr=04 act=006A5940D6974F1EED exp=006A5940D6974F1EED
10060.50ns INFO [00010062] Port=0 WR @00=1BEB1DCBC6A1F0560C
10060.50ns INFO [00010062] Port=1 RD @03
10061.50ns INFO [00010063] * RD COMPARE * port=0 adr=00 act=35B1CED2FF2F6C3554 exp=35B1CED2FF2F6C3554
10061.50ns INFO [00010063] Port=0 WR @04=6221A902B5EF57D1A5
10062.50ns INFO [00010064] * RD COMPARE * port=1 adr=03 act=1B4D6EC163FB0CE984 exp=1B4D6EC163FB0CE984
10062.50ns INFO [00010064] Port=1 RD @03
VCD info: dumpfile test_ra_sdr_64x72.vcd opened for output.
VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD.
** VVP Stop(0) **
** Flushing output streams.
** Current simulation time is 10062500 ticks.
>
> ^C^C^C
Unknown command: 
Try the help command to get a summary
of available commands.
>
>
> ^C
>
>
> ^C^C^C
>
>
>
>
>
>
> ^C^C^C
>
> ^C
> ^C^C
>
>
> ^C
> ^C
>
> ^C^C
>
>
>
> ^C^C^C
> ^C
> ^C
> ^C
>
> ^C
> ^C^C^C^C^C
>
> ^C^C^C^C^C^C^C^C^C^C^C
>
>
>
> make[1]: *** [/home/wtf/.local/lib/python3.8/site-packages/cocotb/share/makefiles/simulators/Makefile.icarus:76: results.xml] Killed
make: *** [/home/wtf/.local/lib/python3.8/site-packages/cocotb/share/makefiles/Makefile.inc:40: sim] Interrupt