You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

10 lines
150 B
Verilog

module local_eval (
inout VDD,
inout GND,
//input PRE_L_b,
input RBL_L_b,
input PRE_R_b,
input RBL_R_b,
output RBL_O
);
endmodule