You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3791 lines
58 KiB
Plaintext

$date
Thu May 26 12:20:56 2022
$end
$version
Icarus Verilog
$end
$timescale
1ps
$end
$scope module test_ra_sdr_64x72 $end
$var wire 32 ! bist_ctl [0:31] $end
$var wire 32 " bist_status [0:31] $end
$var wire 16 # cfg_dat [0:15] $end
$var wire 1 $ cfg_wr $end
$var wire 1 % clk $end
$var wire 6 & rd_adr_0 [0:5] $end
$var wire 6 ' rd_adr_1 [0:5] $end
$var wire 1 ( rd_enb_0 $end
$var wire 1 ) rd_enb_1 $end
$var wire 1 * reset $end
$var wire 6 + wr_adr_0 [0:5] $end
$var wire 72 , wr_dat_0 [0:71] $end
$var wire 1 - wr_enb_0 $end
$var wire 1 . strobe $end
$var wire 72 / rd_dat_1 [0:71] $end
$var wire 72 0 rd_dat_0 [0:71] $end
$var wire 1 1 mux_wr0_enb $end
$var wire 72 2 mux_wr0_dat [0:71] $end
$var wire 6 3 mux_wr0_adr [0:5] $end
$var wire 1 4 mux_rd1_enb $end
$var wire 6 5 mux_rd1_adr [0:5] $end
$var wire 1 6 mux_rd0_enb $end
$var wire 6 7 mux_rd0_adr [0:5] $end
$var wire 16 8 cfg [0:15] $end
$scope module bist $end
$var wire 6 9 bist_rd0_adr [5:0] $end
$var wire 1 : bist_rd0_enb $end
$var wire 6 ; bist_rd1_adr [5:0] $end
$var wire 1 < bist_rd1_enb $end
$var wire 6 = bist_wr0_adr [5:0] $end
$var wire 72 > bist_wr0_dat [71:0] $end
$var wire 1 ? bist_wr0_enb $end
$var wire 1 % clk $end
$var wire 32 @ ctl [31:0] $end
$var wire 6 A rd0_adr_in [5:0] $end
$var wire 1 ( rd0_enb_in $end
$var wire 6 B rd1_adr_in [5:0] $end
$var wire 1 ) rd1_enb_in $end
$var wire 1 * reset $end
$var wire 6 C seq_d [5:0] $end
$var wire 32 D status [31:0] $end
$var wire 6 E wr0_adr_in [5:0] $end
$var wire 72 F wr0_dat_in [71:0] $end
$var wire 1 - wr0_enb_in $end
$var wire 1 1 wr0_enb_out $end
$var wire 72 G wr0_dat_out [71:0] $end
$var wire 6 H wr0_adr_out [5:0] $end
$var wire 1 4 rd1_enb_out $end
$var wire 72 I rd1_dat [71:0] $end
$var wire 6 J rd1_adr_out [5:0] $end
$var wire 1 6 rd0_enb_out $end
$var wire 72 K rd0_dat [71:0] $end
$var wire 6 L rd0_adr_out [5:0] $end
$var wire 1 M active $end
$var reg 6 N seq_q [5:0] $end
$upscope $end
$scope module cfig $end
$var wire 16 O cfg [0:15] $end
$var wire 16 P cfg_dat [0:15] $end
$var wire 1 $ cfg_wr $end
$var wire 1 % clk $end
$var wire 1 * reset $end
$var wire 16 Q cfg_d [0:15] $end
$var reg 16 R cfg_q [0:15] $end
$upscope $end
$scope module lcb $end
$var wire 16 S cfg [0:15] $end
$var wire 1 % clk $end
$var wire 1 * reset $end
$var wire 1 . strobe $end
$scope begin genblk1 $end
$upscope $end
$upscope $end
$scope module ra $end
$var wire 1 % clk $end
$var wire 6 T rd_adr_0 [0:5] $end
$var wire 6 U rd_adr_1 [0:5] $end
$var wire 1 6 rd_enb_0 $end
$var wire 1 4 rd_enb_1 $end
$var wire 1 * reset $end
$var wire 1 . strobe $end
$var wire 1 V strobe_int $end
$var wire 6 W wr_adr_0 [0:5] $end
$var wire 72 X wr_dat_0 [0:71] $end
$var wire 1 1 wr_enb_0 $end
$var wire 1 Y wr0_na4_na5 $end
$var wire 1 Z wr0_na4_a5 $end
$var wire 1 [ wr0_na3 $end
$var wire 1 \ wr0_na1_na2 $end
$var wire 1 ] wr0_na1_a2 $end
$var wire 1 ^ wr0_c_na0 $end
$var wire 1 _ wr0_c_a0 $end
$var wire 1 ` wr0_a4_na5 $end
$var wire 1 a wr0_a4_a5 $end
$var wire 1 b wr0_a3 $end
$var wire 1 c wr0_a1_na2 $end
$var wire 1 d wr0_a1_a2 $end
$var wire 72 e rd_dat_1 [0:71] $end
$var wire 72 f rd_dat_0 [0:71] $end
$var wire 1 g rd1_na4_na5 $end
$var wire 1 h rd1_na4_a5 $end
$var wire 1 i rd1_na3 $end
$var wire 1 j rd1_na1_na2 $end
$var wire 1 k rd1_na1_a2 $end
$var wire 1 l rd1_c_na0 $end
$var wire 1 m rd1_c_a0 $end
$var wire 1 n rd1_a4_na5 $end
$var wire 1 o rd1_a4_a5 $end
$var wire 1 p rd1_a3 $end
$var wire 1 q rd1_a1_na2 $end
$var wire 1 r rd1_a1_a2 $end
$var wire 1 s rd0_na4_na5 $end
$var wire 1 t rd0_na4_a5 $end
$var wire 1 u rd0_na3 $end
$var wire 1 v rd0_na1_na2 $end
$var wire 1 w rd0_na1_a2 $end
$var wire 1 x rd0_c_na0 $end
$var wire 1 y rd0_c_a0 $end
$var wire 1 z rd0_a4_na5 $end
$var wire 1 { rd0_a4_a5 $end
$var wire 1 | rd0_a3 $end
$var wire 1 } rd0_a1_na2 $end
$var wire 1 ~ rd0_a1_a2 $end
$var wire 72 !" ra_rd_dat_1 [0:71] $end
$var wire 72 "" ra_rd_dat_0 [0:71] $end
$var reg 6 #" rd_adr_0_q [0:5] $end
$var reg 6 $" rd_adr_1_q [0:5] $end
$var reg 72 %" rd_dat_0_q [0:71] $end
$var reg 72 &" rd_dat_1_q [0:71] $end
$var reg 1 '" rd_enb_0_q $end
$var reg 1 (" rd_enb_1_q $end
$var reg 6 )" wr_adr_0_q [0:5] $end
$var reg 72 *" wr_dat_0_q [0:71] $end
$var reg 1 +" wr_enb_0_q $end
$scope begin genblk1 $end
$upscope $end
$scope begin genblk2 $end
$upscope $end
$scope module add_clk $end
$var wire 6 ," rd_adr_0 [0:5] $end
$var wire 6 -" rd_adr_1 [0:5] $end
$var wire 1 '" rd_enb_0 $end
$var wire 1 (" rd_enb_1 $end
$var wire 1 V strobe $end
$var wire 6 ." wr_adr_0 [0:5] $end
$var wire 1 +" wr_enb_0 $end
$var wire 1 Y wr0_na4_na5 $end
$var wire 1 Z wr0_na4_a5 $end
$var wire 1 [ wr0_na3 $end
$var wire 1 \ wr0_na1_na2 $end
$var wire 1 ] wr0_na1_a2 $end
$var wire 1 ^ wr0_c_na0 $end
$var wire 1 _ wr0_c_a0 $end
$var wire 1 ` wr0_a4_na5 $end
$var wire 1 a wr0_a4_a5 $end
$var wire 1 b wr0_a3 $end
$var wire 1 c wr0_a1_na2 $end
$var wire 1 d wr0_a1_a2 $end
$var wire 1 g rd1_na4_na5 $end
$var wire 1 h rd1_na4_a5 $end
$var wire 1 i rd1_na3 $end
$var wire 1 j rd1_na1_na2 $end
$var wire 1 k rd1_na1_a2 $end
$var wire 1 l rd1_c_na0 $end
$var wire 1 m rd1_c_a0 $end
$var wire 1 n rd1_a4_na5 $end
$var wire 1 o rd1_a4_a5 $end
$var wire 1 p rd1_a3 $end
$var wire 1 q rd1_a1_na2 $end
$var wire 1 r rd1_a1_a2 $end
$var wire 1 s rd0_na4_na5 $end
$var wire 1 t rd0_na4_a5 $end
$var wire 1 u rd0_na3 $end
$var wire 1 v rd0_na1_na2 $end
$var wire 1 w rd0_na1_a2 $end
$var wire 1 x rd0_c_na0 $end
$var wire 1 y rd0_c_a0 $end
$var wire 1 z rd0_a4_na5 $end
$var wire 1 { rd0_a4_a5 $end
$var wire 1 | rd0_a3 $end
$var wire 1 } rd0_a1_na2 $end
$var wire 1 ~ rd0_a1_a2 $end
$scope module predecode_r0 $end
$var wire 1 ~ a1_a2 $end
$var wire 1 } a1_na2 $end
$var wire 1 { a4_a5 $end
$var wire 1 z a4_na5 $end
$var wire 6 /" address [0:5] $end
$var wire 1 y c_a0 $end
$var wire 1 x c_na0 $end
$var wire 1 0" clock_enable $end
$var wire 1 '" enable $end
$var wire 1 w na1_a2 $end
$var wire 1 v na1_na2 $end
$var wire 1 t na4_a5 $end
$var wire 1 s na4_na5 $end
$var wire 1 V strobe $end
$var wire 1 u na3 $end
$var wire 6 1" inv_address [0:5] $end
$var wire 1 | a3 $end
$upscope $end
$scope module predecode_r1 $end
$var wire 1 r a1_a2 $end
$var wire 1 q a1_na2 $end
$var wire 1 o a4_a5 $end
$var wire 1 n a4_na5 $end
$var wire 6 2" address [0:5] $end
$var wire 1 m c_a0 $end
$var wire 1 l c_na0 $end
$var wire 1 3" clock_enable $end
$var wire 1 (" enable $end
$var wire 1 k na1_a2 $end
$var wire 1 j na1_na2 $end
$var wire 1 h na4_a5 $end
$var wire 1 g na4_na5 $end
$var wire 1 V strobe $end
$var wire 1 i na3 $end
$var wire 6 4" inv_address [0:5] $end
$var wire 1 p a3 $end
$upscope $end
$scope module predecode_w0 $end
$var wire 1 d a1_a2 $end
$var wire 1 c a1_na2 $end
$var wire 1 a a4_a5 $end
$var wire 1 ` a4_na5 $end
$var wire 6 5" address [0:5] $end
$var wire 1 _ c_a0 $end
$var wire 1 ^ c_na0 $end
$var wire 1 6" clock_enable $end
$var wire 1 +" enable $end
$var wire 1 ] na1_a2 $end
$var wire 1 \ na1_na2 $end
$var wire 1 Z na4_a5 $end
$var wire 1 Y na4_na5 $end
$var wire 1 V strobe $end
$var wire 1 [ na3 $end
$var wire 6 7" inv_address [0:5] $end
$var wire 1 b a3 $end
$upscope $end
$upscope $end
$scope module array0 $end
$var wire 1 8" rd0_a0 $end
$var wire 1 9" rd0_a1 $end
$var wire 1 ~ rd0_a1_a2 $end
$var wire 1 } rd0_a1_na2 $end
$var wire 1 :" rd0_a2 $end
$var wire 1 | rd0_a3 $end
$var wire 1 ;" rd0_a4 $end
$var wire 1 { rd0_a4_a5 $end
$var wire 1 z rd0_a4_na5 $end
$var wire 1 <" rd0_a5 $end
$var wire 1 y rd0_c_a0 $end
$var wire 1 x rd0_c_na0 $end
$var wire 1 =" rd0_enable $end
$var wire 1 w rd0_na1_a2 $end
$var wire 1 v rd0_na1_na2 $end
$var wire 1 u rd0_na3 $end
$var wire 1 t rd0_na4_a5 $end
$var wire 1 s rd0_na4_na5 $end
$var wire 1 >" rd1_a0 $end
$var wire 1 ?" rd1_a1 $end
$var wire 1 r rd1_a1_a2 $end
$var wire 1 q rd1_a1_na2 $end
$var wire 1 @" rd1_a2 $end
$var wire 1 p rd1_a3 $end
$var wire 1 A" rd1_a4 $end
$var wire 1 o rd1_a4_a5 $end
$var wire 1 n rd1_a4_na5 $end
$var wire 1 B" rd1_a5 $end
$var wire 1 m rd1_c_a0 $end
$var wire 1 l rd1_c_na0 $end
$var wire 1 C" rd1_enable $end
$var wire 1 k rd1_na1_a2 $end
$var wire 1 j rd1_na1_na2 $end
$var wire 1 i rd1_na3 $end
$var wire 1 h rd1_na4_a5 $end
$var wire 1 g rd1_na4_na5 $end
$var wire 1 D" wr0_a0 $end
$var wire 1 E" wr0_a1 $end
$var wire 1 d wr0_a1_a2 $end
$var wire 1 c wr0_a1_na2 $end
$var wire 1 F" wr0_a2 $end
$var wire 1 b wr0_a3 $end
$var wire 1 G" wr0_a4 $end
$var wire 1 a wr0_a4_a5 $end
$var wire 1 ` wr0_a4_na5 $end
$var wire 1 H" wr0_a5 $end
$var wire 1 _ wr0_c_a0 $end
$var wire 1 ^ wr0_c_na0 $end
$var wire 24 I" wr0_dat [0:23] $end
$var wire 1 J" wr0_enable $end
$var wire 1 ] wr0_na1_a2 $end
$var wire 1 \ wr0_na1_na2 $end
$var wire 1 [ wr0_na3 $end
$var wire 1 Z wr0_na4_a5 $end
$var wire 1 Y wr0_na4_na5 $end
$var wire 24 K" rd1_dat [0:23] $end
$var wire 24 L" rd0_dat [0:23] $end
$upscope $end
$scope module array1 $end
$var wire 1 M" rd0_a0 $end
$var wire 1 N" rd0_a1 $end
$var wire 1 ~ rd0_a1_a2 $end
$var wire 1 } rd0_a1_na2 $end
$var wire 1 O" rd0_a2 $end
$var wire 1 | rd0_a3 $end
$var wire 1 P" rd0_a4 $end
$var wire 1 { rd0_a4_a5 $end
$var wire 1 z rd0_a4_na5 $end
$var wire 1 Q" rd0_a5 $end
$var wire 1 y rd0_c_a0 $end
$var wire 1 x rd0_c_na0 $end
$var wire 1 R" rd0_enable $end
$var wire 1 w rd0_na1_a2 $end
$var wire 1 v rd0_na1_na2 $end
$var wire 1 u rd0_na3 $end
$var wire 1 t rd0_na4_a5 $end
$var wire 1 s rd0_na4_na5 $end
$var wire 1 S" rd1_a0 $end
$var wire 1 T" rd1_a1 $end
$var wire 1 r rd1_a1_a2 $end
$var wire 1 q rd1_a1_na2 $end
$var wire 1 U" rd1_a2 $end
$var wire 1 p rd1_a3 $end
$var wire 1 V" rd1_a4 $end
$var wire 1 o rd1_a4_a5 $end
$var wire 1 n rd1_a4_na5 $end
$var wire 1 W" rd1_a5 $end
$var wire 1 m rd1_c_a0 $end
$var wire 1 l rd1_c_na0 $end
$var wire 1 X" rd1_enable $end
$var wire 1 k rd1_na1_a2 $end
$var wire 1 j rd1_na1_na2 $end
$var wire 1 i rd1_na3 $end
$var wire 1 h rd1_na4_a5 $end
$var wire 1 g rd1_na4_na5 $end
$var wire 1 Y" wr0_a0 $end
$var wire 1 Z" wr0_a1 $end
$var wire 1 d wr0_a1_a2 $end
$var wire 1 c wr0_a1_na2 $end
$var wire 1 [" wr0_a2 $end
$var wire 1 b wr0_a3 $end
$var wire 1 \" wr0_a4 $end
$var wire 1 a wr0_a4_a5 $end
$var wire 1 ` wr0_a4_na5 $end
$var wire 1 ]" wr0_a5 $end
$var wire 1 _ wr0_c_a0 $end
$var wire 1 ^ wr0_c_na0 $end
$var wire 24 ^" wr0_dat [0:23] $end
$var wire 1 _" wr0_enable $end
$var wire 1 ] wr0_na1_a2 $end
$var wire 1 \ wr0_na1_na2 $end
$var wire 1 [ wr0_na3 $end
$var wire 1 Z wr0_na4_a5 $end
$var wire 1 Y wr0_na4_na5 $end
$var wire 24 `" rd1_dat [0:23] $end
$var wire 24 a" rd0_dat [0:23] $end
$upscope $end
$scope module array2 $end
$var wire 1 b" rd0_a0 $end
$var wire 1 c" rd0_a1 $end
$var wire 1 ~ rd0_a1_a2 $end
$var wire 1 } rd0_a1_na2 $end
$var wire 1 d" rd0_a2 $end
$var wire 1 | rd0_a3 $end
$var wire 1 e" rd0_a4 $end
$var wire 1 { rd0_a4_a5 $end
$var wire 1 z rd0_a4_na5 $end
$var wire 1 f" rd0_a5 $end
$var wire 1 y rd0_c_a0 $end
$var wire 1 x rd0_c_na0 $end
$var wire 1 g" rd0_enable $end
$var wire 1 w rd0_na1_a2 $end
$var wire 1 v rd0_na1_na2 $end
$var wire 1 u rd0_na3 $end
$var wire 1 t rd0_na4_a5 $end
$var wire 1 s rd0_na4_na5 $end
$var wire 1 h" rd1_a0 $end
$var wire 1 i" rd1_a1 $end
$var wire 1 r rd1_a1_a2 $end
$var wire 1 q rd1_a1_na2 $end
$var wire 1 j" rd1_a2 $end
$var wire 1 p rd1_a3 $end
$var wire 1 k" rd1_a4 $end
$var wire 1 o rd1_a4_a5 $end
$var wire 1 n rd1_a4_na5 $end
$var wire 1 l" rd1_a5 $end
$var wire 1 m rd1_c_a0 $end
$var wire 1 l rd1_c_na0 $end
$var wire 1 m" rd1_enable $end
$var wire 1 k rd1_na1_a2 $end
$var wire 1 j rd1_na1_na2 $end
$var wire 1 i rd1_na3 $end
$var wire 1 h rd1_na4_a5 $end
$var wire 1 g rd1_na4_na5 $end
$var wire 1 n" wr0_a0 $end
$var wire 1 o" wr0_a1 $end
$var wire 1 d wr0_a1_a2 $end
$var wire 1 c wr0_a1_na2 $end
$var wire 1 p" wr0_a2 $end
$var wire 1 b wr0_a3 $end
$var wire 1 q" wr0_a4 $end
$var wire 1 a wr0_a4_a5 $end
$var wire 1 ` wr0_a4_na5 $end
$var wire 1 r" wr0_a5 $end
$var wire 1 _ wr0_c_a0 $end
$var wire 1 ^ wr0_c_na0 $end
$var wire 24 s" wr0_dat [0:23] $end
$var wire 1 t" wr0_enable $end
$var wire 1 ] wr0_na1_a2 $end
$var wire 1 \ wr0_na1_na2 $end
$var wire 1 [ wr0_na3 $end
$var wire 1 Z wr0_na4_a5 $end
$var wire 1 Y wr0_na4_na5 $end
$var wire 24 u" rd1_dat [0:23] $end
$var wire 24 v" rd0_dat [0:23] $end
$upscope $end
$upscope $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
bx v"
bx u"
xt"
bz s"
xr"
xq"
xp"
xo"
xn"
xm"
xl"
xk"
xj"
xi"
xh"
xg"
xf"
xe"
xd"
xc"
xb"
bx a"
bx `"
x_"
bz ^"
x]"
x\"
x["
xZ"
xY"
xX"
xW"
xV"
xU"
xT"
xS"
xR"
xQ"
xP"
xO"
xN"
xM"
bx L"
bx K"
xJ"
bz I"
xH"
xG"
xF"
xE"
xD"
xC"
xB"
xA"
x@"
x?"
x>"
x="
x<"
x;"
x:"
x9"
x8"
bx 7"
x6"
bz 5"
bx 4"
x3"
bz 2"
bx 1"
x0"
bz /"
bz ."
bz -"
bz ,"
z+"
bz *"
bz )"
z("
z'"
bx &"
bx %"
bz $"
bz #"
bx ""
bx !"
x~
x}
z|
x{
xz
xy
xx
xw
xv
xu
xt
xs
xr
xq
zp
xo
xn
xm
xl
xk
xj
xi
xh
xg
bx f
bx e
xd
xc
zb
xa
x`
x_
x^
x]
x\
x[
xZ
xY
bz X
bz W
1V
bz U
bz T
bx S
bx R
bx Q
bz P
bx O
bx N
xM
bz L
bx K
bz J
bx I
bz H
bz G
bz F
bz E
b0 D
bx C
bz B
bz A
bz @
z?
bz >
bz =
z<
bz ;
z:
bz 9
bx 8
bz 7
z6
bz 5
z4
bz 3
bz 2
z1
bx 0
bx /
0.
z-
bz ,
bz +
1*
z)
z(
bz '
bz &
1%
z$
bz #
b0 "
bz !
$end
#500
0%
#1000
1\
1Y
1j
1g
1v
1s
1[
0E"
0F"
0Z"
0["
0o"
0p"
0G"
0H"
0\"
0]"
0q"
0r"
0J"
0_"
0t"
1i
0?"
0@"
0T"
0U"
0i"
0j"
0A"
0B"
0V"
0W"
0k"
0l"
0C"
0X"
0m"
1u
09"
0:"
0N"
0O"
0c"
0d"
0;"
0<"
0P"
0Q"
0e"
0f"
0="
0R"
0g"
b111111 7"
0]
0c
0d
0Z
0`
0a
0^
0D"
0Y"
0n"
0_
b111111 4"
0k
0q
0r
0h
0n
0o
0l
0>"
0S"
0h"
0m
b111111 1"
0w
0}
0~
0t
0z
0{
0x
08"
0M"
0b"
0y
b0 I"
b0 ^"
b0 s"
0b
06"
0p
03"
0|
00"
b0 *"
b0 )"
b0 ."
b0 5"
0+"
b0 $"
b0 -"
b0 2"
0("
b0 #"
b0 ,"
b0 /"
0'"
0M
b111111 C
b111111 N
b1111111111111111 8
b1111111111111111 O
b1111111111111111 S
b1111111111111111 R
1%
#1500
0%
#2000
1%
#2500
0%
#3000
1%
#3500
0%
#4000
1%
#4500
0%
#5000
1%
#5500
0%
#6000
1%
#6500
0%
#7000
1%
#7500
0%
#8000
1%
#8500
0%
#9000
1%
#9500
0%
#10000
0*
1%
#10500
1.
0%
#11000
x\
xY
xj
xg
xv
xs
x[
xE"
xF"
xZ"
x["
xo"
xp"
xG"
xH"
x\"
x]"
xq"
xr"
xJ"
x_"
xt"
xi
x?"
x@"
xT"
xU"
xi"
xj"
xA"
xB"
xV"
xW"
xk"
xl"
xC"
xX"
xm"
xu
x9"
x:"
xN"
xO"
xc"
xd"
x;"
x<"
xP"
xQ"
xe"
xf"
x="
xR"
xg"
bx 7"
x]
xc
xd
xZ
x`
xa
x^
xD"
xY"
xn"
x_
bx 4"
xk
xq
xr
xh
xn
xo
xl
x>"
xS"
xh"
xm
bx 1"
xw
x}
x~
xt
xz
x{
xx
x8"
xM"
xb"
xy
bz I"
bz ^"
bz s"
zb
x6"
zp
x3"
z|
x0"
bz *"
bz )"
bz ."
bz 5"
z+"
bz $"
bz -"
bz 2"
z("
bz #"
bz ,"
bz /"
z'"
bx 8
bx O
bx S
bx R
0.
1%
#11500
1.
0%
#12000
0.
1%
#12500
1.
0%
#13000
0.
1%
#13500
1.
0%
#14000
0.
1%
#14500
1.
0%
#15000
0.
1%
#15500
1.
0%
#16000
0.
1%
#16500
1.
0%
#17000
0.
1%
#17500
1.
0%
#18000
0.
1%
#18500
1.
0%
#19000
0.
1%
#19500
1.
0%
#20000
0.
1%
#20500
1.
0%
#21000
0.
1%
#21500
1.
0%
#22000
0.
1%
#22500
1.
0%
#23000
0.
1%
#23500
1.
0%
#24000
0.
1%
#24500
1.
0%
#25000
0.
1%
#25500
1.
0%
#26000
0.
1%
#26500
1.
0%
#27000
0.
1%
#27500
1.
0%
#28000
0.
1%
#28500
1.
0%
#29000
0.
1%
#29500
1.
0%
#30000
0.
1%
#30500
1.
0%
#31000
0.
1%
#31500
1.
0%
#32000
0.
1%
#32500
1.
0%
#33000
0.
1%
#33500
1.
0%
#34000
0.
1%
#34500
1.
0%
#35000
0.
1%
#35500
1.
0%
#36000
0.
1%
#36500
1.
0%
#37000
0.
1%
#37500
1.
0%
#38000
0.
1%
#38500
1.
0%
#39000
0.
1%
#39500
1.
0%
#40000
0.
1%
#40500
1.
0%
#41000
0.
1%
#41500
1.
0%
#42000
0.
1%
#42500
1.
0%
#43000
0.
1%
#43500
1.
0%
#44000
0.
1%
#44500
1.
0%
#45000
0.
1%
#45500
1.
0%
#46000
0.
1%
#46500
1.
0%
#47000
0.
1%
#47500
1.
0%
#48000
0.
1%
#48500
1.
0%
#49000
0.
1%
#49500
1.
0%
#50000
0.
1%
#50500
1.
0%
#51000
0.
1%
#51500
1.
0%
#52000
0.
1%
#52500
1.
0%
#53000
0.
1%
#53500
1.
0%
#54000
0.
1%
#54500
1.
0%
#55000
0.
1%
#55500
1.
0%
#56000
0.
1%
#56500
1.
0%
#57000
0.
1%
#57500
1.
0%
#58000
0.
1%
#58500
1.
0%
#59000
0.
1%
#59500
1.
0%
#60000
0.
1%
#60500
1.
0%
#61000
0.
1%
#61500
1.
0%
#62000
0.
1%
#62500
1.
0%
#63000
0.
1%
#63500
1.
0%
#64000
0.
1%
#64500
1.
0%
#65000
0.
1%
#65500
1.
0%
#66000
0.
1%
#66500
1.
0%
#67000
0.
1%
#67500
1.
0%
#68000
0.
1%
#68500
1.
0%
#69000
0.
1%
#69500
1.
0%
#70000
0.
1%
#70500
1.
0%
#71000
0.
1%
#71500
1.
0%
#72000
0.
1%
#72500
1.
0%
#73000
0.
1%
#73500
1.
0%
#74000
0.
1%
#74500
1.
0%
#75000
0.
1%
#75500
1.
0%
#76000
0.
1%
#76500
1.
0%
#77000
0.
1%
#77500
1.
0%
#78000
0.
1%
#78500
1.
0%
#79000
0.
1%
#79500
1.
0%
#80000
0.
1%
#80500
1.
0%
#81000
0.
1%
#81500
1.
0%
#82000
0.
1%
#82500
1.
0%
#83000
0.
1%
#83500
1.
0%
#84000
0.
1%
#84500
1.
0%
#85000
0.
1%
#85500
1.
0%
#86000
0.
1%
#86500
1.
0%
#87000
0.
1%
#87500
1.
0%
#88000
0.
1%
#88500
1.
0%
#89000
0.
1%
#89500
1.
0%
#90000
0.
1%
#90500
1.
0%
#91000
0.
1%
#91500
1.
0%
#92000
0.
1%
#92500
1.
0%
#93000
0.
1%
#93500
1.
0%
#94000
0.
1%
#94500
1.
0%
#95000
0.
1%
#95500
1.
0%
#96000
0.
1%
#96500
1.
0%
#97000
0.
1%
#97500
1.
0%
#98000
0.
1%
#98500
1.
0%
#99000
0.
1%
#99500
1.
0%
#100000
0.
1%
#100500
1.
0%
#101000
0.
1%
#101500
1.
0%
#102000
0.
1%
#102500
1.
0%
#103000
0.
1%
#103500
1.
0%
#104000
0.
1%
#104500
1.
0%
#105000
0.
1%
#105500
1.
0%
#106000
0.
1%
#106500
1.
0%
#107000
0.
1%
#107500
1.
0%
#108000
0.
1%
#108500
1.
0%
#109000
0.
1%
#109500
1.
0%
#110000
0.
1%
#110500
1.
0%
#111000
0.
1%
#111500
1.
0%
#112000
0.
1%
#112500
1.
0%
#113000
0.
1%
#113500
1.
0%
#114000
0.
1%
#114500
1.
0%
#115000
0.
1%
#115500
1.
0%
#116000
0.
1%
#116500
1.
0%
#117000
0.
1%
#117500
1.
0%
#118000
0.
1%
#118500
1.
0%
#119000
0.
1%
#119500
1.
0%
#120000
0.
1%
#120500
1.
0%
#121000
0.
1%
#121500
1.
0%
#122000
0.
1%
#122500
1.
0%
#123000
0.
1%
#123500
1.
0%
#124000
0.
1%
#124500
1.
0%
#125000
0.
1%
#125500
1.
0%
#126000
0.
1%
#126500
1.
0%
#127000
0.
1%
#127500
1.
0%
#128000
0.
1%
#128500
1.
0%
#129000
0.
1%
#129500
1.
0%
#130000
b101010101010101010101010101010101010101010101010101010100000000 2
b101010101010101010101010101010101010101010101010101010100000000 G
b101010101010101010101010101010101010101010101010101010100000000 X
b0 3
b0 H
b0 W
11
b101010101010101010101010101010101010101010101010101010100000000 ,
b101010101010101010101010101010101010101010101010101010100000000 F
b0 +
b0 E
1-
0.
1%
#130500
1.
0%
#131000
b10101010101010101010101010101010101010101010101010101010100000001 2
b10101010101010101010101010101010101010101010101010101010100000001 G
b10101010101010101010101010101010101010101010101010101010100000001 X
b1 3
b1 H
b1 W
b10101010101010101010101010101010101010101010101010101010100000001 ,
b10101010101010101010101010101010101010101010101010101010100000001 F
b1 +
b1 E
1J"
1_"
1t"
1^
1\
1Y
1[
0E"
0F"
0Z"
0["
0o"
0p"
0G"
0H"
0\"
0]"
0q"
0r"
b111111 7"
0]
0c
0d
0Z
0`
0a
0D"
0Y"
0n"
0_
b101010101010101 I"
b10101010101010101010101 ^"
b10101010101010100000000 s"
0b
16"
b101010101010101010101010101010101010101010101010101010100000000 *"
b0 )"
b0 ."
b0 5"
1+"
0.
1%
#131500
1.
0%
#132000
b100101010101010101010101010101010101010101010101010101010100000010 2
b100101010101010101010101010101010101010101010101010101010100000010 G
b100101010101010101010101010101010101010101010101010101010100000010 X
b10 3
b10 H
b10 W
b100101010101010101010101010101010101010101010101010101010100000010 ,
b100101010101010101010101010101010101010101010101010101010100000010 F
b10 +
b10 E
0Y
1H"
1]"
1r"
b111110 7"
1Z
b10101010101010101 I"
b10101010101010100000001 s"
b10101010101010101010101010101010101010101010101010101010100000001 *"
b1 )"
b1 ."
b1 5"
0.
1%
#132500
1.
0%
#133000
b110101010101010101010101010101010101010101010101010101010100000011 2
b110101010101010101010101010101010101010101010101010101010100000011 G
b110101010101010101010101010101010101010101010101010101010100000011 X
b11 3
b11 H
b11 W
b110101010101010101010101010101010101010101010101010101010100000011 ,
b110101010101010101010101010101010101010101010101010101010100000011 F
b11 +
b11 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b111101 7"
0Z
b100101010101010101 I"
b10101010101010100000010 s"
b100101010101010101010101010101010101010101010101010101010100000010 *"
b10 )"
b10 ."
b10 5"
0.
1%
#133500
1.
0%
#134000
b1000101010101010101010101010101010101010101010101010101010100000100 2
b1000101010101010101010101010101010101010101010101010101010100000100 G
b1000101010101010101010101010101010101010101010101010101010100000100 X
b100 3
b100 H
b100 W
b1000101010101010101010101010101010101010101010101010101010100000100 ,
b1000101010101010101010101010101010101010101010101010101010100000100 F
b100 +
b100 E
0`
1H"
1]"
1r"
b111100 7"
1a
b110101010101010101 I"
b10101010101010100000011 s"
b110101010101010101010101010101010101010101010101010101010100000011 *"
b11 )"
b11 ."
b11 5"
0.
1%
#134500
1.
0%
#135000
b1010101010101010101010101010101010101010101010101010101010100000101 2
b1010101010101010101010101010101010101010101010101010101010100000101 G
b1010101010101010101010101010101010101010101010101010101010100000101 X
b101 3
b101 H
b101 W
b1010101010101010101010101010101010101010101010101010101010100000101 ,
b1010101010101010101010101010101010101010101010101010101010100000101 F
b101 +
b101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b111011 7"
0a
b1000101010101010101 I"
b10101010101010100000100 s"
1b
b1000101010101010101010101010101010101010101010101010101010100000100 *"
b100 )"
b100 ."
b100 5"
0.
1%
#135500
1.
0%
#136000
b1100101010101010101010101010101010101010101010101010101010100000110 2
b1100101010101010101010101010101010101010101010101010101010100000110 G
b1100101010101010101010101010101010101010101010101010101010100000110 X
b110 3
b110 H
b110 W
b1100101010101010101010101010101010101010101010101010101010100000110 ,
b1100101010101010101010101010101010101010101010101010101010100000110 F
b110 +
b110 E
0Y
1H"
1]"
1r"
b111010 7"
1Z
b1010101010101010101 I"
b10101010101010100000101 s"
b1010101010101010101010101010101010101010101010101010101010100000101 *"
b101 )"
b101 ."
b101 5"
0.
1%
#136500
1.
0%
#137000
b1110101010101010101010101010101010101010101010101010101010100000111 2
b1110101010101010101010101010101010101010101010101010101010100000111 G
b1110101010101010101010101010101010101010101010101010101010100000111 X
b111 3
b111 H
b111 W
b1110101010101010101010101010101010101010101010101010101010100000111 ,
b1110101010101010101010101010101010101010101010101010101010100000111 F
b111 +
b111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b111001 7"
0Z
b1100101010101010101 I"
b10101010101010100000110 s"
b1100101010101010101010101010101010101010101010101010101010100000110 *"
b110 )"
b110 ."
b110 5"
0.
1%
#137500
1.
0%
#138000
b10000101010101010101010101010101010101010101010101010101010100001000 2
b10000101010101010101010101010101010101010101010101010101010100001000 G
b10000101010101010101010101010101010101010101010101010101010100001000 X
b1000 3
b1000 H
b1000 W
b10000101010101010101010101010101010101010101010101010101010100001000 ,
b10000101010101010101010101010101010101010101010101010101010100001000 F
b1000 +
b1000 E
0`
1H"
1]"
1r"
b111000 7"
1a
b1110101010101010101 I"
b10101010101010100000111 s"
b1110101010101010101010101010101010101010101010101010101010100000111 *"
b111 )"
b111 ."
b111 5"
0.
1%
#138500
1.
0%
#139000
b10010101010101010101010101010101010101010101010101010101010100001001 2
b10010101010101010101010101010101010101010101010101010101010100001001 G
b10010101010101010101010101010101010101010101010101010101010100001001 X
b1001 3
b1001 H
b1001 W
b10010101010101010101010101010101010101010101010101010101010100001001 ,
b10010101010101010101010101010101010101010101010101010101010100001001 F
b1001 +
b1001 E
0\
1Y
1[
1F"
1["
1p"
0G"
0H"
0\"
0]"
0q"
0r"
b110111 7"
1]
0a
b10000101010101010101 I"
b10101010101010100001000 s"
0b
b10000101010101010101010101010101010101010101010101010101010100001000 *"
b1000 )"
b1000 ."
b1000 5"
0.
1%
#139500
1.
0%
#140000
b10100101010101010101010101010101010101010101010101010101010100001010 2
b10100101010101010101010101010101010101010101010101010101010100001010 G
b10100101010101010101010101010101010101010101010101010101010100001010 X
b1010 3
b1010 H
b1010 W
b10100101010101010101010101010101010101010101010101010101010100001010 ,
b10100101010101010101010101010101010101010101010101010101010100001010 F
b1010 +
b1010 E
0Y
1H"
1]"
1r"
b110110 7"
1Z
b10010101010101010101 I"
b10101010101010100001001 s"
b10010101010101010101010101010101010101010101010101010101010100001001 *"
b1001 )"
b1001 ."
b1001 5"
0.
1%
#140500
1.
0%
#141000
b10110101010101010101010101010101010101010101010101010101010100001011 2
b10110101010101010101010101010101010101010101010101010101010100001011 G
b10110101010101010101010101010101010101010101010101010101010100001011 X
b1011 3
b1011 H
b1011 W
b10110101010101010101010101010101010101010101010101010101010100001011 ,
b10110101010101010101010101010101010101010101010101010101010100001011 F
b1011 +
b1011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b110101 7"
0Z
b10100101010101010101 I"
b10101010101010100001010 s"
b10100101010101010101010101010101010101010101010101010101010100001010 *"
b1010 )"
b1010 ."
b1010 5"
0.
1%
#141500
1.
0%
#142000
b11000101010101010101010101010101010101010101010101010101010100001100 2
b11000101010101010101010101010101010101010101010101010101010100001100 G
b11000101010101010101010101010101010101010101010101010101010100001100 X
b1100 3
b1100 H
b1100 W
b11000101010101010101010101010101010101010101010101010101010100001100 ,
b11000101010101010101010101010101010101010101010101010101010100001100 F
b1100 +
b1100 E
0`
1H"
1]"
1r"
b110100 7"
1a
b10110101010101010101 I"
b10101010101010100001011 s"
b10110101010101010101010101010101010101010101010101010101010100001011 *"
b1011 )"
b1011 ."
b1011 5"
0.
1%
#142500
1.
0%
#143000
b11010101010101010101010101010101010101010101010101010101010100001101 2
b11010101010101010101010101010101010101010101010101010101010100001101 G
b11010101010101010101010101010101010101010101010101010101010100001101 X
b1101 3
b1101 H
b1101 W
b11010101010101010101010101010101010101010101010101010101010100001101 ,
b11010101010101010101010101010101010101010101010101010101010100001101 F
b1101 +
b1101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b110011 7"
0a
b11000101010101010101 I"
b10101010101010100001100 s"
1b
b11000101010101010101010101010101010101010101010101010101010100001100 *"
b1100 )"
b1100 ."
b1100 5"
0.
1%
#143500
1.
0%
#144000
b11100101010101010101010101010101010101010101010101010101010100001110 2
b11100101010101010101010101010101010101010101010101010101010100001110 G
b11100101010101010101010101010101010101010101010101010101010100001110 X
b1110 3
b1110 H
b1110 W
b11100101010101010101010101010101010101010101010101010101010100001110 ,
b11100101010101010101010101010101010101010101010101010101010100001110 F
b1110 +
b1110 E
0Y
1H"
1]"
1r"
b110010 7"
1Z
b11010101010101010101 I"
b10101010101010100001101 s"
b11010101010101010101010101010101010101010101010101010101010100001101 *"
b1101 )"
b1101 ."
b1101 5"
0.
1%
#144500
1.
0%
#145000
b11110101010101010101010101010101010101010101010101010101010100001111 2
b11110101010101010101010101010101010101010101010101010101010100001111 G
b11110101010101010101010101010101010101010101010101010101010100001111 X
b1111 3
b1111 H
b1111 W
b11110101010101010101010101010101010101010101010101010101010100001111 ,
b11110101010101010101010101010101010101010101010101010101010100001111 F
b1111 +
b1111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b110001 7"
0Z
b11100101010101010101 I"
b10101010101010100001110 s"
b11100101010101010101010101010101010101010101010101010101010100001110 *"
b1110 )"
b1110 ."
b1110 5"
0.
1%
#145500
1.
0%
#146000
b100000101010101010101010101010101010101010101010101010101010100010000 2
b100000101010101010101010101010101010101010101010101010101010100010000 G
b100000101010101010101010101010101010101010101010101010101010100010000 X
b10000 3
b10000 H
b10000 W
b100000101010101010101010101010101010101010101010101010101010100010000 ,
b100000101010101010101010101010101010101010101010101010101010100010000 F
b10000 +
b10000 E
0`
1H"
1]"
1r"
b110000 7"
1a
b11110101010101010101 I"
b10101010101010100001111 s"
b11110101010101010101010101010101010101010101010101010101010100001111 *"
b1111 )"
b1111 ."
b1111 5"
0.
1%
#146500
1.
0%
#147000
b100010101010101010101010101010101010101010101010101010101010100010001 2
b100010101010101010101010101010101010101010101010101010101010100010001 G
b100010101010101010101010101010101010101010101010101010101010100010001 X
b10001 3
b10001 H
b10001 W
b100010101010101010101010101010101010101010101010101010101010100010001 ,
b100010101010101010101010101010101010101010101010101010101010100010001 F
b10001 +
b10001 E
1E"
1Z"
1o"
1c
1Y
1[
0F"
0["
0p"
0G"
0H"
0\"
0]"
0q"
0r"
b101111 7"
0]
0a
b100000101010101010101 I"
b10101010101010100010000 s"
0b
b100000101010101010101010101010101010101010101010101010101010100010000 *"
b10000 )"
b10000 ."
b10000 5"
0.
1%
#147500
1.
0%
#148000
b100100101010101010101010101010101010101010101010101010101010100010010 2
b100100101010101010101010101010101010101010101010101010101010100010010 G
b100100101010101010101010101010101010101010101010101010101010100010010 X
b10010 3
b10010 H
b10010 W
b100100101010101010101010101010101010101010101010101010101010100010010 ,
b100100101010101010101010101010101010101010101010101010101010100010010 F
b10010 +
b10010 E
0Y
1H"
1]"
1r"
b101110 7"
1Z
b100010101010101010101 I"
b10101010101010100010001 s"
b100010101010101010101010101010101010101010101010101010101010100010001 *"
b10001 )"
b10001 ."
b10001 5"
0.
1%
#148500
1.
0%
#149000
b100110101010101010101010101010101010101010101010101010101010100010011 2
b100110101010101010101010101010101010101010101010101010101010100010011 G
b100110101010101010101010101010101010101010101010101010101010100010011 X
b10011 3
b10011 H
b10011 W
b100110101010101010101010101010101010101010101010101010101010100010011 ,
b100110101010101010101010101010101010101010101010101010101010100010011 F
b10011 +
b10011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b101101 7"
0Z
b100100101010101010101 I"
b10101010101010100010010 s"
b100100101010101010101010101010101010101010101010101010101010100010010 *"
b10010 )"
b10010 ."
b10010 5"
0.
1%
#149500
1.
0%
#150000
b101000101010101010101010101010101010101010101010101010101010100010100 2
b101000101010101010101010101010101010101010101010101010101010100010100 G
b101000101010101010101010101010101010101010101010101010101010100010100 X
b10100 3
b10100 H
b10100 W
b101000101010101010101010101010101010101010101010101010101010100010100 ,
b101000101010101010101010101010101010101010101010101010101010100010100 F
b10100 +
b10100 E
0`
1H"
1]"
1r"
b101100 7"
1a
b100110101010101010101 I"
b10101010101010100010011 s"
b100110101010101010101010101010101010101010101010101010101010100010011 *"
b10011 )"
b10011 ."
b10011 5"
0.
1%
#150500
1.
0%
#151000
b101010101010101010101010101010101010101010101010101010101010100010101 2
b101010101010101010101010101010101010101010101010101010101010100010101 G
b101010101010101010101010101010101010101010101010101010101010100010101 X
b10101 3
b10101 H
b10101 W
b101010101010101010101010101010101010101010101010101010101010100010101 ,
b101010101010101010101010101010101010101010101010101010101010100010101 F
b10101 +
b10101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b101011 7"
0a
b101000101010101010101 I"
b10101010101010100010100 s"
1b
b101000101010101010101010101010101010101010101010101010101010100010100 *"
b10100 )"
b10100 ."
b10100 5"
0.
1%
#151500
1.
0%
#152000
b101100101010101010101010101010101010101010101010101010101010100010110 2
b101100101010101010101010101010101010101010101010101010101010100010110 G
b101100101010101010101010101010101010101010101010101010101010100010110 X
b10110 3
b10110 H
b10110 W
b101100101010101010101010101010101010101010101010101010101010100010110 ,
b101100101010101010101010101010101010101010101010101010101010100010110 F
b10110 +
b10110 E
0Y
1H"
1]"
1r"
b101010 7"
1Z
b101010101010101010101 I"
b10101010101010100010101 s"
b101010101010101010101010101010101010101010101010101010101010100010101 *"
b10101 )"
b10101 ."
b10101 5"
0.
1%
#152500
1.
0%
#153000
b101110101010101010101010101010101010101010101010101010101010100010111 2
b101110101010101010101010101010101010101010101010101010101010100010111 G
b101110101010101010101010101010101010101010101010101010101010100010111 X
b10111 3
b10111 H
b10111 W
b101110101010101010101010101010101010101010101010101010101010100010111 ,
b101110101010101010101010101010101010101010101010101010101010100010111 F
b10111 +
b10111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b101001 7"
0Z
b101100101010101010101 I"
b10101010101010100010110 s"
b101100101010101010101010101010101010101010101010101010101010100010110 *"
b10110 )"
b10110 ."
b10110 5"
0.
1%
#153500
1.
0%
#154000
b110000101010101010101010101010101010101010101010101010101010100011000 2
b110000101010101010101010101010101010101010101010101010101010100011000 G
b110000101010101010101010101010101010101010101010101010101010100011000 X
b11000 3
b11000 H
b11000 W
b110000101010101010101010101010101010101010101010101010101010100011000 ,
b110000101010101010101010101010101010101010101010101010101010100011000 F
b11000 +
b11000 E
0`
1H"
1]"
1r"
b101000 7"
1a
b101110101010101010101 I"
b10101010101010100010111 s"
b101110101010101010101010101010101010101010101010101010101010100010111 *"
b10111 )"
b10111 ."
b10111 5"
0.
1%
#154500
1.
0%
#155000
b110010101010101010101010101010101010101010101010101010101010100011001 2
b110010101010101010101010101010101010101010101010101010101010100011001 G
b110010101010101010101010101010101010101010101010101010101010100011001 X
b11001 3
b11001 H
b11001 W
b110010101010101010101010101010101010101010101010101010101010100011001 ,
b110010101010101010101010101010101010101010101010101010101010100011001 F
b11001 +
b11001 E
0c
1Y
1[
1F"
1["
1p"
0G"
0H"
0\"
0]"
0q"
0r"
b100111 7"
1d
0a
b110000101010101010101 I"
b10101010101010100011000 s"
0b
b110000101010101010101010101010101010101010101010101010101010100011000 *"
b11000 )"
b11000 ."
b11000 5"
0.
1%
#155500
1.
0%
#156000
b110100101010101010101010101010101010101010101010101010101010100011010 2
b110100101010101010101010101010101010101010101010101010101010100011010 G
b110100101010101010101010101010101010101010101010101010101010100011010 X
b11010 3
b11010 H
b11010 W
b110100101010101010101010101010101010101010101010101010101010100011010 ,
b110100101010101010101010101010101010101010101010101010101010100011010 F
b11010 +
b11010 E
0Y
1H"
1]"
1r"
b100110 7"
1Z
b110010101010101010101 I"
b10101010101010100011001 s"
b110010101010101010101010101010101010101010101010101010101010100011001 *"
b11001 )"
b11001 ."
b11001 5"
0.
1%
#156500
1.
0%
#157000
b110110101010101010101010101010101010101010101010101010101010100011011 2
b110110101010101010101010101010101010101010101010101010101010100011011 G
b110110101010101010101010101010101010101010101010101010101010100011011 X
b11011 3
b11011 H
b11011 W
b110110101010101010101010101010101010101010101010101010101010100011011 ,
b110110101010101010101010101010101010101010101010101010101010100011011 F
b11011 +
b11011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b100101 7"
0Z
b110100101010101010101 I"
b10101010101010100011010 s"
b110100101010101010101010101010101010101010101010101010101010100011010 *"
b11010 )"
b11010 ."
b11010 5"
0.
1%
#157500
1.
0%
#158000
b111000101010101010101010101010101010101010101010101010101010100011100 2
b111000101010101010101010101010101010101010101010101010101010100011100 G
b111000101010101010101010101010101010101010101010101010101010100011100 X
b11100 3
b11100 H
b11100 W
b111000101010101010101010101010101010101010101010101010101010100011100 ,
b111000101010101010101010101010101010101010101010101010101010100011100 F
b11100 +
b11100 E
0`
1H"
1]"
1r"
b100100 7"
1a
b110110101010101010101 I"
b10101010101010100011011 s"
b110110101010101010101010101010101010101010101010101010101010100011011 *"
b11011 )"
b11011 ."
b11011 5"
0.
1%
#158500
1.
0%
#159000
b111010101010101010101010101010101010101010101010101010101010100011101 2
b111010101010101010101010101010101010101010101010101010101010100011101 G
b111010101010101010101010101010101010101010101010101010101010100011101 X
b11101 3
b11101 H
b11101 W
b111010101010101010101010101010101010101010101010101010101010100011101 ,
b111010101010101010101010101010101010101010101010101010101010100011101 F
b11101 +
b11101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b100011 7"
0a
b111000101010101010101 I"
b10101010101010100011100 s"
1b
b111000101010101010101010101010101010101010101010101010101010100011100 *"
b11100 )"
b11100 ."
b11100 5"
0.
1%
#159500
1.
0%
#160000
b111100101010101010101010101010101010101010101010101010101010100011110 2
b111100101010101010101010101010101010101010101010101010101010100011110 G
b111100101010101010101010101010101010101010101010101010101010100011110 X
b11110 3
b11110 H
b11110 W
b111100101010101010101010101010101010101010101010101010101010100011110 ,
b111100101010101010101010101010101010101010101010101010101010100011110 F
b11110 +
b11110 E
0Y
1H"
1]"
1r"
b100010 7"
1Z
b111010101010101010101 I"
b10101010101010100011101 s"
b111010101010101010101010101010101010101010101010101010101010100011101 *"
b11101 )"
b11101 ."
b11101 5"
0.
1%
#160500
1.
0%
#161000
b111110101010101010101010101010101010101010101010101010101010100011111 2
b111110101010101010101010101010101010101010101010101010101010100011111 G
b111110101010101010101010101010101010101010101010101010101010100011111 X
b11111 3
b11111 H
b11111 W
b111110101010101010101010101010101010101010101010101010101010100011111 ,
b111110101010101010101010101010101010101010101010101010101010100011111 F
b11111 +
b11111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b100001 7"
0Z
b111100101010101010101 I"
b10101010101010100011110 s"
b111100101010101010101010101010101010101010101010101010101010100011110 *"
b11110 )"
b11110 ."
b11110 5"
0.
1%
#161500
1.
0%
#162000
b1000000101010101010101010101010101010101010101010101010101010100100000 2
b1000000101010101010101010101010101010101010101010101010101010100100000 G
b1000000101010101010101010101010101010101010101010101010101010100100000 X
b100000 3
b100000 H
b100000 W
b1000000101010101010101010101010101010101010101010101010101010100100000 ,
b1000000101010101010101010101010101010101010101010101010101010100100000 F
b100000 +
b100000 E
0`
1H"
1]"
1r"
b100000 7"
1a
b111110101010101010101 I"
b10101010101010100011111 s"
b111110101010101010101010101010101010101010101010101010101010100011111 *"
b11111 )"
b11111 ."
b11111 5"
0.
1%
#162500
1.
0%
#163000
b1000010101010101010101010101010101010101010101010101010101010100100001 2
b1000010101010101010101010101010101010101010101010101010101010100100001 G
b1000010101010101010101010101010101010101010101010101010101010100100001 X
b100001 3
b100001 H
b100001 W
b1000010101010101010101010101010101010101010101010101010101010100100001 ,
b1000010101010101010101010101010101010101010101010101010101010100100001 F
b100001 +
b100001 E
0^
1\
1Y
1[
0E"
0F"
0Z"
0["
0o"
0p"
0G"
0H"
0\"
0]"
0q"
0r"
b11111 7"
1D"
1Y"
1n"
1_
0d
0a
b1000000101010101010101 I"
b10101010101010100100000 s"
0b
b1000000101010101010101010101010101010101010101010101010101010100100000 *"
b100000 )"
b100000 ."
b100000 5"
0.
1%
#163500
1.
0%
#164000
b1000100101010101010101010101010101010101010101010101010101010100100010 2
b1000100101010101010101010101010101010101010101010101010101010100100010 G
b1000100101010101010101010101010101010101010101010101010101010100100010 X
b100010 3
b100010 H
b100010 W
b1000100101010101010101010101010101010101010101010101010101010100100010 ,
b1000100101010101010101010101010101010101010101010101010101010100100010 F
b100010 +
b100010 E
0Y
1H"
1]"
1r"
b11110 7"
1Z
b1000010101010101010101 I"
b10101010101010100100001 s"
b1000010101010101010101010101010101010101010101010101010101010100100001 *"
b100001 )"
b100001 ."
b100001 5"
0.
1%
#164500
1.
0%
#165000
b1000110101010101010101010101010101010101010101010101010101010100100011 2
b1000110101010101010101010101010101010101010101010101010101010100100011 G
b1000110101010101010101010101010101010101010101010101010101010100100011 X
b100011 3
b100011 H
b100011 W
b1000110101010101010101010101010101010101010101010101010101010100100011 ,
b1000110101010101010101010101010101010101010101010101010101010100100011 F
b100011 +
b100011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b11101 7"
0Z
b1000100101010101010101 I"
b10101010101010100100010 s"
b1000100101010101010101010101010101010101010101010101010101010100100010 *"
b100010 )"
b100010 ."
b100010 5"
0.
1%
#165500
1.
0%
#166000
b1001000101010101010101010101010101010101010101010101010101010100100100 2
b1001000101010101010101010101010101010101010101010101010101010100100100 G
b1001000101010101010101010101010101010101010101010101010101010100100100 X
b100100 3
b100100 H
b100100 W
b1001000101010101010101010101010101010101010101010101010101010100100100 ,
b1001000101010101010101010101010101010101010101010101010101010100100100 F
b100100 +
b100100 E
0`
1H"
1]"
1r"
b11100 7"
1a
b1000110101010101010101 I"
b10101010101010100100011 s"
b1000110101010101010101010101010101010101010101010101010101010100100011 *"
b100011 )"
b100011 ."
b100011 5"
0.
1%
#166500
1.
0%
#167000
b1001010101010101010101010101010101010101010101010101010101010100100101 2
b1001010101010101010101010101010101010101010101010101010101010100100101 G
b1001010101010101010101010101010101010101010101010101010101010100100101 X
b100101 3
b100101 H
b100101 W
b1001010101010101010101010101010101010101010101010101010101010100100101 ,
b1001010101010101010101010101010101010101010101010101010101010100100101 F
b100101 +
b100101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b11011 7"
0a
b1001000101010101010101 I"
b10101010101010100100100 s"
1b
b1001000101010101010101010101010101010101010101010101010101010100100100 *"
b100100 )"
b100100 ."
b100100 5"
0.
1%
#167500
1.
0%
#168000
b1001100101010101010101010101010101010101010101010101010101010100100110 2
b1001100101010101010101010101010101010101010101010101010101010100100110 G
b1001100101010101010101010101010101010101010101010101010101010100100110 X
b100110 3
b100110 H
b100110 W
b1001100101010101010101010101010101010101010101010101010101010100100110 ,
b1001100101010101010101010101010101010101010101010101010101010100100110 F
b100110 +
b100110 E
0Y
1H"
1]"
1r"
b11010 7"
1Z
b1001010101010101010101 I"
b10101010101010100100101 s"
b1001010101010101010101010101010101010101010101010101010101010100100101 *"
b100101 )"
b100101 ."
b100101 5"
0.
1%
#168500
1.
0%
#169000
b1001110101010101010101010101010101010101010101010101010101010100100111 2
b1001110101010101010101010101010101010101010101010101010101010100100111 G
b1001110101010101010101010101010101010101010101010101010101010100100111 X
b100111 3
b100111 H
b100111 W
b1001110101010101010101010101010101010101010101010101010101010100100111 ,
b1001110101010101010101010101010101010101010101010101010101010100100111 F
b100111 +
b100111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b11001 7"
0Z
b1001100101010101010101 I"
b10101010101010100100110 s"
b1001100101010101010101010101010101010101010101010101010101010100100110 *"
b100110 )"
b100110 ."
b100110 5"
0.
1%
#169500
1.
0%
#170000
b1010000101010101010101010101010101010101010101010101010101010100101000 2
b1010000101010101010101010101010101010101010101010101010101010100101000 G
b1010000101010101010101010101010101010101010101010101010101010100101000 X
b101000 3
b101000 H
b101000 W
b1010000101010101010101010101010101010101010101010101010101010100101000 ,
b1010000101010101010101010101010101010101010101010101010101010100101000 F
b101000 +
b101000 E
0`
1H"
1]"
1r"
b11000 7"
1a
b1001110101010101010101 I"
b10101010101010100100111 s"
b1001110101010101010101010101010101010101010101010101010101010100100111 *"
b100111 )"
b100111 ."
b100111 5"
0.
1%
#170500
1.
0%
#171000
b1010010101010101010101010101010101010101010101010101010101010100101001 2
b1010010101010101010101010101010101010101010101010101010101010100101001 G
b1010010101010101010101010101010101010101010101010101010101010100101001 X
b101001 3
b101001 H
b101001 W
b1010010101010101010101010101010101010101010101010101010101010100101001 ,
b1010010101010101010101010101010101010101010101010101010101010100101001 F
b101001 +
b101001 E
0\
1Y
1[
1F"
1["
1p"
0G"
0H"
0\"
0]"
0q"
0r"
b10111 7"
1]
0a
b1010000101010101010101 I"
b10101010101010100101000 s"
0b
b1010000101010101010101010101010101010101010101010101010101010100101000 *"
b101000 )"
b101000 ."
b101000 5"
0.
1%
#171500
1.
0%
#172000
b1010100101010101010101010101010101010101010101010101010101010100101010 2
b1010100101010101010101010101010101010101010101010101010101010100101010 G
b1010100101010101010101010101010101010101010101010101010101010100101010 X
b101010 3
b101010 H
b101010 W
b1010100101010101010101010101010101010101010101010101010101010100101010 ,
b1010100101010101010101010101010101010101010101010101010101010100101010 F
b101010 +
b101010 E
0Y
1H"
1]"
1r"
b10110 7"
1Z
b1010010101010101010101 I"
b10101010101010100101001 s"
b1010010101010101010101010101010101010101010101010101010101010100101001 *"
b101001 )"
b101001 ."
b101001 5"
0.
1%
#172500
1.
0%
#173000
b1010110101010101010101010101010101010101010101010101010101010100101011 2
b1010110101010101010101010101010101010101010101010101010101010100101011 G
b1010110101010101010101010101010101010101010101010101010101010100101011 X
b101011 3
b101011 H
b101011 W
b1010110101010101010101010101010101010101010101010101010101010100101011 ,
b1010110101010101010101010101010101010101010101010101010101010100101011 F
b101011 +
b101011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b10101 7"
0Z
b1010100101010101010101 I"
b10101010101010100101010 s"
b1010100101010101010101010101010101010101010101010101010101010100101010 *"
b101010 )"
b101010 ."
b101010 5"
0.
1%
#173500
1.
0%
#174000
b1011000101010101010101010101010101010101010101010101010101010100101100 2
b1011000101010101010101010101010101010101010101010101010101010100101100 G
b1011000101010101010101010101010101010101010101010101010101010100101100 X
b101100 3
b101100 H
b101100 W
b1011000101010101010101010101010101010101010101010101010101010100101100 ,
b1011000101010101010101010101010101010101010101010101010101010100101100 F
b101100 +
b101100 E
0`
1H"
1]"
1r"
b10100 7"
1a
b1010110101010101010101 I"
b10101010101010100101011 s"
b1010110101010101010101010101010101010101010101010101010101010100101011 *"
b101011 )"
b101011 ."
b101011 5"
0.
1%
#174500
1.
0%
#175000
b1011010101010101010101010101010101010101010101010101010101010100101101 2
b1011010101010101010101010101010101010101010101010101010101010100101101 G
b1011010101010101010101010101010101010101010101010101010101010100101101 X
b101101 3
b101101 H
b101101 W
b1011010101010101010101010101010101010101010101010101010101010100101101 ,
b1011010101010101010101010101010101010101010101010101010101010100101101 F
b101101 +
b101101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b10011 7"
0a
b1011000101010101010101 I"
b10101010101010100101100 s"
1b
b1011000101010101010101010101010101010101010101010101010101010100101100 *"
b101100 )"
b101100 ."
b101100 5"
0.
1%
#175500
1.
0%
#176000
b1011100101010101010101010101010101010101010101010101010101010100101110 2
b1011100101010101010101010101010101010101010101010101010101010100101110 G
b1011100101010101010101010101010101010101010101010101010101010100101110 X
b101110 3
b101110 H
b101110 W
b1011100101010101010101010101010101010101010101010101010101010100101110 ,
b1011100101010101010101010101010101010101010101010101010101010100101110 F
b101110 +
b101110 E
0Y
1H"
1]"
1r"
b10010 7"
1Z
b1011010101010101010101 I"
b10101010101010100101101 s"
b1011010101010101010101010101010101010101010101010101010101010100101101 *"
b101101 )"
b101101 ."
b101101 5"
0.
1%
#176500
1.
0%
#177000
b1011110101010101010101010101010101010101010101010101010101010100101111 2
b1011110101010101010101010101010101010101010101010101010101010100101111 G
b1011110101010101010101010101010101010101010101010101010101010100101111 X
b101111 3
b101111 H
b101111 W
b1011110101010101010101010101010101010101010101010101010101010100101111 ,
b1011110101010101010101010101010101010101010101010101010101010100101111 F
b101111 +
b101111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b10001 7"
0Z
b1011100101010101010101 I"
b10101010101010100101110 s"
b1011100101010101010101010101010101010101010101010101010101010100101110 *"
b101110 )"
b101110 ."
b101110 5"
0.
1%
#177500
1.
0%
#178000
b1100000101010101010101010101010101010101010101010101010101010100110000 2
b1100000101010101010101010101010101010101010101010101010101010100110000 G
b1100000101010101010101010101010101010101010101010101010101010100110000 X
b110000 3
b110000 H
b110000 W
b1100000101010101010101010101010101010101010101010101010101010100110000 ,
b1100000101010101010101010101010101010101010101010101010101010100110000 F
b110000 +
b110000 E
0`
1H"
1]"
1r"
b10000 7"
1a
b1011110101010101010101 I"
b10101010101010100101111 s"
b1011110101010101010101010101010101010101010101010101010101010100101111 *"
b101111 )"
b101111 ."
b101111 5"
0.
1%
#178500
1.
0%
#179000
b1100010101010101010101010101010101010101010101010101010101010100110001 2
b1100010101010101010101010101010101010101010101010101010101010100110001 G
b1100010101010101010101010101010101010101010101010101010101010100110001 X
b110001 3
b110001 H
b110001 W
b1100010101010101010101010101010101010101010101010101010101010100110001 ,
b1100010101010101010101010101010101010101010101010101010101010100110001 F
b110001 +
b110001 E
1E"
1Z"
1o"
1c
1Y
1[
0F"
0["
0p"
0G"
0H"
0\"
0]"
0q"
0r"
b1111 7"
0]
0a
b1100000101010101010101 I"
b10101010101010100110000 s"
0b
b1100000101010101010101010101010101010101010101010101010101010100110000 *"
b110000 )"
b110000 ."
b110000 5"
0.
1%
#179500
1.
0%
#180000
b1100100101010101010101010101010101010101010101010101010101010100110010 2
b1100100101010101010101010101010101010101010101010101010101010100110010 G
b1100100101010101010101010101010101010101010101010101010101010100110010 X
b110010 3
b110010 H
b110010 W
b1100100101010101010101010101010101010101010101010101010101010100110010 ,
b1100100101010101010101010101010101010101010101010101010101010100110010 F
b110010 +
b110010 E
0Y
1H"
1]"
1r"
b1110 7"
1Z
b1100010101010101010101 I"
b10101010101010100110001 s"
b1100010101010101010101010101010101010101010101010101010101010100110001 *"
b110001 )"
b110001 ."
b110001 5"
0.
1%
#180500
1.
0%
#181000
b1100110101010101010101010101010101010101010101010101010101010100110011 2
b1100110101010101010101010101010101010101010101010101010101010100110011 G
b1100110101010101010101010101010101010101010101010101010101010100110011 X
b110011 3
b110011 H
b110011 W
b1100110101010101010101010101010101010101010101010101010101010100110011 ,
b1100110101010101010101010101010101010101010101010101010101010100110011 F
b110011 +
b110011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b1101 7"
0Z
b1100100101010101010101 I"
b10101010101010100110010 s"
b1100100101010101010101010101010101010101010101010101010101010100110010 *"
b110010 )"
b110010 ."
b110010 5"
0.
1%
#181500
1.
0%
#182000
b1101000101010101010101010101010101010101010101010101010101010100110100 2
b1101000101010101010101010101010101010101010101010101010101010100110100 G
b1101000101010101010101010101010101010101010101010101010101010100110100 X
b110100 3
b110100 H
b110100 W
b1101000101010101010101010101010101010101010101010101010101010100110100 ,
b1101000101010101010101010101010101010101010101010101010101010100110100 F
b110100 +
b110100 E
0`
1H"
1]"
1r"
b1100 7"
1a
b1100110101010101010101 I"
b10101010101010100110011 s"
b1100110101010101010101010101010101010101010101010101010101010100110011 *"
b110011 )"
b110011 ."
b110011 5"
0.
1%
#182500
1.
0%
#183000
b1101010101010101010101010101010101010101010101010101010101010100110101 2
b1101010101010101010101010101010101010101010101010101010101010100110101 G
b1101010101010101010101010101010101010101010101010101010101010100110101 X
b110101 3
b110101 H
b110101 W
b1101010101010101010101010101010101010101010101010101010101010100110101 ,
b1101010101010101010101010101010101010101010101010101010101010100110101 F
b110101 +
b110101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b1011 7"
0a
b1101000101010101010101 I"
b10101010101010100110100 s"
1b
b1101000101010101010101010101010101010101010101010101010101010100110100 *"
b110100 )"
b110100 ."
b110100 5"
0.
1%
#183500
1.
0%
#184000
b1101100101010101010101010101010101010101010101010101010101010100110110 2
b1101100101010101010101010101010101010101010101010101010101010100110110 G
b1101100101010101010101010101010101010101010101010101010101010100110110 X
b110110 3
b110110 H
b110110 W
b1101100101010101010101010101010101010101010101010101010101010100110110 ,
b1101100101010101010101010101010101010101010101010101010101010100110110 F
b110110 +
b110110 E
0Y
1H"
1]"
1r"
b1010 7"
1Z
b1101010101010101010101 I"
b10101010101010100110101 s"
b1101010101010101010101010101010101010101010101010101010101010100110101 *"
b110101 )"
b110101 ."
b110101 5"
0.
1%
#184500
1.
0%
#185000
b1101110101010101010101010101010101010101010101010101010101010100110111 2
b1101110101010101010101010101010101010101010101010101010101010100110111 G
b1101110101010101010101010101010101010101010101010101010101010100110111 X
b110111 3
b110111 H
b110111 W
b1101110101010101010101010101010101010101010101010101010101010100110111 ,
b1101110101010101010101010101010101010101010101010101010101010100110111 F
b110111 +
b110111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b1001 7"
0Z
b1101100101010101010101 I"
b10101010101010100110110 s"
b1101100101010101010101010101010101010101010101010101010101010100110110 *"
b110110 )"
b110110 ."
b110110 5"
0.
1%
#185500
1.
0%
#186000
b1110000101010101010101010101010101010101010101010101010101010100111000 2
b1110000101010101010101010101010101010101010101010101010101010100111000 G
b1110000101010101010101010101010101010101010101010101010101010100111000 X
b111000 3
b111000 H
b111000 W
b1110000101010101010101010101010101010101010101010101010101010100111000 ,
b1110000101010101010101010101010101010101010101010101010101010100111000 F
b111000 +
b111000 E
0`
1H"
1]"
1r"
b1000 7"
1a
b1101110101010101010101 I"
b10101010101010100110111 s"
b1101110101010101010101010101010101010101010101010101010101010100110111 *"
b110111 )"
b110111 ."
b110111 5"
0.
1%
#186500
1.
0%
#187000
b1110010101010101010101010101010101010101010101010101010101010100111001 2
b1110010101010101010101010101010101010101010101010101010101010100111001 G
b1110010101010101010101010101010101010101010101010101010101010100111001 X
b111001 3
b111001 H
b111001 W
b1110010101010101010101010101010101010101010101010101010101010100111001 ,
b1110010101010101010101010101010101010101010101010101010101010100111001 F
b111001 +
b111001 E
0c
1Y
1[
1F"
1["
1p"
0G"
0H"
0\"
0]"
0q"
0r"
b111 7"
1d
0a
b1110000101010101010101 I"
b10101010101010100111000 s"
0b
b1110000101010101010101010101010101010101010101010101010101010100111000 *"
b111000 )"
b111000 ."
b111000 5"
0.
1%
#187500
1.
0%
#188000
b1110100101010101010101010101010101010101010101010101010101010100111010 2
b1110100101010101010101010101010101010101010101010101010101010100111010 G
b1110100101010101010101010101010101010101010101010101010101010100111010 X
b111010 3
b111010 H
b111010 W
b1110100101010101010101010101010101010101010101010101010101010100111010 ,
b1110100101010101010101010101010101010101010101010101010101010100111010 F
b111010 +
b111010 E
0Y
1H"
1]"
1r"
b110 7"
1Z
b1110010101010101010101 I"
b10101010101010100111001 s"
b1110010101010101010101010101010101010101010101010101010101010100111001 *"
b111001 )"
b111001 ."
b111001 5"
0.
1%
#188500
1.
0%
#189000
b1110110101010101010101010101010101010101010101010101010101010100111011 2
b1110110101010101010101010101010101010101010101010101010101010100111011 G
b1110110101010101010101010101010101010101010101010101010101010100111011 X
b111011 3
b111011 H
b111011 W
b1110110101010101010101010101010101010101010101010101010101010100111011 ,
b1110110101010101010101010101010101010101010101010101010101010100111011 F
b111011 +
b111011 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b101 7"
0Z
b1110100101010101010101 I"
b10101010101010100111010 s"
b1110100101010101010101010101010101010101010101010101010101010100111010 *"
b111010 )"
b111010 ."
b111010 5"
0.
1%
#189500
1.
0%
#190000
b1111000101010101010101010101010101010101010101010101010101010100111100 2
b1111000101010101010101010101010101010101010101010101010101010100111100 G
b1111000101010101010101010101010101010101010101010101010101010100111100 X
b111100 3
b111100 H
b111100 W
b1111000101010101010101010101010101010101010101010101010101010100111100 ,
b1111000101010101010101010101010101010101010101010101010101010100111100 F
b111100 +
b111100 E
0`
1H"
1]"
1r"
b100 7"
1a
b1110110101010101010101 I"
b10101010101010100111011 s"
b1110110101010101010101010101010101010101010101010101010101010100111011 *"
b111011 )"
b111011 ."
b111011 5"
0.
1%
#190500
1.
0%
#191000
b1111010101010101010101010101010101010101010101010101010101010100111101 2
b1111010101010101010101010101010101010101010101010101010101010100111101 G
b1111010101010101010101010101010101010101010101010101010101010100111101 X
b111101 3
b111101 H
b111101 W
b1111010101010101010101010101010101010101010101010101010101010100111101 ,
b1111010101010101010101010101010101010101010101010101010101010100111101 F
b111101 +
b111101 E
1Y
0[
0G"
0H"
0\"
0]"
0q"
0r"
b11 7"
0a
b1111000101010101010101 I"
b10101010101010100111100 s"
1b
b1111000101010101010101010101010101010101010101010101010101010100111100 *"
b111100 )"
b111100 ."
b111100 5"
0.
1%
#191500
1.
0%
#192000
b1111100101010101010101010101010101010101010101010101010101010100111110 2
b1111100101010101010101010101010101010101010101010101010101010100111110 G
b1111100101010101010101010101010101010101010101010101010101010100111110 X
b111110 3
b111110 H
b111110 W
b1111100101010101010101010101010101010101010101010101010101010100111110 ,
b1111100101010101010101010101010101010101010101010101010101010100111110 F
b111110 +
b111110 E
0Y
1H"
1]"
1r"
b10 7"
1Z
b1111010101010101010101 I"
b10101010101010100111101 s"
b1111010101010101010101010101010101010101010101010101010101010100111101 *"
b111101 )"
b111101 ."
b111101 5"
0.
1%
#192500
1.
0%
#193000
b1111110101010101010101010101010101010101010101010101010101010100111111 2
b1111110101010101010101010101010101010101010101010101010101010100111111 G
b1111110101010101010101010101010101010101010101010101010101010100111111 X
b111111 3
b111111 H
b111111 W
b1111110101010101010101010101010101010101010101010101010101010100111111 ,
b1111110101010101010101010101010101010101010101010101010101010100111111 F
b111111 +
b111111 E
1G"
1\"
1q"
1`
0H"
0]"
0r"
b1 7"
0Z
b1111100101010101010101 I"
b10101010101010100111110 s"
b1111100101010101010101010101010101010101010101010101010101010100111110 *"
b111110 )"
b111110 ."
b111110 5"
0.
1%
#193500
1.
0%
#194000
0`
1H"
1]"
1r"
b0 7"
1a
b1111110101010101010101 I"
b10101010101010100111111 s"
b1111110101010101010101010101010101010101010101010101010101010100111111 *"
b111111 )"
b111111 ."
b111111 5"
0.
1%
#194500
1.
0%
#195000
0.
1%
#195500
b1 5
b1 J
b1 U
14
b1 '
b1 B
1)
1.
0%
#196000
b100101010101010101 K"
b10101010101010101010101 `"
b100101010101010101010101010101010101010101010101010101010100000010 !"
b10101010101010100000010 u"
1C"
1X"
1m"
1B"
1W"
1l"
1l
1j
1h
0g
1i
0?"
0@"
0T"
0U"
0i"
0j"
0A"
0V"
0k"
b111110 4"
0k
0q
0r
0n
0o
0>"
0S"
0h"
0m
0p
13"
b1 $"
b1 -"
b1 2"
1("
0.
1%
#196500
b10 7
b10 L
b10 T
16
b10 &
b10 A
1(
1.
0%
#197000
b110101010101010101 L"
b10101010101010101010101 a"
b110101010101010101010101010101010101010101010101010101010100000011 ""
b10101010101010100000011 v"
1="
1R"
1g"
1;"
1P"
1e"
1x
1v
0s
1z
1u
09"
0:"
0N"
0O"
0c"
0d"
0<"
0Q"
0f"
b111101 1"
0w
0}
0~
0t
0{
08"
0M"
0b"
0y
0|
10"
b10 #"
b10 ,"
b10 /"
1'"
b100101010101010101010101010101010101010101010101010101010100000010 /
b100101010101010101010101010101010101010101010101010101010100000010 I
b100101010101010101010101010101010101010101010101010101010100000010 e
b100101010101010101010101010101010101010101010101010101010100000010 &"
0.
1%
#197500
1.
0%
#198000
b110101010101010101010101010101010101010101010101010101010100000011 0
b110101010101010101010101010101010101010101010101010101010100000011 K
b110101010101010101010101010101010101010101010101010101010100000011 f
b110101010101010101010101010101010101010101010101010101010100000011 %"
0.
1%
#198500
1.
0%
#199000
0.
1%
#199500
1.
0%
#200000
0.
1%
#200500
1.
0%
#201000
0.
1%
#201500
1.
0%
#202000
0.
1%
#202500
1.
0%
#203000
0.
1%
#203500
1.
0%
#204000
0.
1%
#204500
1.
0%
#205000
0.
1%
#205500
1.
0%
#206000
0.
1%
#206500
1.
0%
#207000
0.
1%
#207500
1.
0%
#208000
0.
1%
#208001