$version Generated by VerilatedVcd $end $date Wed Aug 18 08:17:30 2021 $end $timescale 1ns $end $scope module TOP $end $var wire 32 g* bist_ctl [0:31] $end $var wire 32 o* bist_status [0:31] $end $var wire 16 _* cfg_dat [0:15] $end $var wire 1 W* cfg_wr $end $var wire 1 ?* clk $end $var wire 1 G* clk2x $end $var wire 6 !+ rd_adr_0 [0:5] $end $var wire 6 I+ rd_adr_1 [0:5] $end $var wire 6 q+ rd_adr_2 [0:5] $end $var wire 6 ;, rd_adr_3 [0:5] $end $var wire 72 )+ rd_dat_0 [0:71] $end $var wire 72 Q+ rd_dat_1 [0:71] $end $var wire 72 y+ rd_dat_2 [0:71] $end $var wire 72 C, rd_dat_3 [0:71] $end $var wire 1 w* rd_enb_0 $end $var wire 1 A+ rd_enb_1 $end $var wire 1 i+ rd_enb_2 $end $var wire 1 3, rd_enb_3 $end $var wire 1 O* reset $end $var wire 6 c, wr_adr_0 [0:5] $end $var wire 6 -- wr_adr_1 [0:5] $end $var wire 72 k, wr_dat_0 [0:71] $end $var wire 72 5- wr_dat_1 [0:71] $end $var wire 1 [, wr_enb_0 $end $var wire 1 %- wr_enb_1 $end $scope module test_ra_ddr $end $var wire 32 g* bist_ctl [0:31] $end $var wire 32 o* bist_status [0:31] $end $var wire 16 g& cfg [0:15] $end $var wire 16 _* cfg_dat [0:15] $end $var wire 1 W* cfg_wr $end $var wire 1 ?* clk $end $var wire 1 G* clk2x $end $var wire 1 7* el_sel $end $var wire 6 U- mux_rd0_adr [0:5] $end $var wire 1 M- mux_rd0_enb $end $var wire 6 e- mux_rd1_adr [0:5] $end $var wire 1 ]- mux_rd1_enb $end $var wire 6 u- mux_rd2_adr [0:5] $end $var wire 1 m- mux_rd2_enb $end $var wire 6 '. mux_rd3_adr [0:5] $end $var wire 1 }- mux_rd3_enb $end $var wire 6 7. mux_wr0_adr [0:5] $end $var wire 72 ?. mux_wr0_dat [0:71] $end $var wire 1 /. mux_wr0_enb $end $var wire 6 _. mux_wr1_adr [0:5] $end $var wire 72 g. mux_wr1_dat [0:71] $end $var wire 1 W. mux_wr1_enb $end $var wire 6 !+ rd_adr_0 [0:5] $end $var wire 6 I+ rd_adr_1 [0:5] $end $var wire 6 q+ rd_adr_2 [0:5] $end $var wire 6 ;, rd_adr_3 [0:5] $end $var wire 72 )+ rd_dat_0 [0:71] $end $var wire 72 Q+ rd_dat_1 [0:71] $end $var wire 72 y+ rd_dat_2 [0:71] $end $var wire 72 C, rd_dat_3 [0:71] $end $var wire 1 w* rd_enb_0 $end $var wire 1 A+ rd_enb_1 $end $var wire 1 i+ rd_enb_2 $end $var wire 1 3, rd_enb_3 $end $var wire 1 O* reset $end $var wire 1 # strobe $end $var wire 6 c, wr_adr_0 [0:5] $end $var wire 6 -- wr_adr_1 [0:5] $end $var wire 72 k, wr_dat_0 [0:71] $end $var wire 72 5- wr_dat_1 [0:71] $end $var wire 1 [, wr_enb_0 $end $var wire 1 %- wr_enb_1 $end $scope module bist $end $var wire 32 1/ GENMODE [31:0] $end $var wire 1 )' active $end $var wire 6 i/ bist_rd0_adr [0:5] $end $var wire 1 a/ bist_rd0_enb $end $var wire 6 y/ bist_rd1_adr [0:5] $end $var wire 1 q/ bist_rd1_enb $end $var wire 6 +0 bist_rd2_adr [0:5] $end $var wire 1 #0 bist_rd2_enb $end $var wire 6 ;0 bist_rd3_adr [0:5] $end $var wire 1 30 bist_rd3_enb $end $var wire 6 K0 bist_wr0_adr [0:5] $end $var wire 72 S0 bist_wr0_dat [0:71] $end $var wire 1 C0 bist_wr0_enb $end $var wire 6 s0 bist_wr1_adr [0:5] $end $var wire 72 {0 bist_wr1_dat [0:71] $end $var wire 1 k0 bist_wr1_enb $end $var wire 1 ?* clk $end $var wire 32 g* ctl [0:31] $end $var wire 6 !+ rd0_adr_in [0:5] $end $var wire 6 U- rd0_adr_out [0:5] $end $var wire 72 )+ rd0_dat [0:71] $end $var wire 1 w* rd0_enb_in $end $var wire 1 M- rd0_enb_out $end $var wire 6 I+ rd1_adr_in [0:5] $end $var wire 6 e- rd1_adr_out [0:5] $end $var wire 72 Q+ rd1_dat [0:71] $end $var wire 1 A+ rd1_enb_in $end $var wire 1 ]- rd1_enb_out $end $var wire 6 q+ rd2_adr_in [0:5] $end $var wire 6 u- rd2_adr_out [0:5] $end $var wire 72 y+ rd2_dat [0:71] $end $var wire 1 i+ rd2_enb_in $end $var wire 1 m- rd2_enb_out $end $var wire 6 ;, rd3_adr_in [0:5] $end $var wire 6 '. rd3_adr_out [0:5] $end $var wire 72 C, rd3_dat [0:71] $end $var wire 1 3, rd3_enb_in $end $var wire 1 }- rd3_enb_out $end $var wire 1 O* reset $end $var wire 6 !' seq_d [0:5] $end $var wire 6 !' seq_q [0:5] $end $var wire 32 o* status [0:31] $end $var wire 6 c, wr0_adr_in [0:5] $end $var wire 6 7. wr0_adr_out [0:5] $end $var wire 72 k, wr0_dat_in [0:71] $end $var wire 72 ?. wr0_dat_out [0:71] $end $var wire 1 [, wr0_enb_in $end $var wire 1 /. wr0_enb_out $end $var wire 6 -- wr1_adr_in [0:5] $end $var wire 6 _. wr1_adr_out [0:5] $end $var wire 72 5- wr1_dat_in [0:71] $end $var wire 72 g. wr1_dat_out [0:71] $end $var wire 1 %- wr1_enb_in $end $var wire 1 W. wr1_enb_out $end $upscope $end $scope module cfig $end $var wire 32 1/ GENMODE [31:0] $end $var wire 32 Y/ INIT [31:0] $end $var wire 32 w& cfg [0:31] $end $var wire 32 )/ cfg_d [0:31] $end $var wire 32 !/ cfg_dat [0:31] $end $var wire 32 w& cfg_q [0:31] $end $var wire 1 W* cfg_wr $end $var wire 1 ?* clk $end $var wire 1 O* reset $end $upscope $end $scope module lcb $end $var wire 32 1/ GENMODE [31:0] $end $var wire 32 o& cfg [0:31] $end $var wire 1 G* clk $end $var wire 1 9/ clk_dly $end $var wire 1 Q/ clk_dly2 $end $var wire 1 7* el_sel $end $var wire 1 7* el_sel_q $end $var wire 1 A/ o0 $end $var wire 1 I/ o1 $end $var wire 1 O* reset $end $var wire 1 # strobe $end $upscope $end $scope module ra $end $var wire 32 1/ GENMODE [31:0] $end $var wire 1 ?* clk $end $var wire 1 7* el_sel $end $var wire 72 -! ra_rd_dat_0 [0:71] $end $var wire 72 G" ra_rd_dat_1 [0:71] $end $var wire 72 72 ra_rd_dat_2 [0:71] $end $var wire 72 Q3 ra_rd_dat_3 [0:71] $end $var wire 6 q3 ra_wr_adr_0 [0:5] $end $var wire 6 %5 ra_wr_adr_1 [0:5] $end $var wire 1 i3 ra_wr_enb_0 $end $var wire 1 {4 ra_wr_enb_1 $end $var wire 1 S rd0_a1_a2 $end $var wire 1 K rd0_a1_na2 $end $var wire 1 c rd0_a3 $end $var wire 1 %! rd0_a4_a5 $end $var wire 1 { rd0_a4_na5 $end $var wire 1 3 rd0_c_a0 $end $var wire 1 + rd0_c_na0 $end $var wire 1 C rd0_na1_a2 $end $var wire 1 ; rd0_na1_na2 $end $var wire 1 [ rd0_na3 $end $var wire 1 s rd0_na4_a5 $end $var wire 1 k rd0_na4_na5 $end $var wire 1 m! rd1_a1_a2 $end $var wire 1 e! rd1_a1_na2 $end $var wire 1 }! rd1_a3 $end $var wire 1 ?" rd1_a4_a5 $end $var wire 1 7" rd1_a4_na5 $end $var wire 1 M! rd1_c_a0 $end $var wire 1 E! rd1_c_na0 $end $var wire 1 ]! rd1_na1_a2 $end $var wire 1 U! rd1_na1_na2 $end $var wire 1 u! rd1_na3 $end $var wire 1 /" rd1_na4_a5 $end $var wire 1 '" rd1_na4_na5 $end $var wire 1 ]1 rd2_a1_a2 $end $var wire 1 U1 rd2_a1_na2 $end $var wire 1 m1 rd2_a3 $end $var wire 1 /2 rd2_a4_a5 $end $var wire 1 '2 rd2_a4_na5 $end $var wire 1 =1 rd2_c_a0 $end $var wire 1 51 rd2_c_na0 $end $var wire 1 M1 rd2_na1_a2 $end $var wire 1 E1 rd2_na1_na2 $end $var wire 1 e1 rd2_na3 $end $var wire 1 }1 rd2_na4_a5 $end $var wire 1 u1 rd2_na4_na5 $end $var wire 1 w2 rd3_a1_a2 $end $var wire 1 o2 rd3_a1_na2 $end $var wire 1 )3 rd3_a3 $end $var wire 1 I3 rd3_a4_a5 $end $var wire 1 A3 rd3_a4_na5 $end $var wire 1 W2 rd3_c_a0 $end $var wire 1 O2 rd3_c_na0 $end $var wire 1 g2 rd3_na1_a2 $end $var wire 1 _2 rd3_na1_na2 $end $var wire 1 !3 rd3_na3 $end $var wire 1 93 rd3_na4_a5 $end $var wire 1 13 rd3_na4_na5 $end $var wire 6 U- rd_adr_0 [0:5] $end $var wire 6 i# rd_adr_02 [0:5] $end $var wire 6 9' rd_adr_0_q [0:5] $end $var wire 6 e- rd_adr_1 [0:5] $end $var wire 6 y# rd_adr_13 [0:5] $end $var wire 6 a' rd_adr_1_q [0:5] $end $var wire 6 u- rd_adr_2 [0:5] $end $var wire 6 +( rd_adr_2_q [0:5] $end $var wire 6 '. rd_adr_3 [0:5] $end $var wire 6 ;( rd_adr_3_q [0:5] $end $var wire 72 )+ rd_dat_0 [0:71] $end $var wire 72 A' rd_dat_0_hold_q [0:71] $end $var wire 72 5) rd_dat_0_q [0:71] $end $var wire 72 Q+ rd_dat_1 [0:71] $end $var wire 72 i' rd_dat_1_hold_q [0:71] $end $var wire 72 M) rd_dat_1_q [0:71] $end $var wire 72 y+ rd_dat_2 [0:71] $end $var wire 72 e) rd_dat_2_q [0:71] $end $var wire 72 C, rd_dat_3 [0:71] $end $var wire 72 }) rd_dat_3_q [0:71] $end $var wire 1 M- rd_enb_0 $end $var wire 1 a# rd_enb_02 $end $var wire 1 1' rd_enb_0_q $end $var wire 1 ]- rd_enb_1 $end $var wire 1 q# rd_enb_13 $end $var wire 1 Y' rd_enb_1_q $end $var wire 1 m- rd_enb_2 $end $var wire 1 #( rd_enb_2_q $end $var wire 1 }- rd_enb_3 $end $var wire 1 3( rd_enb_3_q $end $var wire 1 O* reset $end $var wire 1 # strobe $end $var wire 1 -5 strobe_int $end $var wire 1 )# wr0_a1_a2 $end $var wire 1 !# wr0_a1_na2 $end $var wire 1 9# wr0_a3 $end $var wire 1 Y# wr0_a4_a5 $end $var wire 1 Q# wr0_a4_na5 $end $var wire 1 g" wr0_c_a0 $end $var wire 1 _" wr0_c_na0 $end $var wire 1 w" wr0_na1_a2 $end $var wire 1 o" wr0_na1_na2 $end $var wire 1 1# wr0_na3 $end $var wire 1 I# wr0_na4_a5 $end $var wire 1 A# wr0_na4_na5 $end $var wire 1 C4 wr1_a1_a2 $end $var wire 1 ;4 wr1_a1_na2 $end $var wire 1 S4 wr1_a3 $end $var wire 1 s4 wr1_a4_a5 $end $var wire 1 k4 wr1_a4_na5 $end $var wire 1 #4 wr1_c_a0 $end $var wire 1 y3 wr1_c_na0 $end $var wire 1 34 wr1_na1_a2 $end $var wire 1 +4 wr1_na1_na2 $end $var wire 1 K4 wr1_na3 $end $var wire 1 c4 wr1_na4_a5 $end $var wire 1 [4 wr1_na4_na5 $end $var wire 6 7. wr_adr_0 [0:5] $end $var wire 6 +$ wr_adr_01 [0:5] $end $var wire 6 K( wr_adr_0_q [0:5] $end $var wire 6 _. wr_adr_1 [0:5] $end $var wire 6 s( wr_adr_1_q [0:5] $end $var wire 72 ?. wr_dat_0 [0:71] $end $var wire 72 3$ wr_dat_01 [0:71] $end $var wire 72 S( wr_dat_0_q [0:71] $end $var wire 72 g. wr_dat_1 [0:71] $end $var wire 72 {( wr_dat_1_q [0:71] $end $var wire 1 /. wr_enb_0 $end $var wire 1 #$ wr_enb_01 $end $var wire 1 C( wr_enb_0_q $end $var wire 1 W. wr_enb_1 $end $var wire 1 k( wr_enb_1_q $end $scope module add_clk $end $var wire 32 1/ GENMODE [31:0] $end $var wire 1 S rd0_a1_a2 $end $var wire 1 K rd0_a1_na2 $end $var wire 1 c rd0_a3 $end $var wire 1 %! rd0_a4_a5 $end $var wire 1 { rd0_a4_na5 $end $var wire 1 3 rd0_c_a0 $end $var wire 1 + rd0_c_na0 $end $var wire 1 C rd0_na1_a2 $end $var wire 1 ; rd0_na1_na2 $end $var wire 1 [ rd0_na3 $end $var wire 1 s rd0_na4_a5 $end $var wire 1 k rd0_na4_na5 $end $var wire 1 m! rd1_a1_a2 $end $var wire 1 e! rd1_a1_na2 $end $var wire 1 }! rd1_a3 $end $var wire 1 ?" rd1_a4_a5 $end $var wire 1 7" rd1_a4_na5 $end $var wire 1 M! rd1_c_a0 $end $var wire 1 E! rd1_c_na0 $end $var wire 1 ]! rd1_na1_a2 $end $var wire 1 U! rd1_na1_na2 $end $var wire 1 u! rd1_na3 $end $var wire 1 /" rd1_na4_a5 $end $var wire 1 '" rd1_na4_na5 $end $var wire 6 i# rd_adr_0 [0:5] $end $var wire 6 y# rd_adr_1 [0:5] $end $var wire 1 a# rd_enb_0 $end $var wire 1 q# rd_enb_1 $end $var wire 1 -5 strobe $end $var wire 1 )# wr0_a1_a2 $end $var wire 1 !# wr0_a1_na2 $end $var wire 1 9# wr0_a3 $end $var wire 1 Y# wr0_a4_a5 $end $var wire 1 Q# wr0_a4_na5 $end $var wire 1 g" wr0_c_a0 $end $var wire 1 _" wr0_c_na0 $end $var wire 1 w" wr0_na1_a2 $end $var wire 1 o" wr0_na1_na2 $end $var wire 1 1# wr0_na3 $end $var wire 1 I# wr0_na4_a5 $end $var wire 1 A# wr0_na4_na5 $end $var wire 6 +$ wr_adr_0 [0:5] $end $var wire 1 #$ wr_enb_0 $end $scope module predecode_r0 $end $var wire 1 S a1_a2 $end $var wire 1 K a1_na2 $end $var wire 1 c a3 $end $var wire 1 %! a4_a5 $end $var wire 1 { a4_na5 $end $var wire 6 i# address [0:5] $end $var wire 1 3 c_a0 $end $var wire 1 + c_na0 $end $var wire 1 a# clock_enable $end $var wire 1 a# enable $end $var wire 6 K$ inv_address [0:5] $end $var wire 1 ]5 n_a1_a2 $end $var wire 1 U5 n_a1_na2 $end $var wire 1 }5 n_a4_a5 $end $var wire 1 u5 n_a4_na5 $end $var wire 1 =5 n_c_a0 $end $var wire 1 55 n_c_na0 $end $var wire 1 M5 n_na1_a2 $end $var wire 1 E5 n_na1_na2 $end $var wire 1 m5 n_na4_a5 $end $var wire 1 e5 n_na4_na5 $end $var wire 1 C na1_a2 $end $var wire 1 ; na1_na2 $end $var wire 1 [ na3 $end $var wire 1 s na4_a5 $end $var wire 1 k na4_na5 $end $var wire 1 -5 strobe $end $upscope $end $scope module predecode_r1 $end $var wire 1 m! a1_a2 $end $var wire 1 e! a1_na2 $end $var wire 1 }! a3 $end $var wire 1 ?" a4_a5 $end $var wire 1 7" a4_na5 $end $var wire 6 y# address [0:5] $end $var wire 1 M! c_a0 $end $var wire 1 E! c_na0 $end $var wire 1 q# clock_enable $end $var wire 1 q# enable $end $var wire 6 S$ inv_address [0:5] $end $var wire 1 O6 n_a1_a2 $end $var wire 1 G6 n_a1_na2 $end $var wire 1 o6 n_a4_a5 $end $var wire 1 g6 n_a4_na5 $end $var wire 1 /6 n_c_a0 $end $var wire 1 '6 n_c_na0 $end $var wire 1 ?6 n_na1_a2 $end $var wire 1 76 n_na1_na2 $end $var wire 1 _6 n_na4_a5 $end $var wire 1 W6 n_na4_na5 $end $var wire 1 ]! na1_a2 $end $var wire 1 U! na1_na2 $end $var wire 1 u! na3 $end $var wire 1 /" na4_a5 $end $var wire 1 '" na4_na5 $end $var wire 1 -5 strobe $end $upscope $end $scope module predecode_w0 $end $var wire 1 )# a1_a2 $end $var wire 1 !# a1_na2 $end $var wire 1 9# a3 $end $var wire 1 Y# a4_a5 $end $var wire 1 Q# a4_na5 $end $var wire 6 +$ address [0:5] $end $var wire 1 g" c_a0 $end $var wire 1 _" c_na0 $end $var wire 1 #$ clock_enable $end $var wire 1 #$ enable $end $var wire 6 [$ inv_address [0:5] $end $var wire 1 A7 n_a1_a2 $end $var wire 1 97 n_a1_na2 $end $var wire 1 a7 n_a4_a5 $end $var wire 1 Y7 n_a4_na5 $end $var wire 1 !7 n_c_a0 $end $var wire 1 w6 n_c_na0 $end $var wire 1 17 n_na1_a2 $end $var wire 1 )7 n_na1_na2 $end $var wire 1 Q7 n_na4_a5 $end $var wire 1 I7 n_na4_na5 $end $var wire 1 w" na1_a2 $end $var wire 1 o" na1_na2 $end $var wire 1 1# na3 $end $var wire 1 I# na4_a5 $end $var wire 1 A# na4_na5 $end $var wire 1 -5 strobe $end $upscope $end $upscope $end $scope module array0 $end $var wire 1 3 rd0_a0 $end $var wire 1 5% rd0_a1 $end $var wire 1 S rd0_a1_a2 $end $var wire 1 K rd0_a1_na2 $end $var wire 1 =% rd0_a2 $end $var wire 1 c rd0_a3 $end $var wire 1 E% rd0_a4 $end $var wire 1 %! rd0_a4_a5 $end $var wire 1 { rd0_a4_na5 $end $var wire 1 M% rd0_a5 $end $var wire 1 3 rd0_c_a0 $end $var wire 1 + rd0_c_na0 $end $var wire 1 %% rd0_enable $end $var wire 1 C rd0_na1_a2 $end $var wire 1 ; rd0_na1_na2 $end $var wire 1 [ rd0_na3 $end $var wire 1 s rd0_na4_a5 $end $var wire 1 k rd0_na4_na5 $end $var wire 1 M! rd1_a0 $end $var wire 1 U% rd1_a1 $end $var wire 1 m! rd1_a1_a2 $end $var wire 1 e! rd1_a1_na2 $end $var wire 1 ]% rd1_a2 $end $var wire 1 }! rd1_a3 $end $var wire 1 e% rd1_a4 $end $var wire 1 ?" rd1_a4_a5 $end $var wire 1 7" rd1_a4_na5 $end $var wire 1 m% rd1_a5 $end $var wire 1 M! rd1_c_a0 $end $var wire 1 E! rd1_c_na0 $end $var wire 1 -% rd1_enable $end $var wire 1 ]! rd1_na1_a2 $end $var wire 1 U! rd1_na1_na2 $end $var wire 1 u! rd1_na3 $end $var wire 1 /" rd1_na4_a5 $end $var wire 1 '" rd1_na4_na5 $end $var wire 24 c$ rd_dat_0 [0:23] $end $var wire 24 k$ rd_dat_1 [0:23] $end $var wire 1 g" wr0_a0 $end $var wire 1 u% wr0_a1 $end $var wire 1 )# wr0_a1_a2 $end $var wire 1 !# wr0_a1_na2 $end $var wire 1 }% wr0_a2 $end $var wire 1 9# wr0_a3 $end $var wire 1 '& wr0_a4 $end $var wire 1 Y# wr0_a4_a5 $end $var wire 1 Q# wr0_a4_na5 $end $var wire 1 /& wr0_a5 $end $var wire 1 g" wr0_c_a0 $end $var wire 1 _" wr0_c_na0 $end $var wire 1 {$ wr0_enable $end $var wire 1 w" wr0_na1_a2 $end $var wire 1 o" wr0_na1_na2 $end $var wire 1 1# wr0_na3 $end $var wire 1 I# wr0_na4_a5 $end $var wire 1 A# wr0_na4_na5 $end $var wire 24 s$ wr_dat_0 [0:23] $end $upscope $end $scope module array1 $end $var wire 1 3 rd0_a0 $end $var wire 1 5% rd0_a1 $end $var wire 1 S rd0_a1_a2 $end $var wire 1 K rd0_a1_na2 $end $var wire 1 =% rd0_a2 $end $var wire 1 c rd0_a3 $end $var wire 1 E% rd0_a4 $end $var wire 1 %! rd0_a4_a5 $end $var wire 1 { rd0_a4_na5 $end $var wire 1 M% rd0_a5 $end $var wire 1 3 rd0_c_a0 $end $var wire 1 + rd0_c_na0 $end $var wire 1 %% rd0_enable $end $var wire 1 C rd0_na1_a2 $end $var wire 1 ; rd0_na1_na2 $end $var wire 1 [ rd0_na3 $end $var wire 1 s rd0_na4_a5 $end $var wire 1 k rd0_na4_na5 $end $var wire 1 M! rd1_a0 $end $var wire 1 U% rd1_a1 $end $var wire 1 m! rd1_a1_a2 $end $var wire 1 e! rd1_a1_na2 $end $var wire 1 ]% rd1_a2 $end $var wire 1 }! rd1_a3 $end $var wire 1 e% rd1_a4 $end $var wire 1 ?" rd1_a4_a5 $end $var wire 1 7" rd1_a4_na5 $end $var wire 1 m% rd1_a5 $end $var wire 1 M! rd1_c_a0 $end $var wire 1 E! rd1_c_na0 $end $var wire 1 -% rd1_enable $end $var wire 1 ]! rd1_na1_a2 $end $var wire 1 U! rd1_na1_na2 $end $var wire 1 u! rd1_na3 $end $var wire 1 /" rd1_na4_a5 $end $var wire 1 '" rd1_na4_na5 $end $var wire 24 7& rd_dat_0 [0:23] $end $var wire 24 ?& rd_dat_1 [0:23] $end $var wire 1 g" wr0_a0 $end $var wire 1 u% wr0_a1 $end $var wire 1 )# wr0_a1_a2 $end $var wire 1 !# wr0_a1_na2 $end $var wire 1 }% wr0_a2 $end $var wire 1 9# wr0_a3 $end $var wire 1 '& wr0_a4 $end $var wire 1 Y# wr0_a4_a5 $end $var wire 1 Q# wr0_a4_na5 $end $var wire 1 /& wr0_a5 $end $var wire 1 g" wr0_c_a0 $end $var wire 1 _" wr0_c_na0 $end $var wire 1 {$ wr0_enable $end $var wire 1 w" wr0_na1_a2 $end $var wire 1 o" wr0_na1_na2 $end $var wire 1 1# wr0_na3 $end $var wire 1 I# wr0_na4_a5 $end $var wire 1 A# wr0_na4_na5 $end $var wire 24 G& wr_dat_0 [0:23] $end $upscope $end $scope module array2 $end $var wire 1 3 rd0_a0 $end $var wire 1 5% rd0_a1 $end $var wire 1 S rd0_a1_a2 $end $var wire 1 K rd0_a1_na2 $end $var wire 1 =% rd0_a2 $end $var wire 1 c rd0_a3 $end $var wire 1 E% rd0_a4 $end $var wire 1 %! rd0_a4_a5 $end $var wire 1 { rd0_a4_na5 $end $var wire 1 M% rd0_a5 $end $var wire 1 3 rd0_c_a0 $end $var wire 1 + rd0_c_na0 $end $var wire 1 %% rd0_enable $end $var wire 1 C rd0_na1_a2 $end $var wire 1 ; rd0_na1_na2 $end $var wire 1 [ rd0_na3 $end $var wire 1 s rd0_na4_a5 $end $var wire 1 k rd0_na4_na5 $end $var wire 1 M! rd1_a0 $end $var wire 1 U% rd1_a1 $end $var wire 1 m! rd1_a1_a2 $end $var wire 1 e! rd1_a1_na2 $end $var wire 1 ]% rd1_a2 $end $var wire 1 }! rd1_a3 $end $var wire 1 e% rd1_a4 $end $var wire 1 ?" rd1_a4_a5 $end $var wire 1 7" rd1_a4_na5 $end $var wire 1 m% rd1_a5 $end $var wire 1 M! rd1_c_a0 $end $var wire 1 E! rd1_c_na0 $end $var wire 1 -% rd1_enable $end $var wire 1 ]! rd1_na1_a2 $end $var wire 1 U! rd1_na1_na2 $end $var wire 1 u! rd1_na3 $end $var wire 1 /" rd1_na4_a5 $end $var wire 1 '" rd1_na4_na5 $end $var wire 24 O& rd_dat_0 [0:23] $end $var wire 24 W& rd_dat_1 [0:23] $end $var wire 1 g" wr0_a0 $end $var wire 1 u% wr0_a1 $end $var wire 1 )# wr0_a1_a2 $end $var wire 1 !# wr0_a1_na2 $end $var wire 1 }% wr0_a2 $end $var wire 1 9# wr0_a3 $end $var wire 1 '& wr0_a4 $end $var wire 1 Y# wr0_a4_a5 $end $var wire 1 Q# wr0_a4_na5 $end $var wire 1 /& wr0_a5 $end $var wire 1 g" wr0_c_a0 $end $var wire 1 _" wr0_c_na0 $end $var wire 1 {$ wr0_enable $end $var wire 1 w" wr0_na1_a2 $end $var wire 1 o" wr0_na1_na2 $end $var wire 1 1# wr0_na3 $end $var wire 1 I# wr0_na4_a5 $end $var wire 1 A# wr0_na4_na5 $end $var wire 24 _& wr_dat_0 [0:23] $end $upscope $end $upscope $end $upscope $end $upscope $end $enddefinitions $end #5 1# 0+ 03 1; 0C 0K 0S 1[ 0c 1k 0s 0{ 0%! b000000000000000000000000000000000000000000000000000000000000000000000000 -! 0E! 0M! 1U! 0]! 0e! 0m! 1u! 0}! 1'" 0/" 07" 0?" b000000000000000000000000000000000000000000000000000000000000000000000000 G" 0_" 0g" 1o" 0w" 0!# 0)# 11# 09# 1A# 0I# 0Q# 0Y# 0a# b000000 i# 0q# b000000 y# 0#$ b000000 +$ b000000000000000000000000000000000000000000000000000000000000000000000000 3$ b111111 K$ b111111 S$ b111111 [$ b000000000000000000000000 c$ b000000000000000000000000 k$ b000000000000000000000000 s$ 0{$ 0%% 0-% 05% 0=% 0E% 0M% 0U% 0]% 0e% 0m% 0u% 0}% 0'& 0/& b000000000000000000000000 7& b000000000000000000000000 ?& b000000000000000000000000 G& b000000000000000000000000 O& b000000000000000000000000 W& b000000000000000000000000 _& b0000000000000000 g& b00000000000000000000000000000000 o& b00000000000000000000000000000000 w& b000000 !' 1)' 01' b000000 9' b000000000000000000000000000000000000000000000000000000000000000000000000 A' 0Y' b000000 a' b000000000000000000000000000000000000000000000000000000000000000000000000 i' 0#( b000000 +( 03( b000000 ;( 0C( b000000 K( b000000000000000000000000000000000000000000000000000000000000000000000000 S( 0k( b000000 s( b000000000000000000000000000000000000000000000000000000000000000000000000 {( b000000000000000000000000000000000000000000000000000000000000000000000000 5) b000000000000000000000000000000000000000000000000000000000000000000000000 M) b000000000000000000000000000000000000000000000000000000000000000000000000 e) b000000000000000000000000000000000000000000000000000000000000000000000000 }) 07* 0?* 0G* 0O* 0W* b0000000000000000 _* b00000000000000000000000000000000 g* b00000000000000000000000000000000 o* 0w* b000000 !+ b000000000000000000000000000000000000000000000000000000000000000000000000 )+ 0A+ b000000 I+ b000000000000000000000000000000000000000000000000000000000000000000000000 Q+ 0i+ b000000 q+ b000000000000000000000000000000000000000000000000000000000000000000000000 y+ 03, b000000 ;, b000000000000000000000000000000000000000000000000000000000000000000000000 C, 0[, b000000 c, b000000000000000000000000000000000000000000000000000000000000000000000000 k, 0%- b000000 -- b000000000000000000000000000000000000000000000000000000000000000000000000 5- 0M- b000000 U- 0]- b000000 e- 0m- b000000 u- 0}- b000000 '. 0/. b000000 7. b000000000000000000000000000000000000000000000000000000000000000000000000 ?. 0W. b000000 _. b000000000000000000000000000000000000000000000000000000000000000000000000 g. b00000000000000000000000000000000 !/ b00000000000000000000000000000000 )/ b00000000000000000000000000000000 1/ 09/ 0A/ 0I/ 0Q/ b11111111111111111111111111111111 Y/ 0a/ b000000 i/ 0q/ b000000 y/ 0#0 b000000 +0 030 b000000 ;0 0C0 b000000 K0 b000000000000000000000000000000000000000000000000000000000000000000000000 S0 0k0 b000000 s0 b000000000000000000000000000000000000000000000000000000000000000000000000 {0 051 0=1 0E1 0M1 0U1 0]1 0e1 0m1 0u1 0}1 0'2 0/2 b000000000000000000000000000000000000000000000000000000000000000000000000 72 0O2 0W2 0_2 0g2 0o2 0w2 0!3 0)3 013 093 0A3 0I3 b000000000000000000000000000000000000000000000000000000000000000000000000 Q3 0i3 b000000 q3 0y3 0#4 0+4 034 0;4 0C4 0K4 0S4 0[4 0c4 0k4 0s4 0{4 b000000 %5 1-5 055 0=5 0E5 0M5 0U5 0]5 0e5 0m5 0u5 0}5 0'6 0/6 076 0?6 0G6 0O6 0W6 0_6 0g6 0o6 0w6 0!7 0)7 017 097 0A7 0I7 0Q7 0Y7 0a7 #10 #15 0# 1G* 1O* #20 #25 0G* #30 #35 b1111111111111111 g& b00000000000000001111111111111111 o& b11111111111111111111111111111111 w& b111111 !' 0)' 1?* 1G* b11111111111111111111111111111111 )/ #40 #45 0G* #50 #55 17* 0?* 1G* 0O* #60 #65 1# 0G* #70 #75 0# 07* 1?* 1G* #80 #85 1# 0G* #90 #95 0# 17* 0?* 1G* #100 #105 1# 0G* #110 #115 0# 07* 1?* 1G* #120 #125 1# 0G* #130 #135 0# 17* 0?* 1G* #140 #145 1# 0G* #150 #155 0# 07* 1?* 1G* #160 #165 1# 0G* #170 #175 0# 17* 0?* 1G* #180 #185 1# 0G* #190 #195 0# 07* 1?* 1G* #200 #205 1# 0G* #210 #215 0# 17* 0?* 1G* #220 #225 1# 0G* #230 #235 0# 07* 1?* 1G* #240 #245 1# 0G* #250 #255 0# 17* 0?* 1G* #260 #265 1# 0G* #270 #275 0# 07* 1?* 1G* #280 #285 1# 0G* #290 #295 0# 17* 0?* 1G* #300 #305 1# 0G* #310 #315 0# 07* 1?* 1G* #320 #325 1# 0G* #330 #335 0# 17* 0?* 1G* #340 #345 1# 0G* #350 #355 0# 07* 1?* 1G* #360 #365 1# 0G* #370 #375 0# 17* 0?* 1G* #380 #385 1# 0G* #390 #395 0# 07* 1?* 1G* #400 #405 1# 0G* #410 #415 0# 17* 0?* 1G* #420 #425 1# 0G* #430 #435 0# 07* 1?* 1G* #440 #445 1# 0G* #450 #455 0# 17* 0?* 1G* 1[, b010101010101010101010101010101010101010101010101010101010101010100000000 k, 1%- b000001 -- b010101010101010101010101010101010101010101010101010101010101010100000001 5- 1/. b010101010101010101010101010101010101010101010101010101010101010100000000 ?. 1W. b000001 _. b010101010101010101010101010101010101010101010101010101010101010100000001 g. #460 #465 1# 0G* #470 #475 0# 1_" 1#$ b010101010101010101010101010101010101010101010101010101010101010100000000 3$ b010101010101010101010101 s$ 1{$ b010101010101010101010101 G& b010101010101010100000000 _& 1C( b010101010101010101010101010101010101010101010101010101010101010100000000 S( 1k( b000001 s( b010101010101010101010101010101010101010101010101010101010101010100000001 {( 07* 1?* 1G* #480 #485 1# 0G* #490 #495 0# 0A# 1I# b000001 +$ b010101010101010101010101010101010101010101010101010101010101010100000001 3$ b111110 [$ 1/& b010101010101010100000001 _& 17* 0?* 1G* b000010 c, b010101010101010101010101010101010101010101010101010101010101010100000010 k, b000011 -- b010101010101010101010101010101010101010101010101010101010101010100000011 5- b000010 7. b010101010101010101010101010101010101010101010101010101010101010100000010 ?. b000011 _. b010101010101010101010101010101010101010101010101010101010101010100000011 g. #500 #505 1# 0G* #510 #515 0# 0I# 1Q# b000010 +$ b010101010101010101010101010101010101010101010101010101010101010100000010 3$ b111101 [$ 1'& 0/& b010101010101010100000010 _& b000010 K( b010101010101010101010101010101010101010101010101010101010101010100000010 S( b000011 s( b010101010101010101010101010101010101010101010101010101010101010100000011 {( 07* 1?* 1G* #520 #525 1# 0G* #530 #535 0# 0Q# 1Y# b000011 +$ b010101010101010101010101010101010101010101010101010101010101010100000011 3$ b111100 [$ 1/& b010101010101010100000011 _& 17* 0?* 1G* b000100 c, b010101010101010101010101010101010101010101010101010101010101010100000100 k, b000101 -- b010101010101010101010101010101010101010101010101010101010101010100000101 5- b000100 7. b010101010101010101010101010101010101010101010101010101010101010100000100 ?. b000101 _. b010101010101010101010101010101010101010101010101010101010101010100000101 g. #540 #545 1# 0G* #550 #555 0# 01# 19# 1A# 0Y# b000100 +$ b010101010101010101010101010101010101010101010101010101010101010100000100 3$ b111011 [$ 0'& 0/& b010101010101010100000100 _& b000100 K( b010101010101010101010101010101010101010101010101010101010101010100000100 S( b000101 s( b010101010101010101010101010101010101010101010101010101010101010100000101 {( 07* 1?* 1G* #560 #565 1# 0G* #570 #575 0# 0A# 1I# b000101 +$ b010101010101010101010101010101010101010101010101010101010101010100000101 3$ b111010 [$ 1/& b010101010101010100000101 _& 17* 0?* 1G* b000110 c, b010101010101010101010101010101010101010101010101010101010101010100000110 k, b000111 -- b010101010101010101010101010101010101010101010101010101010101010100000111 5- b000110 7. b010101010101010101010101010101010101010101010101010101010101010100000110 ?. b000111 _. b010101010101010101010101010101010101010101010101010101010101010100000111 g. #580 #585 1# 0G* #590 #595 0# 0I# 1Q# b000110 +$ b010101010101010101010101010101010101010101010101010101010101010100000110 3$ b111001 [$ 1'& 0/& b010101010101010100000110 _& b000110 K( b010101010101010101010101010101010101010101010101010101010101010100000110 S( b000111 s( b010101010101010101010101010101010101010101010101010101010101010100000111 {( 07* 1?* 1G* #600 #605 1# 0G* #610 #615 0# 0Q# 1Y# b000111 +$ b010101010101010101010101010101010101010101010101010101010101010100000111 3$ b111000 [$ 1/& b010101010101010100000111 _& 17* 0?* 1G* b001000 c, b010101010101010101010101010101010101010101010101010101010101010100001000 k, b001001 -- b010101010101010101010101010101010101010101010101010101010101010100001001 5- b001000 7. b010101010101010101010101010101010101010101010101010101010101010100001000 ?. b001001 _. b010101010101010101010101010101010101010101010101010101010101010100001001 g. #620 #625 1# 0G* #630 #635 0# 0o" 1w" 11# 09# 1A# 0Y# b001000 +$ b010101010101010101010101010101010101010101010101010101010101010100001000 3$ b110111 [$ 1}% 0'& 0/& b010101010101010100001000 _& b001000 K( b010101010101010101010101010101010101010101010101010101010101010100001000 S( b001001 s( b010101010101010101010101010101010101010101010101010101010101010100001001 {( 07* 1?* 1G* #640 #645 1# 0G* #650 #655 0# 0A# 1I# b001001 +$ b010101010101010101010101010101010101010101010101010101010101010100001001 3$ b110110 [$ 1/& b010101010101010100001001 _& 17* 0?* 1G* b001010 c, b010101010101010101010101010101010101010101010101010101010101010100001010 k, b001011 -- b010101010101010101010101010101010101010101010101010101010101010100001011 5- b001010 7. b010101010101010101010101010101010101010101010101010101010101010100001010 ?. b001011 _. b010101010101010101010101010101010101010101010101010101010101010100001011 g. #660 #665 1# 0G* #670 #675 0# 0I# 1Q# b001010 +$ b010101010101010101010101010101010101010101010101010101010101010100001010 3$ b110101 [$ 1'& 0/& b010101010101010100001010 _& b001010 K( b010101010101010101010101010101010101010101010101010101010101010100001010 S( b001011 s( b010101010101010101010101010101010101010101010101010101010101010100001011 {( 07* 1?* 1G* #680 #685 1# 0G* #690 #695 0# 0Q# 1Y# b001011 +$ b010101010101010101010101010101010101010101010101010101010101010100001011 3$ b110100 [$ 1/& b010101010101010100001011 _& 17* 0?* 1G* b001100 c, b010101010101010101010101010101010101010101010101010101010101010100001100 k, b001101 -- b010101010101010101010101010101010101010101010101010101010101010100001101 5- b001100 7. b010101010101010101010101010101010101010101010101010101010101010100001100 ?. b001101 _. b010101010101010101010101010101010101010101010101010101010101010100001101 g. #700 #705 1# 0G* #710 #715 0# 01# 19# 1A# 0Y# b001100 +$ b010101010101010101010101010101010101010101010101010101010101010100001100 3$ b110011 [$ 0'& 0/& b010101010101010100001100 _& b001100 K( b010101010101010101010101010101010101010101010101010101010101010100001100 S( b001101 s( b010101010101010101010101010101010101010101010101010101010101010100001101 {( 07* 1?* 1G* #720 #725 1# 0G* #730 #735 0# 0A# 1I# b001101 +$ b010101010101010101010101010101010101010101010101010101010101010100001101 3$ b110010 [$ 1/& b010101010101010100001101 _& 17* 0?* 1G* b001110 c, b010101010101010101010101010101010101010101010101010101010101010100001110 k, b001111 -- b010101010101010101010101010101010101010101010101010101010101010100001111 5- b001110 7. b010101010101010101010101010101010101010101010101010101010101010100001110 ?. b001111 _. b010101010101010101010101010101010101010101010101010101010101010100001111 g. #740 #745 1# 0G* #750 #755 0# 0I# 1Q# b001110 +$ b010101010101010101010101010101010101010101010101010101010101010100001110 3$ b110001 [$ 1'& 0/& b010101010101010100001110 _& b001110 K( b010101010101010101010101010101010101010101010101010101010101010100001110 S( b001111 s( b010101010101010101010101010101010101010101010101010101010101010100001111 {( 07* 1?* 1G* #760 #765 1# 0G* #770 #775 0# 0Q# 1Y# b001111 +$ b010101010101010101010101010101010101010101010101010101010101010100001111 3$ b110000 [$ 1/& b010101010101010100001111 _& 17* 0?* 1G* b010000 c, b010101010101010101010101010101010101010101010101010101010101010100010000 k, b010001 -- b010101010101010101010101010101010101010101010101010101010101010100010001 5- b010000 7. b010101010101010101010101010101010101010101010101010101010101010100010000 ?. b010001 _. b010101010101010101010101010101010101010101010101010101010101010100010001 g. #780 #785 1# 0G* #790 #795 0# 0w" 1!# 11# 09# 1A# 0Y# b010000 +$ b010101010101010101010101010101010101010101010101010101010101010100010000 3$ b101111 [$ 1u% 0}% 0'& 0/& b010101010101010100010000 _& b010000 K( b010101010101010101010101010101010101010101010101010101010101010100010000 S( b010001 s( b010101010101010101010101010101010101010101010101010101010101010100010001 {( 07* 1?* 1G* #800 #805 1# 0G* #810 #815 0# 0A# 1I# b010001 +$ b010101010101010101010101010101010101010101010101010101010101010100010001 3$ b101110 [$ 1/& b010101010101010100010001 _& 17* 0?* 1G* b010010 c, b010101010101010101010101010101010101010101010101010101010101010100010010 k, b010011 -- b010101010101010101010101010101010101010101010101010101010101010100010011 5- b010010 7. b010101010101010101010101010101010101010101010101010101010101010100010010 ?. b010011 _. b010101010101010101010101010101010101010101010101010101010101010100010011 g. #820 #825 1# 0G* #830 #835 0# 0I# 1Q# b010010 +$ b010101010101010101010101010101010101010101010101010101010101010100010010 3$ b101101 [$ 1'& 0/& b010101010101010100010010 _& b010010 K( b010101010101010101010101010101010101010101010101010101010101010100010010 S( b010011 s( b010101010101010101010101010101010101010101010101010101010101010100010011 {( 07* 1?* 1G* #840 #845 1# 0G* #850 #855 0# 0Q# 1Y# b010011 +$ b010101010101010101010101010101010101010101010101010101010101010100010011 3$ b101100 [$ 1/& b010101010101010100010011 _& 17* 0?* 1G* b010100 c, b010101010101010101010101010101010101010101010101010101010101010100010100 k, b010101 -- b010101010101010101010101010101010101010101010101010101010101010100010101 5- b010100 7. b010101010101010101010101010101010101010101010101010101010101010100010100 ?. b010101 _. b010101010101010101010101010101010101010101010101010101010101010100010101 g. #860 #865 1# 0G* #870 #875 0# 01# 19# 1A# 0Y# b010100 +$ b010101010101010101010101010101010101010101010101010101010101010100010100 3$ b101011 [$ 0'& 0/& b010101010101010100010100 _& b010100 K( b010101010101010101010101010101010101010101010101010101010101010100010100 S( b010101 s( b010101010101010101010101010101010101010101010101010101010101010100010101 {( 07* 1?* 1G* #880 #885 1# 0G* #890 #895 0# 0A# 1I# b010101 +$ b010101010101010101010101010101010101010101010101010101010101010100010101 3$ b101010 [$ 1/& b010101010101010100010101 _& 17* 0?* 1G* b010110 c, b010101010101010101010101010101010101010101010101010101010101010100010110 k, b010111 -- b010101010101010101010101010101010101010101010101010101010101010100010111 5- b010110 7. b010101010101010101010101010101010101010101010101010101010101010100010110 ?. b010111 _. b010101010101010101010101010101010101010101010101010101010101010100010111 g. #900 #905 1# 0G* #910 #915 0# 0I# 1Q# b010110 +$ b010101010101010101010101010101010101010101010101010101010101010100010110 3$ b101001 [$ 1'& 0/& b010101010101010100010110 _& b010110 K( b010101010101010101010101010101010101010101010101010101010101010100010110 S( b010111 s( b010101010101010101010101010101010101010101010101010101010101010100010111 {( 07* 1?* 1G* #920 #925 1# 0G* #930 #935 0# 0Q# 1Y# b010111 +$ b010101010101010101010101010101010101010101010101010101010101010100010111 3$ b101000 [$ 1/& b010101010101010100010111 _& 17* 0?* 1G* b011000 c, b010101010101010101010101010101010101010101010101010101010101010100011000 k, b011001 -- b010101010101010101010101010101010101010101010101010101010101010100011001 5- b011000 7. b010101010101010101010101010101010101010101010101010101010101010100011000 ?. b011001 _. b010101010101010101010101010101010101010101010101010101010101010100011001 g. #940 #945 1# 0G* #950 #955 0# 0!# 1)# 11# 09# 1A# 0Y# b011000 +$ b010101010101010101010101010101010101010101010101010101010101010100011000 3$ b100111 [$ 1}% 0'& 0/& b010101010101010100011000 _& b011000 K( b010101010101010101010101010101010101010101010101010101010101010100011000 S( b011001 s( b010101010101010101010101010101010101010101010101010101010101010100011001 {( 07* 1?* 1G* #960 #965 1# 0G* #970 #975 0# 0A# 1I# b011001 +$ b010101010101010101010101010101010101010101010101010101010101010100011001 3$ b100110 [$ 1/& b010101010101010100011001 _& 17* 0?* 1G* b011010 c, b010101010101010101010101010101010101010101010101010101010101010100011010 k, b011011 -- b010101010101010101010101010101010101010101010101010101010101010100011011 5- b011010 7. b010101010101010101010101010101010101010101010101010101010101010100011010 ?. b011011 _. b010101010101010101010101010101010101010101010101010101010101010100011011 g. #980 #985 1# 0G* #990 #995 0# 0I# 1Q# b011010 +$ b010101010101010101010101010101010101010101010101010101010101010100011010 3$ b100101 [$ 1'& 0/& b010101010101010100011010 _& b011010 K( b010101010101010101010101010101010101010101010101010101010101010100011010 S( b011011 s( b010101010101010101010101010101010101010101010101010101010101010100011011 {( 07* 1?* 1G* #1000 #1005 1# 0G* #1010 #1015 0# 0Q# 1Y# b011011 +$ b010101010101010101010101010101010101010101010101010101010101010100011011 3$ b100100 [$ 1/& b010101010101010100011011 _& 17* 0?* 1G* b011100 c, b010101010101010101010101010101010101010101010101010101010101010100011100 k, b011101 -- b010101010101010101010101010101010101010101010101010101010101010100011101 5- b011100 7. b010101010101010101010101010101010101010101010101010101010101010100011100 ?. b011101 _. b010101010101010101010101010101010101010101010101010101010101010100011101 g. #1020 #1025 1# 0G* #1030 #1035 0# 01# 19# 1A# 0Y# b011100 +$ b010101010101010101010101010101010101010101010101010101010101010100011100 3$ b100011 [$ 0'& 0/& b010101010101010100011100 _& b011100 K( b010101010101010101010101010101010101010101010101010101010101010100011100 S( b011101 s( b010101010101010101010101010101010101010101010101010101010101010100011101 {( 07* 1?* 1G* #1040 #1045 1# 0G* #1050