# sdr ra # make -f Makefile.icarus build # rebuild and sim and fst # make -f Makefile.icarus run # sim and fst # make -f Makefile.icarus # sim #COCOTB_LOG_LEVEL=DEBUG #GPI_EXTRA=vpi #COCOTB_RESOLVE_X = ZEROS # VALUE_ERROR ZEROS ONES RANDOM #SIM_BUILD ?= build SIM ?= icarus # options #COCOTB_HDL_TIMEUNIT ?= 1ns #COCOTB_HDL_TIMEPRECISION ?= 1ps #COCOTB_RESOLVE_X = VALUE_ERROR # ZEROS ONES RANDOM # icarus VERILOG_ROOT = src COMPILE_ARGS = -I$(VERILOG_ROOT) -y$(VERILOG_ROOT) # other options # rtl TOPLEVEL_LANG = verilog # top-level to enable trace, etc. VERILOG_SOURCES = ./test_ra_sdr_64x72.v TOPLEVEL = test_ra_sdr_64x72 # python test MODULE = tb TESTCASE = tb # cocotb make rules include $(shell cocotb-config --makefiles)/Makefile.sim build: clean sim fst run: sim fst fst: vcd2fst test_ra_sdr_64x72.vcd test_ra_sdr_64x72.fst rm test_ra_sdr_64x72.vcd