# Functional verification of array and site logic * cocotb, iverilog * random reads/writes using 64x72 logical array and wrapper