A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Anton Blanchard 8b88e26ece
Merge pull request #43 from mikey/trivial
Remove FIXME comment
5 years ago
fpga
hello_world
scripts
tests
.gitignore
.travis.yml Allow a full make check on Travis 5 years ago
LICENSE
Makefile Update Makefile dependencies 5 years ago
README.md
common.vhdl Rework pipeline, add stall and flush signals 5 years ago
core.vhdl Reformat core.vhdl 5 years ago
core_tb.vhdl
cr_file.vhdl
crhelpers.vhdl
decode1.vhdl Remove sim console 5 years ago
decode2.vhdl Move debug execute output into decode2 5 years ago
decode_types.vhdl Remove sim console 5 years ago
execute1.vhdl Remove FIXME comment 5 years ago
execute2.vhdl Register outputs on execute2 5 years ago
fetch1.vhdl Rework pipeline, add stall and flush signals 5 years ago
fetch2.vhdl Rework pipeline, add stall and flush signals 5 years ago
glibc_random.vhdl
glibc_random_helpers.vhdl
helpers.vhdl
insn_helpers.vhdl
loadstore1.vhdl Register outputs on loadstore1 5 years ago
loadstore2.vhdl
microwatt.core
multiply.vhdl Reduce multiply to 2 cycles 5 years ago
multiply_tb.vhdl
ppc_fx_insns.vhdl
register_file.vhdl
sim_console.vhdl
sim_console_c.c
sim_uart.vhdl
simple_ram_behavioural.vhdl
simple_ram_behavioural_helpers.vhdl
simple_ram_behavioural_helpers_c.c
simple_ram_behavioural_tb.bin
simple_ram_behavioural_tb.vhdl
soc.vhdl Switch soc to use std_ulogic 5 years ago
wishbone_arbiter.vhdl
wishbone_types.vhdl
writeback.vhdl Register outputs on writeback 5 years ago

README.md

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com
git clone https://github.com/mikey/micropython
cd micropython
git checkout powerpc
cd ports/powerpc
make -j$(nproc)
cd ../../../
  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Next build microwatt:
git clone https://github.com/antonblanchard/microwatt
cd microwatt
make
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin simple_ram_behavioural.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board):
fusesoc run --target=nexys_video microwatt --memory_size=8192 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex
  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • Need to implement a simple non pipelined divide
  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)