You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/git.vhdl

10 lines
197 B
VHDL

library ieee;
use ieee.std_logic_1164.all;
library work;
package git is
constant GIT_HASH : std_ulogic_vector(27 downto 0) := x"1234567";
constant GIT_DIRTY : std_ulogic := '0';
end git;