LOCATE COMP "ext_clk" SITE "A9"; IOBUF PORT "ext_clk" IO_TYPE=LVCMOS33; LOCATE COMP "ext_rst" SITE "J2"; IOBUF PORT "ext_rst" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; LOCATE COMP "uart0_txd" SITE "N17"; LOCATE COMP "uart0_rxd" SITE "M18"; IOBUF PORT "uart0_txd" IO_TYPE=LVCMOS25; IOBUF PORT "uart0_rxd" IO_TYPE=LVCMOS25; LOCATE COMP "led_a" SITE "V17"; LOCATE COMP "led_b" SITE "T17"; LOCATE COMP "led_c" SITE "J3"; IOBUF PORT "led_a" IO_TYPE=LVCMOS25; IOBUF PORT "led_b" IO_TYPE=LVCMOS25; IOBUF PORT "led_c" IO_TYPE=LVCMOS25;