From fd9e971b2c972bb2840a187c391dbb0e0b2802dd Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Thu, 19 Sep 2019 20:28:37 +1000 Subject: [PATCH] Reformat sim_console Signed-off-by: Anton Blanchard --- sim_console.vhdl | 36 ++++++++++++++++++------------------ 1 file changed, 18 insertions(+), 18 deletions(-) diff --git a/sim_console.vhdl b/sim_console.vhdl index 2f431bf..1bc52b7 100644 --- a/sim_console.vhdl +++ b/sim_console.vhdl @@ -2,29 +2,29 @@ library ieee; use ieee.std_logic_1164.all; package sim_console is - procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)); - attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read"; + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read"; - procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)); - attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll"; + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll"; - procedure sim_console_write (val: std_ulogic_vector(63 downto 0)); - attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write"; + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write"; end sim_console; package body sim_console is - procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is - begin - assert false report "VHPI" severity failure; - end sim_console_read; + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_read; - procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is - begin - assert false report "VHPI" severity failure; - end sim_console_poll; + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_poll; - procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is - begin - assert false report "VHPI" severity failure; - end sim_console_write; + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_write; end sim_console;